Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
REMOVAL COMPOSITION FOR SELECTIVELY REMOVING HARD MASK AND METHODS THEREOF
Document Type and Number:
WIPO Patent Application WO/2015/054464
Kind Code:
A1
Abstract:
The present disclosure relates to a method for removing a hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W from a semiconductor substrate. The method comprising contacting the semiconductor substrate with a removal composition. The removal composition comprises 0.1 wt% to 90 wt% of an oxidizing agent; 0.0001 wt% to 50 wt% of a carboxylate; and the balance up to 100 wt% of the removal composition comprising deionized water.

Inventors:
CUI HUA (US)
Application Number:
PCT/US2014/059848
Publication Date:
April 16, 2015
Filing Date:
October 09, 2014
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
DU PONT (US)
EKC TECHNOLOGY INC (US)
International Classes:
H01L21/02; H01L21/311; H01L21/3213
Domestic Patent References:
WO2013101907A12013-07-04
Foreign References:
EP2322692A12011-05-18
EP2234145A12010-09-29
JP2006339509A2006-12-14
EP0292057A11988-11-23
US20010003061A12001-06-07
Attorney, Agent or Firm:
HALERZ, Traci, L. (Legal Patent Records CenterChestnut Run Plaza 721/2340,974 Centre Roa, PO Box 2915 Wilmington Delaware, US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1 . A method for removing a hard mask consisting essentially of TIN, TalM, TiNxOy, TiVV, W, Ti and alloys of Ti and W from a semiconductor substrate,

the method comprising contacting the semiconductor substrate with a removal composition comprising:

(a) 0.1 wt% to 90 wt% at least one oxidizing agent,

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

2. The method of claim 1 , wherein

the oxidizing agent is selected from the group consisting of hydrogen peroxide (H202), n-methylmorphoiine oxide (NMMO or NMO), benzoyl peroxide,

tetrabuty!ammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persuifate, ammonium peroxydisuifate, per acetic acid, urea hydroperoxide, nitric acid (HN03), ammonium chlorite (NH4CI02), ammonium chlorate (NH4CI03), ammonium iodate (NH4I03), ammonium perborate (NH4B03), ammonium perchlorate (NH4CI04), ammonium periodate (NH4I03), ammonium persuifate

((NH4)2S208), tetramethyiammonium chlorite ((N(CH3)4)Ci02), tetramethyiammionium chlorate ((N(CH3)4)CI03), tetramethyiammonium iodate ((N(CH3)4)I03),

tetramethyiammonium perborate ((N(CH3)4)B03), tetramethyiammonium perchlorate ((N(CH3)4)CI04), tetramethyiammonium periodate ((N(CH3)4)I04),

tetramethyiammonium persuifate ((N(CH3)4)S208), {(CO(NH2)2)H202), peracetic acid (CH3(CO)OOH), and mixtures thereof: and

the carboxylate is selected from the group consisting of potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, potassium L-Lactate and mixtures thereof.

3. The method of claim 2, wherein the removal composition further comprises from 0.001 wt% to 20 wt% of an amino acid., an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitriiotriacetic acid: diethylene triamine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N-{2- [bis(carboxymethyi)amino]ethyi}-N-(2-hydroxyethyl)g!yc!ne (HEDTA); and

ethylenediamine-N,N'-bis(2-hydroxyphenyiacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA),

4. The method of claim 2, wherein the removal composition further comprises from 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor.

5. The method of claim 2, wherein the removal composition further comprises at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethyiammonium hydroxide (TEAH), benzyitrimethylammonium hydroxide (BTAH), monoethanoi amine (MEA), diglycol amine (DGA), triethanoiamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.

8. The method of claim 2, wherein the removal composition further comprises:

i) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid;

ethyienediamirietetraacetic acid; nitriiotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10-tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', '-tetraacetic acid; N- {2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethyIenediamine-N,N'-bis(2-hydroxyphenyiacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraaceiic acid; and triethylenetetraamine hexaacetic acid (TTHA); and

ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors.

7. The method of claim 2, wherein the removal composition further comprises:

i) 0.001 wt% to 20 wt% of an amino acid, an aminopo!ycarboxylic acid, a carboxyiic acid, a poiycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyc!ohexanediamine-N, N, N\ N!-tetraacetic acid;

ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; ,4,7,10-tetraazacyclododecane- ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid; N- {2-[bis(carboxymethyI)amino]ethyI}-N-(2-hydroxyethyl)glycine (HEDTA); and

ethylenediamine-N,N'-bis(2-hydroxyphenyiacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA);

ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and

iii) at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanoi amine (MEA), diglycoi amine (DGA), triethanolamine (TEA),

tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid i selected from the group consisting of inorganic acids, a carboxyiic acid, an amino acid a hydroxy carboxyiic acid, a poiycarboxylic acid, and a mixture thereof.

8. The method of claim 1 , wherein the carboxyiate is an ammonium carboxylate.

9. The method of claim 8, wherein the oxidizing agent is selected from the group consisting of hydrogen peroxide (H202), n-methylmorphoiine oxide {NMMO or NMO), benzoyl peroxide,

tetrabuty!ammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisuifate, per acetic acid, urea hydroperoxide, nitric acid (HN03), ammonium chlorite (NH4CI02), ammonium chlorate (NH4CI03), ammonium iodate (NH4I03), ammonium perborate (NH4B03), ammonium perchlorate (NH4CI04), ammonium periodate (NH4I03), ammonium persulfate

((NH4)2S208), tetramethylammonium chlorite ((N(CH3)4)Ci02), tetramethylammionium chlorate ((N(CH3)4)CI03), tetramethylammonium iodate ((N(CH3)4)I03),

tetramethylammonium perborate ((N(CH3)4)B03), tetramethylammonium perchlorate ((N(CH3)4)CI04), tetramethylammonium periodate ((N(CH3)4)I04),

tetramethylammonium persulfate ((N(CH3)4)S208), {(CO(NH2)2)H202), peracetic acid (CH3(CO)OOH), and mixtures thereof; and

the ammonium carboxylate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium ethy!enediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium

ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxyiate and mixtures thereof.

10. The method of claim 9, wherein the removal composition further comprises from 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxyiic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2- cyc!ohexanediamine-N, N, N", N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitriiotriacetic acid; diethylene triarnine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2~bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid: N-{2~

[bis(carboxymethyi)amino]ethyi}-N-(2-hydroxyethyi)giycine (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenyiacetic acid) (EDDHA); dioxaoctamethylene dinitrilo teiraacetic acid; and triethylenetetraamine hexaaceiic acid (TTHA).

1 1 . The method of claim 9, wherein the removal composition further comprises from 0,0001 wt% up to 50 wt % of a metal corrosion inhibitor,

12. The method of claim 9, wherein the removal composition further comprises at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethy!ammonium hydroxide (TMAH), tetraethyiammonium hydroxide (TEAH), benzyltrimethyiammonium hydroxide (BTAH), monoethano! amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.

13. The method of claim 9, wherein the removal composition further comprises:

i) 0.001 wt% to 20 wt% of an amino acid, an aminopo!ycarboxylic acid, a carboxyiic acid, a poiycarboxylic acid, or a mixture thereof selected from the group consisting of ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;

ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethyiene triamine pentaacetic acid; ,4,7,10-tetraazacyclododecane- ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N- {2-[bis(carboxymethyI)amino]ethyI}-N-(2-hydroxyethyl)glycine (HEDTA); and

ethylenediamine-N,N'-bis(2-hydroxyphenyiacetic acid) (EDDHA); dioxaoctamethylene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA); and

ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors.

14. The method of claim 9, wherein the removal composition further comprises: i) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a poiycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cydohexanediamine-N, N, N', N'-tetraacetic acid;

ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethyiene triamine pentaacetic acid; 1 ,4,7, 0-tetraazacyclododecane-1 ,4,7, 0-tetraacetic acid: ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N- {2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyI)gIycine (HEDTA); and

ethyienediamine-N,N'-bis(2-hydroxyphenyiacetic acid) (EDDHA); dioxaoctamethyiene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA);

ii) 0.0001 wt% up to 50 wt % of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and

iii) at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary ammonium salts, primary amines, secondary amines, tertiary amines, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH), monoethanol amine (MEA), diglycoi amine (DGA), triethanolamine (TEA),

tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof; and wherein the acid i selected from the group consisting of inorganic acids, a carboxylic acid, an amino acid a hydroxy carboxylic acid, a poiycarboxylic acid, and a mixture thereof.

15. The method of claim 9, wherein the removal composition further comprises

0.001 wt% to 20 wt% 1 ,2-cyciohexanediarnine-N, N, N', N'-tetraacetic acid.

16. The method of claim 9, wherein the ammonium carboxylate is selected from ammonium tartrate.

17. The method of claim 9, wherein the ammonium carboxylate is selected from the group consisting of ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid and mixtures thereof.

18. The method of claim 9, wherein etch rate of a hard mask is stabilized up to at least 35 hours.

19. The method of claim 1 , additionally comprising heating the removal composition up to 60°C.

Description:
TITLE

Removal Composition for Selectively Removing Hard Mask and Methods Thereof

CROSS REFERENCE TO RELATED APPLICATIONS/INCORPORATION BY

REFERENCE STATEMENT

This application claims the benefit of U.S. provisional application serial number 61/889,968, filed October 1 1 , 2013, the entire contents of which are hereby

incorporated herein by reference.

BACKGROUND OF THE DISCLOSURE

The presently disclosed and claimed inventive concep (s) relates to compositions and methods for selectively removing hard mask and other residues from integrated circuit (IC) device substrates, and, more particularly, to compositions and methods useful for selectively removing TIN, TaN, TiNxOy, TiW.Ti and VV hard mask, and hard masks comprising alloys of the foregoing, as well as other residues from such substrates comprising iow-k dielectric materials, TEOS, copper, cobalt and other low-k dielectric materials, using a carboxylate compound.

Plasma dry etching is commonly used to fabricate vertical sidewail trenches and anisotropic interconnecting vias in copper (Cu)/low-k dual damascene fabrication processes. As the technology nodes advance to 45 nm and smaller, the decreasing size of the semiconductor devices makes achieving critical profile control of vias and trenches more challenging. Integrated circuit device companies are investigating the use of a variety of hard masks to improve etch selectivity to iow-k materials and thereby gain better profile control.

In order to obtain high yield and low resistance, polymer residues on the sidewalls and the particulate/polymer residues at the via bottoms that are generated during etching must be removed prior to the next process step. It would be very beneficial if the removal composition (cleaning solution) can also effectively etch the hard mask to form an intermediate morphology, e.g., a pulied-back/rounded morphology, or completely remove the hard mask. A pulled-back/rounded morphology could prevent undercutting the hard mask, which, in turn, could enable reliable deposition of barrier metal, Cu seed layer and Cu filling. Alternatively, fully removing the hard mask using the same composition could offer numerous benefits to

downstream process steps, particularly chemical mechanical polishing (CMP), by eliminating a need for barrier CMP.

Following almost every step in the fabrication process, e.g., a pianarization step, a photolithography step, or an etching step, removal (cleaning) processes are required to remove residues of the plasma etch, photoresist, oxidizer, abrasive, metal and/or other liquids or particles that remain and which can contaminate the surface of the device if they are not effectively removed. Fabrication of advanced generation devices that require copper conductors and iow-k dielectric materials (typically carbon-doped silicon oxide (SiOCH), or porous iow-k materials) give rise to the problem that both materials can react with and be damaged by various classes of prior art cleaners.

Low-k dielectrics, in particular, may be damaged in the removal process as evidenced by etching, changes in porosity/size, and ultimately changes in dielectric properties. Time required to remove residues depends on the nature of the residue, the process (heating, crossiinking, etching, baking, and/or ashing) by which it was created, and whether batch or single wafer removal processes can be used. Some residues may be cleaned in a very short period of time, while some residues require much longer removal procedures. Compatibility with both the iow-k dielectric and with the copper conductor over the duration of contact with the removal composition is a desired characteristic.

During back-end-of-line (BEOL) IC fabrication processes, i.e., dual damascene processes, TIN, TaN, TiNxOy, TiVV, Ti, and/or W (including alloys of Ti and W) are used as an hard mask in the formation of vias and trenches to gain high selectivity to iow-k dielectric materials during dry etching steps. Effective removal compositions are required that can selectively remove the TIN, TaN, TiNxOy, TiVV, Ti or VV, be compatible with low-k materials, copper, cobalt and other dielectric materials, and also

simultaneously remove unwanted etching residues and Cu oxide from the resulting dual damascene structure. Beyond selective removal, it is also highly desirable that the achievable removal rate of a hard mask (A/min) for the removal composition be maintained substantially constant for an extended period of time.

With the continuing reduction in device critical dimensions and corresponding requirements for high production efficiency and reliable device performance, there is a need for such improved removal compositions.

SUMMARY

The present disclosure relates to method for semiconductor processing. The method uses a removal composition, with one or more carboxyiates which provides highly selective removal of hard mask from a dual damascene structure without damaging wiring metallurgy and dielectric materials. Semiconductor substrates of the type fabricated in dual damascene back end metallization consist of multiple layers or levels of metal interconnects that are isolated by interiayer dielectrics (Low-k dielectric material). The removal composition can remove hard mask etch residues, photoresist, polymeric materials, and copper oxide from via and trench surfaces without damaging underlying layers that form the structure.

The method for removing a hard mask consisting essentially of TIN, TaN,

TiNxOy, TiW, W, Ti and alloys of Ti and W from a semiconductor substrate,

comprises contacting the semiconductor substrate with a removal composition comprising (a) 0.1 wt% to 90 wt% at least one oxidizing agent, (b) 0.0001 wt% to 50 wt% of a carboxylate and(c) the balance up to 100 wt% of the removal composition comprising deionized water.

BRIEF DESCRIPTION OF THE DRAWINGS

Figs. 1A and 1 B are cross-sectional SEM images of semiconductor wafer segments which show trenches and vias, respectively, during dual damascene device fabrication, but prior to contact with the removal composition of the invention. Figs. 2A and 2B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1A and 1 B after contact with removal compositon 1 from Table 1 at 50°C for 90 sec.

Figs. 3A and 3B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 2 from Table 1 at 50°C for 90 sec.

Figs. 4A and 4B are cross-sectional SEM images of semiconductor wafer segments of the type shown in Figs. 1 A and 1 B after contact with removal compositon 3 from Table 1 at 53° Detailed C for 90 sec.

DETAILED DESCRIPTION

It is recognized that various components of the compositions of this invention may interact, and, therefore, any composition is expressed as the amount of various components which, when added together, form the composition. Unless specifically stated otherwise, any composition given in percent is percent by weight (wt%) of that component that has been added to the composition. When the composition is described as being substantially free of a particular component, generally there are numeric ranges provided to guide one of ordinary skill in the art to what is meant by "substantially free," but in all cases "substantially free" encompasses the preferred embodiment where the composition is totally free of that particular component.

As noted briefly above, the dual damascene process is used to form metal interconnects in the backend metallization, which are then used to electrically

interconnect various electrical components in a semiconductor substrate into functional circuits. A discussion of backend metallization, which comprises fabrication of multiple levels, or layers, of metal interconnects isolated by an interiayer dielectric layer(s) and/or barrier iayer(s) can be found, for example, in U.S. Patent No. 8,080,475, the teachings of which are incorporated herein in their entirety by reference. The

integration of new materials, such as ultra low-k dielectrics, into microelectronic devices places new demands on removal performance. Concurrently, shinking device dimensions reduces the tolerances for changes in critical dimensions for vias and trenches.

The described and claimed inventive concept(s) reside in the discovery that selective removal of hard mask from semiconductor substrate wherein said hard mask is in overlapping relationship with a iow-k dielectric materia! can be accomplished by incorporating into the removal composition an effective amount of from 0.0001 wt% up to 50 wt% of a carboxyiate. In a preferred embodiment, the concentration of

carboxy!ate is from 0.001 wt% up to 10 wt%. Another advantage is the addition of a carboxyiate increases the etch rate of a hard mask selected from TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W.

Yet another advantage resides in the discovery that ammonium carboxylates in combination with CDTA in removal composition of the present disclosure increase etch rate as well as stabilize the etch rate. And yet another advantage resides in the discovery that ammonium ethyienediaminetetraacetic acids not only increase the etch rate but also stabilize the etch rate.

The chemicals in a removal composition for removing a metal hard mask can decompose over time. The term "stabilize" or "stabilized" is used herein to mean that the achievable etch rate for a hard mask remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours and up to thirty five (35) hours or longer at the selected operating temperature. For example, the etch rate of the removal composition after for 2, 4, or 8 hrs of use is substantially the same after 20, 24 or 35 hrs of use.

The term "substantially constant" is intended to mean that decomposition over time is minimized or less than if ammonium ethyienediaminetetraacetic acids or a combination of ammonium carboxylate(s) and amino acid, amine polycarboxylic acid, carboxy!ic acid or polycarboxylic acid chelating agent were not used in the removal composition. Thus, the etch rate does not decrease as much as when ammonium ethyienediaminetetraacetic acids or a combination of ammonium carboxylate(s) and amino acid, amine polycarboxylic acid, carboxyiic acid or polycarboxylic acid chelating agent were not used. The term "selectively removing" is intended to mean removing the hard mask without damaging the underlying metal conductor layer (metal interconnect) and Low-k dielectric material. Low-k dielectric materia! is any materia! used as a dielectric materia! in a semiconductor substrate or any microe!ectrinic device where in the dielectric constant is less than 3.5. Examples of useful Low-k dielectric materials include but are not limited to: silicon dioxide (Si0 2 ), carbon-doped silicon oxide (SiOCH), low-polarity materials such as organic polymers, hybrid organic, inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass. The incorporation of porosity, i.e. air-filled pores, in these materials further lowers the dielectric constant of the material.

Carboxylate

The term "carboxylate" is used herein to mean the general formula M(RCOO)n, where M is a metal and n is 1 ,2,... is the number of carboxylate esters within the compound having the general formula RCOOR", wherein R and R' are organic groups with the proviso that R'≠H. When chemistries of the type described herein are used in electronic device fabrication, such as fabricating IC devices, it is preferable not to have any meta! impurities in the chemical composition. In such cases, M is replaced with NH4+. The removal composition of the present disclosure selectively removes a hard mask from a semiconductor substrate. The hard mask consists essentially of T!N, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W. The removal composition selectively removes the hard mask relative to the low-k dielectric material of a semiconductor substrate. The removal composition comprises:

(a) from 0.1 wt% to 90 wt% of an oxidizing agent;

(b) from 0.0001 wt% to 50 wt% of a carboxylate; and

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

The presence of a carboxylate in the removal composition increases the etch rate of a metal hard mark at least 8% when compared to the same removal composition with out a carboxylate and in some embodiments, at least 39% or 43% or 50% or 80% or 75 or 80%. In some embodiments, the carboxylate is selected from the group consisting of potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, potassium L-Lactate and mixtures thereof.

in some embodiments, the carboxyiate is an ammonium carboxylate. In some embodiments, the ammonium carboxyiate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium

ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxylate and mixtures thereof.

The carboxyiate is present in an amount from 0.0001 wt% to 50wt% based on the total weight of the removal composition, !n some embodiments, the carboxylate is present in an amount from 0.0001 wt% to 25wt% based on the total weight of the removal composition. In some embodiments, the carboxylate is present in an amount from 0.0001 wt% to 10wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.0001 wt% to 0.8 wt% based on the total weight of the removal composition. In another embodiment, the carboxylate is present in an amount from 0.001 wt% to 50wt% based on the total weight of the removal composition. In another embodiment, the carboxyiate is present in an amount from 0.001 wt% to 10wt% based on the total weight of the removal composition. In yet another embodiment, the carboxyiate is present in an amount from 0.2 to 0.5 wt% based on the total weight of the removal composition

In yet another embodiment, the ammonium carboxylate is present in an amount from 0.0001 wt% to 50wt% based on the total weight of the removal composition. In some embodiments, the ammonium carboxylate is present in an amount from

0.0001 wt% to 25wt% based on the total weight of the removal composition. In some embodiments, the ammonium carboxyiate is present in an amount from 0.0001 wt% to 10wt% based on the total weight of the removal composition. In another embodiment, the ammonium carboxylate is present in an amount from 0.0001 to 0.8 wt% based on the total weight of the removal composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.001 wt% to 50wt% based on the total weight of the removal composition, in another embodiment, the ammonium carboxylate is present in an amount from 0.001 wt% to 10wt% based on the total weight of the removal composition. In yet another embodiment, the ammonium carboxylate is present in an amount from 0.2 to 0.5 wt% based on the total weight of the removal composition

In some embodiments, the ammonium carboxylate is an ammonium

ethylenediaminetetraacetic acid or mixture thereof. The presence of ammonium ethylenediaminetetraacetic acids in the removal compositions of this disclosure not only increased the etch rate of the hard mask but also operates to stabilize the achievable etch rate over an extended period of time (up to at least 22 hours and in some embodiments up to at least 35 hours).

in some embodiments, the ammonium ethylenediaminetetraacetic acids are selected from the group consisting of ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid and mixtures thereof.

In one embodiment, ammonium ethylenediaminetetraacetic acids stabilize etch rate. In some embodiments, ammonium ethylenediaminetetraacetic acids stabilize TIN etch rate. In some embodiments, ammonium ethylenediaminetetraacetic acids stabilize TIN etch rate such that TiN etch rate at 50 °C does not drop more than 20% or 45

A/min at 35 hours. The etch rate at 50°C of a removal composition without the addition of an ammonium ethylenediaminetetraacetic acid, drops 80% or 88 A/min at 35 hours.

In some embodiments, the ammonium carboxylate is tetraammonium

ethylenediaminetetraacetic acid. In some embodiments, tetraammonium

ethylenediaminetetraacetic acid stabilizes the TiN etch rate such that TiN etch rate at 50 °C which does not drop more than 20% or 45 A/min at 35 hours. For a removal composition without tetraammonium ethylenediaminetetraacetic acid, the TiN etch rate at 50 °C drops 60% or 86 A/min at 35 hours.

Oxidizing Agent Oxidizing agents useful according to the inventive concept(s) are selected from any substance which has the capability to chemically react with the hard mask and effect its removal. The removal composition oxidizing agent is selected from the group consisting of hydrogen peroxide (H202), n-methyimorphoiine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosuifate, ozone, ferric chloride, permanganate peroxoborate, perchiorate, persuifate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HN03), ammonium chlorite (NH4CI02), ammonium chlorate (NH4CIO3), ammonium iodate (NH4I03), ammonium perborate (NH4B03), ammonium perchiorate (NH4CI04), ammonium periodate (NH4I03), ammonium persuifate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)C!02), tetramethyiammionium chlorate ((N(CH3)4)CI03), tetramethylammonium iodate ((N(CH3)4)I03), tetramethylammonium perborate ((N(CH3)4)B03),

tetramethylammonium perchiorate ((N(CH3)4)Ci04), tetramethylammonium periodate ((N(CH3)4)I04), tetramethylammonium persuifate ((N(CH3)4)S208),

((CO(NH2)2)H202), peracetic acid (CH3(CO)OOH), and mixtures thereof. Among the foregoing, H202 is a most preferred oxidizing agent being low concentration of metals and providing ease of handling and lower relative cost.

in one embodiment, the removal composition comprises from 0.1 wt% to 90 wt% of an oxidizing agent. In another embodiment, the removal composition comprises from 0.1 wt% to 24 wt% of an oxidizing agent. In another embodiment, the removal composition comprises from 3 wt% to 24 wt% of an oxidizing agent.

Acids/Chelating agents

The removal composition may also include an amino acid, amine po!ycarboxylic acid (i.e., aminopoiycarboxylic acid), and/or carboxylic acid, polycarboxyiic acid chelating agent, or a mixture thereof. The presence of an amino acid, amine

polycarboxyiic acid (i.e., aminopoiycarboxylic acid), and/or carboxylic acid,

polycarboxyiic acid chelating agent, or a mixture thereof in combination with an ammonium carboxylate or mixtures of ammonium carboxylates, was observed to stabilize the etch rate up to at least 22 hours or even up to 35 hours. In some embodiments, the removal composition includes 0.0005 wt% to 20 wt% of an amino acid, amine poiycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxy!ic acid, poiycarboxylic acid chelating agent, or a mixture thereof. In some embodiments, the removal composition includes 0.001 wt% to 20 wt% of an amino acid, amine poiycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxyiic acid, poiycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 10 wt% of an amino acid, amine

poiycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxyiic acid,

poiycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 5 wt% of an amino acid, amine

poiycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxyiic acid,

poiycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 1 wt% of an amino acid, amine

poiycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxyiic acid,

poiycarboxylic acid chelating agent, or a mixture thereof. In another embodiment, the removal composition includes 0.001 wt% to 0.807 wt% of an amino acid, amine poiycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxyiic acid,

poiycarboxylic acid chelating agent, or a mixture thereof.

Examples of such chelating agents include, but are not limited to, 1 ,2- cyciohexanediamine-N, N, NT, N'-tetraacetic acid (CDTA); ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid

(EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N-{2- [bis(carboxymethy!)amino]ethy!}-N-(2-hydroxyethyl)giycine (HEDTA); ethylenediamine- N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethylene dinitriio tetraacetic acid (DOCTA); and triethylenetetraamine hexaacetic acid (TTHA).

The addition of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid to a removal composition having an ammonium carboxylate stabilizes the TIN etch rate up to at least 35hrs. The etch rate at 50°C of a removal composition having ammonium carboxylate without 1 ,2-cyciohexanediamirie-N, N, N', N'-tetraacetic acid may decrease 48% or even 54% after 35 hours. Whereas if 0.2 to 0.8 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'- etraacetic acid is added the the ammonium carboxyiate removal composition TiN etch rate at 50°C decreases 8% or less and in one embodiment decreases 0.4 %.

When stability of a removal composition having an ammonium carboxyiate is important, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid can be added to the removal composition. The amount of 1 ,2-cyclohexanediamine-N, N, N ! , N'-tetraacetic acid can be tailored to achieve the desired stability.

In one embodiment, 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.0005 to 20 wt% based on the total weight percent of the removal composition. In one embodiment, 1 ,2-cyclohexanediamine-N, N, N ! , N'- tetraacetic acid is present in an amount from 0.0005 to 10 wt% based on the total weight percent of the removal composition, !n one embodiment, 1 ,2- cyclohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 to 10 wt% based on the total weight percent of the removal composition. In another embodiment, 1 ,2-cyclohexanediamine-N, N, N ! , N'-tetraacetic acid is present in an amount from 0.001 to 5 wt%. In another embodiment, 1 ,2-cyclohexanediamine-N, N, ', N'-tetraacetic acid is present in an amount from 0.001 to 1 wt%. In another embodiment, 1 ,2-cyc!ohexanediamine-N, N, N', N'-tetraacetic acid is present in an amount from 0.001 wt% to 0.607 wt%.

In some embodiments, a removal composition comprising

(a) 0.1 wt% to 90 wt% at least one oxidizing agent,

(b) 0.0001 wt% to 50 wt% of an ammonium carboxyiate;

(c) 0.001 wt% to 20 wt% of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, ', N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitriiotriacetic acid; diethy!ene triarnine pentaacetic acid; 1 ,4,7,10- tetraazacyclododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)ethane-N,N,N', N'-tetraacetic acid; N-{2~

[bis(carboxymethyi)amino]ethyi}-N-(2-hydroxyethyi)giycine (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethy!ene dinitrilo tetraacetic acid; and triethylenetetraamine hexaacetic acid (TTHA); and

(d) the balance up to 100 wt% of the removal composition comprising deionized water stabilize the etch rate up to at least 35 hours. In some embodiments, stabilize TiN etch rate up to at least 35 hours. And in some embodiments, stabilize TiN etch rateup to at least 35 hours at a selected operating temperature. In some embodiments, the selected operating temperature is from 20 to 80°C. In another embodiment, the selected operating temperature is between and including any two of the following temperature: 20, 30, 45, 50, 53 and 6Q°C.

In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 23 A/min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN hard mask etch rate at 50 °C does not drop more than 22.5 A/min at 24 hours, !n some embodiemnts, the addition of a chelating agent stabilizes the TIN etch rate such that TiN etch rate at 50 °C does not drop more than 20.5 A min at 24 hours. In some embodiemnts, the addition of a chelating agent stabilizes the TiN etch rate such that TiN etch rate at 50 °C does not drop more than 1 1 A/min at 24 hours.

Metal Corrosion Inhibitor

Although not required for carrying out the invention, at least one corrosion inhibitor may also be present in the removal composition, for example, where the removal composition is to be deployed in semiconductor processing at BEOL applications and other applications where corrosion of copper or other metal components is a concern. The presence of a corrosion inhibitor is needed to protect metal surfaces from being etched or otherwise degraded. For other applications, including FEOL applications, of the inventive composition and associated method, a corrosion inhibitor(s) is not generally needed, i.e., copper or coibalt, is not exposed to the removal chemistry, copper or colbait is absent from the wafer substrate, or slight etching/degradation of copper or cobalt surfaces is not usually a concern. The metal (copper or co!ba!t) corrosion inhibitor is an organic compound, such as an azole, thiol, and/or indole preferably selected from the group consisting of a heterocyclic compound containing at least one nitrogen atom, such as, for example, a pyrrole and derivatives thereof, pyrazole and derivatives thereof, imidazole and derivatives thereof, triazoie and derivatives thereof, indazoie and derivatives thereof, and thioi-triazole and derivatives thereof, benzotriazole (BTA), toiyltriazoie, 5-phenyl- benzotriazole, S-nitro-benzotriazole, 3-amino-S -mercapto-1 ,2,4-triazole, 1 -amino-1 ,2,4- triazoie, hydroxybenzotriazole, 2-(5-amino-pentyi)-benzotriazole, 1 -amino-1 ,2,3-triazo!e, 1 -amino-5-methyI-1 ,2,3-triazole, 3-amino-1 ,2,4-triazole, 3-mercapto-1 ,2,4-triazoie, 3- isopropyi-1 ,2,4-triazole, 5-pheny!thiol-benzotriazole, halo-benzotriazo!es (halo = F, CI, Br or I), naphthotriazoie, 2-rnercaptobenzirnidazole (MBI), 2-mercaptobenzothiazole, 4- methyl-2~phenylimidazole, 2~mercaptothiazoline, 5-aminotetrazole, 5-aminotetrazole monohydrate, 5-amino-1 ,3 ,4-th iad iazoie-2-th iol , 2,4-diamino-6-methy!-1 ,3,5-triazine, thiazoie, triazine, methyitetrazoie, 1 ,3-dimethyi-2-imidazolidinone, 1 ,5- pentamethyienetetrazole, 1 -phenyl-5-mercaptotetrazo!e, diaminomethyltriazine, imidazoline hione, mercaptobenzimidazoie, 4-methyi-4H-1 ,2,4-triazole-3-thioi, 5-amino- 1 ,3,4-thiadiazole-2-thiol, benzothiazo!e, and mixtures thereof. Among the foregoing, benzotriazole, pyrazole, or a mixture of benzotriazole and pyrazole, or a mixture of benzotriazole and toiyltriazoie (available commercially from VVincom, Inc. under the name "Wintrol A-90"), are preferred copper corrosion inhibitors for better removal performance.

The copper or cobalt corrosion inhibitor or mixture thereof may be present in the composition at from 0.0001 wt% to 50 wt%. In another embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.0001 wt% to 10 wt%. In some embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.5 to 0.9 wt%. I In some embodiments, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.18 to 0.8 wt%. In another embodiment, the copper or cobalt corrosion inhibitor or mixture thereof is present in an amount from 0.18 to 0.65 wt%. Other suitable copper or cobalt corrosion inhibitors include, but are not limited to aromatic hydrazides and Sen iff base compounds.

in some embodiments, the composition can contain one or more cosolvents that are miscibie with water. Cosolvents enhance residue removal. Suitable cosolvents include, but are not limited to, sulfolane, N-methylpyrroiidone, and dimethyisuifoxide. pH adjustment

The composition may also include a base or an acid, as appropriate, to adjust the pH of the working composition. The base can, for example, be selected from the group consisting of quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyitrimethylammonium hydroxide (BTAH) and mixtures thereof. The base can also be selected from the group consisting of primary, secondary and tertiary amines, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof. In some embodiments, the base can be a combination of quaternary ammounium salts and amines. Suitable acids include, for example, are selected from the group consisting of inorganic acids, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid; organic acids, such as a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, or a mixture of such acids. The pH of the working composition should be maintained at a value of from 2 to 14, but preferably in the range of from 3 to 12. As noted above, when used in BEOL Cu interconnect fabrication applications, the preferred pH of the working composition is in the range of from 5 to 1 1 when hydrogen peroxide is used as oxidizer in order to achieve high etch rates.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low~k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or VV hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent; (b) 0,0001 wt% to 50 wt% of a carboxylate;

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TalM, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dieiectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0005 wt% to 20 wt% of an amino acid, amine polycarboxylic acid (i.e.,

aminopolycarboxyiic acid), and/or carboxyiic acid, polycarboxylic acid chelating agent, or a mixture thereof; and

(d) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid; and

(d) the balance up to 100 wt% of the removal composition comprising deionized water. in one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate; (c) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(d) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to iow-k dielectric material from a semiconductor substrate which comprises the iow-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and

(d) the balance up to 100 wt% of the removal composition comprising deionized water.

!n one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0005 wt% to 20 wt% of an amino acid, amine po!ycarboxylic acid (i.e.,

aminopolycarboxylic acid), and/or carboxyiic acid, polycarboxyiic acid chelating agent, or a mixture thereof;

(d) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(e) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the !ow-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0005 wt% to 20 wt% of an amino acid, amine poiycarboxylic acid (i.e.,

aminopolycarboxyiic acid), and/or carboxyiic acid, poiycarboxylic acid chelating agent, or a mixture thereof;

(d) 0.0001 to 50 wt% of a metal corrosion inhibitor;

(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(f) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dieiectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid;

(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and

(e) the balance up to 100 wt% of the removal composition comprising deionized water. in one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid; (d) 0,0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;

(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(f) the balance up to 100 wt% of the removal composition comprising deionized water.

And further, the removal composition in accordance with any of the above embodiments, wherein the carboxylate is an ammonium carboxyia e. The ammonium carboxy!ate is selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium

ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium

ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3~carboxy!ate and mixtures thereof

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric materia! from a semiconductor substrate which comprises the low-k dieiectric materiai having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of an ammonium carboxyiate:

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid;

(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;

(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(f) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to !ow-k dielectric material from a semiconductor substrate which comprises the low-k dielectric materia! having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of an ammonium tartrate;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyclohexanediamine-N, N, N', N'-tetraacetic acid (CDTA);

(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;

(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(f) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dieiectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of hydrogen peroxide;

(b) 0.0001 wt% to 50 wt% of an ammonium carboxyiate; and

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dieiectric materiai having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of hydrogen peroxide;

(b) 0.0001 wt% to 50 wt% of an ammonium carboxyiate;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid; and

(d) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of hydrogen peroxide;

(b) 0.0001 wt% to 50 wt% of an ammonium carboxyiate;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid;

(d) from 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; and

(e) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of an ammonium ethylenediaminetetraacetic acid;

(c) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;

(d) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(e) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of tetraammonium ethylenediaminetetraacetic acid;

(c) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors; (d) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(e) the balance up to 100 wt% of the removal composition comprising deionized water.

In one embodiment, the removal composition for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to !ow-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TIN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon, the removal composition comprising:

(a) 0.1 wt% to 90 wt% of an oxidizing agent;

(b) 0.0001 wt% to 50 wt% of tetraammonium ethylenediaminetetraacetic acid ;

(c) 0.0005 wt% to 20 wt% of 1 ,2-cyciohexanediamine-N, N, N', N'-tetraacetic acid;

(d) 0.0001 to 50 wt% of a metal corrosion inhibitor or a mixture of metal corrosion inhibitors;

(e) a base and mixtures thereof; or an acid and mixtures thereof; or a mixture of base and acid; and

(f) the balance up to 100 wt% of the removal composition comprising deionized water. Kit

Another embodiment of the present disclosure is a kit including one or more containers comprising one or more components adapted to form the removal composition. In some embodiements the kit includes in one or more containers, comprising at least one carboxylate and deionized water for combining with an oxidizing agent at the point or fabrication or the point of use. In another embodiement, the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one copper corrosion inhibitor; and optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use. !n another embodiement, the kit includes in one or more containers, comprising at least one carboxylate; deionized water; at least one amino acid, amine polycarboxyiic acid (i.e., aminopolycarboxyiic acid), and/or carboxyiic acid, polycarboxyiic acid chelating agent; and optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use. In another embodiement, the kit includes in one or more containers, comprising at least one carboxyiate; deionized water; at least one copper corrosion inhibitor; at least one amino acid, amine poiycarboxylic acid (i.e., aminopolycarboxyiic acid), and/or carboxyiic acid, poiycarboxylic acid chelating agent; optionally comprising a base, a acid or mixture thereof for adjusting the pH; and optionally comprising at least one cosolvent for combining with an oxidizing agent at the point or fabrication or the point of use.

Method

Removal composition is applied in any suitable manner to the semiconductor substrate. Contact or contacting the semiconductor substrate is intended to include spraying, dipping, using a pad or applicator that has the removal composition absorbed thereon or any other suitable manner of contacting the semiconductor substrate with a removal composition.

In one embodiment, a method for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SIGN, and TEOS materials from a semiconductor substrate having a TIN, TaN, TiNxOy, TiW, W, or Ti hard mask thereon, including an hard mask comprising alloys of Ti or VV, wherein the method comprises contacting the semiconductor substrate with a removal composition comprising:

(a) 0.1 wt% to 90 wt% at least one oxidizing agent;

(b) 0.0001 wt% up to 50 wt% of a carboxyiate; and

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

In some embodiments, the method for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SIGN, and TEOS materials from a semiconductor substrate having a TIN, TaN, TiNxOy, TiW, W, or Ti hard mask thereon, including an hard mask comprising ailoys of Ti or W, wherein the method comprises contacting the semiconductor substrate with a removal composition comprising:

(a) 0.1 wt% to 90 wt% at least one oxidizing agent;

(b) 0.0001 wt% up to 50 wt% of an ammonium carboxyiate; and

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

In some embodiments, the method for selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti or W, relative to underlying Low-k, Cu, Co, SiON, SIGN, and TEOS materials from a semiconductor substrate having a TIN, TaN, TiNxOy, TIW, W, or Ti hard mask thereon, including an hard mask comprising alloys of Ti or W, wherein the method comprises contacting the semiconductor substrate with a removal composition comprising:

(a) from 0.1 wt% to 90 wt% at least one oxidizing agent;

(b) from 0.0001 wt% up to 50 wt% of an ammonium carboxylate selected from the group consisting of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, ammonium ethylenediaminetetraacetic acid, diammonium ethylenediaminetetraacetic acid, triammonium ethylenediaminetetraacetic acid, tetraammonium ethylenediaminetetraacetic acid, ammonium succinate, ammonium formate, ammonium 1 -H-pyrazole-3-carboxyiate and mixtures thereof; and

(c) the balance up to 100 wt% of the removal composition comprising deionized water.

in some embodiments in accordance with any of the above methods, the remova composition may additionally comprise at least one metal corrosion inhibitor. In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise from 0.001 wt% to 20 wt% of an amino acid, an

aminopoiycarboxyiic acid, a carboxylic acid, a polycarboxyiic acid, or a mixture thereof selected from the group consisting of 1 ,2-cyclohexanediamine-N, N, N'-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethyiene triamine

pentaacetic acid: 1 ,4,7,10-tetraazacyciododecane-1 ,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1 ,2-bis(o-aminophenoxy)eihane-N ! N ! N',N , -tetraacetic acid; N-{2-[bis(carboxymethyi)amino]ethyi}-N-(2-hydroxyethyl)g!yci ne (HEDTA); and ethylenediamine-N,N'-bis(2-hydroxyphenylacetic acid) (EDDHA); dioxaoctamethy!ene dinitrilo tetraacetic acid (DOCTA); and triethy!enetetraamine hexaacetic acid (TTHA). In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise at least one base, at least one acid or mixture thereof; wherein the base is selected from the group consisting of quaternary

ammonium salts, primary amines, secondary amines, tertiary amines; and wherein the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.

In some embodiments in accordance with any of the above methods, the removal composition may additionally comprise at least one base at least one acid or mixture thereof, wherein the base is selected from tetramethyiammonium hydroxide (TMAH), tetraethyiammonium hydroxide (TEAH), benzyltrimethyiammonium hydroxide (BTAH), monoethanoi amine (MEA), diglycol amine (DGA), triethanolamine (TEA),

tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof and the acid is selected from the group consisting of inorganic acids, organic acids or mixtures thereof.

In some embodiments, the method for removing a hard mask consisting essentially of TIN, TaN, TiNxOy, TiVV, VV, Ti and alloys of Ti and W from a

semiconductor substrate, the method comprising contacting the semiconductor substrate with a removal composition comprising:

(a) 0.1 wt% to 90 wt% at least one oxidizing agent,

(b) 0.0001 wt% to 50 wt% of a carboxylate;

(c) the balance up to 100 wt% of the removal composition comprising deionized water

In some embodiemnts, the method additionally comprises the heating the removal composition up to 60°C. Heating the remoavai composition can occur prior to contacting the semiconductor substrate or after. In some embodiments, the method comprises contacting the semiconductor substrate with a removal composition for at least 2 minutes at a temperature from 20 to 45, 50, 53 or 60°C. In some embodiments, the method comprises contacting the semiconductor substrate with a removal compositionfor at least 2 minutes at a temperature up to 60°C.

A composition formulated according to the present disclosure and exhibiting an inherently high etch rate for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, enables processing at relatively low temperature, e.g., temperatures less than 65°C. A relatively low temperature process exhibits a reduced oxidizer decomposition rate, which, in turn, extends the useful composition bath life and pot life. Additionally, compositions according to the invention which exhibit high and selective etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, are desirable because they can reduce device processing time and thereby increase throughput. Typically, high etch rates for TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, have been achieved by increasing process temperatures. However, for single wafer process applications, the highest processing temperature is around 75°C, which, in turn, can limit the upper end of etch rates for TIN, and thereby limit the ability for one to completely remove TiN hard mask from a dual damascene structure. Compositions according to the invention can effectively deliver high etch rates for TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, with single wafer tool applications at a temperature range of from 20°C to 60°C, and the TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and W, hard mask can be fully removed with single wafer application process equipment if so desired.

In some embodiments, the removal composition is at a temperature from 20 to 45, 50, 53 or 60°C and at a pH from 2 to 14. In some embodiments, the removal composition is at a temperature from 20 to 45, 50, 53 or 60°C and at a pH from 5 to 12. In some embodiments, the removal composition is at a temperature from 20, 30 or 45 to 50, 53 or 60°C and at a pH from 2 to 14.

In some embodiments, the removal composition is at a temperature from 20, 30 or 45 to 50, 53 or 80°C and at a pH from 5 to 12.

The removal composition has an etch rate that is stabilized up to at least 35 hours at a selected operating temperature. In some embodiments, the selected operating temperature is from 20 to 45, 50, 53 or 60°C. In a preferred embodiment, the concentration of ammonium carboxylate is from 0.001 wt% up to 50 wt%. The compositions of the invention are effective in selectively removing an hard mask consisting essentially of TIN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and/or W, relative to Low-k, Cu, Co., SiON, SIGN, and TEOS materials from a semiconductor substrate comprising said iow-k dielectric material and having a TiN, TaN, TiNxOy, TiW, W, Ti, including alloys of Ti and/or W, hard mask thereon. In addition, the composition is also functional in simultaneously removing photoresist, polymeric materials, etching residues and copper oxide from the substrate.

The compositions and method according to the inventive concepts described herein are particularly applicable for processing single wafers in single wafer equipment. When a high TiN etch rate is required, a common approach is to process wafers at high process temperatures. However, higher temperatures are known to contribute to degradation of the oxidizing agent which shortens bath life and pot life. It has been observed according to the inventive concepts described herein that satisfactory results can be achieved at substantially lower temperatures in the range of from 20°C to 60°C to generate a pullback scheme or to completely remove the hard mask when the hard mask comprises TiN.

EXAMPLES

Removal compositions according to the invention are now explained in detail by reference to the inventive concepts and examples which follow, but the present invention is not limited by these examples and the results shown for each test.

Compositions of the invention may be embodied in a wide variety of specific

formulations, as hereinafter more fully described. In all such compositions, wherein specific components of the composition are discussed in reference to weight

percentage ranges including a zero lower limit, it will be understood that such

components may be present or absent in various specific embodiments of the

composition, and that in instances where such components are present, they may be present at concentrations as low as 0.0001 wt %, based on the total weight of the composition in which such components are employed. In the examples which follow, 100 g. samples of removal compositions were prepared according to the inventive concept(s) described herein. Each sample composition comprised each of the components listed in the various tables which follow at the weights shown in the corresponding formulation row. For example, a 100 g. quantity of sample composition designated " shown in Table 1 contained 2 g. of 10% aqueous ammonium tartrate, 7.21 g. of 10% aqueous DGA, 12.43 g. of 1 .5% aqueous BTA, 60 g. H202 (30% aqueous), and 18.36 g. deionized water (DIW).

The removal compositions can be formulated at the point of use, or they can be conveniently formulated beforehand without an oxidizer and then taken to the point of use where the oxidizer is added. There is also no particular sequence for mixing or blending the various ingredients.

Blank wafers for determining etch rate were purchased as follows:

Cu blank wafer - from Silicon Valley Microelectronics, Inc.,

Co blank wafer - from Silicon Valley Microelectronics, Inc.,

TIN blank wafer - from Si!yb wafer services,

W - from Silicon Valley Microelectronics, Inc.

TEOS - from Silicon Valley Microelectronics, Inc

BDII and BDIII blank wafers - from DK Nanotechnology

TIN ,Cu, Co, W and TEOS Etch Rate

Etch rate evaluations were carried out after 1 and 2 minutes of chemical treatment for TiN and 10 minutes for Cu, Co, W, and TEOS at the temperature noted in each example. TiN, Cu, Co, and W thicknesses were measured using a Four

Dimensions Four Point Probe Meter 333A, whereby the resistivity of the film was correlated to the thickness of the film remaining after contact with the composition of the invention. The TEOS thickness was measured with Auto SE Spectroscopic

Eiiipsometer by HORIBA JOBIN WON. The etch rate was calculated as the thickness change (before and after chemical treatment) divided by the chemical treatment time. Chemical solution pH was measured with a Beckman 260 pH/Temp/mV meter. The H 2 0 2 used in the experiments was sourced from J. T. Baker. Residue removal efficiency and TiN hard mask etch were evaluated from SEM results (Hitachi S-5500).

The compositions shown in Table 1 were prepared using deionized water as the solvent, BTA or a mixture of BTA and pyrazole as Cu corrosion inhibitor, H 2 0 2 as the oxidizing agent, and digiycoiamine (DGA) or benzyitrimethylammonium hydroxide (BTAH) as the base to adjust pH. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and a pH of about 8.

Table 1

Compositions 1 , 2 and 3 demonstrated a removal rate for TiN in the range of from 178 A/min up to 340 A/min at a relatively low temperature in the range of from 50°C to S3°C. A copper etch rate of less than 3 A/min is considered good for commercial wafer processing.

Referring now to the Figs., Figs. 1 A and 1 B are SEM images of semiconductor wafer segments which show trenches and vias, respectively, as received following a dual damascene fabrication step, but before treatment with a removal compositon.

Figs. 2A and 2B are views of the wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 1 for 90 sec. at a

temperature of 50°C. Residue was removed, but some TiN hard mask remained as noted in Fig. 2A. Figs. 3A and 3B are views of wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 2 for 90 sec. at a temperature of 50°C wherein TiN hard mask and residue have been

completely removed. Figs. 4a and 4B are views of wafer segments, similar to the wafer segments shown in Figs. 1 A and 1 B, after contact with removal composition 3 for 90 sec. at a temperature of 53°C. TiN hard mask and residue have been completely removed.

The compositions shown in Table 2 were prepared using deionized water as the solvent, BTA as Cu corrosion inhibitor, H 2 0 2 as the oxidizing agent, and

tetramethylammonium hydroxide (TMAH) as the base to adjust pH. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 80X and a pH of about 7.8.

Each of the removal compositions, which contain, respectively, the ammonium lactate, ammonium tartrate, ammonium carbonate, and ammonium citrate tribasic at the amounts indicated, demonstrated a higher TiN etch rate compared with the

corresponding control, composition 4, that did not contain an ammonium carboxyiate.

Table 2

The formulations shown in Table 3 were prepared, and TIN and Cu etch rate

evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and similar copper etch rate when compared to the control, composition 9, that did not contain an ammonium carboxyiate. Table 3

The formulations shown in Table 4 were prepared using DGA to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 13, that did not contain an ammonium carboxylate.

Table 4

The formulations shown in Table 5 were prepared using TMAH to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 17, that did not contain an ammonium carboxylate. Table 5

The formulations shown in Table 8 were prepared using

benzyitrimethylammonium hydroxide (BTAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50°C and pH of about 8. The removal

compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 21 , that did not contain an ammonium

carboxylate.

Table 8

The formulations shown in Table 7 were prepared using tetraethylammonium hydroxide (TEAM) to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50 C C and pH of 8. The removal compositions demonstrated a higher TIN etch rate and a similar Cu etch rate when compared to the control, composition 25, that did not contain an ammonium carboxylate.

Table 7

The formulations shown in Table 8 were prepared using DGA to adjust the pH, but no copper corrosion inhibitor was used. TIN and TEOS removal rate evaluations were carried out as described above at a temperature of 50°C and pH of about 8. The removal compositions demonstrated a higher TIN etch rate when compared to the control, composition 31 , that did not contain an ammonium carboxylate.

The presence of the ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate and ammonium tartrate at concentrations of from 1 .48 wt % to less than 3 wt% operate to provide the removal compositions of the invention with the capability to deliver very high TiN etch rates at relatively low temperature, e.g., 50°C. It is noteworthy according to the described and claimed inventive concepts that none of the ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate or ammonium tartrate had significant effect on TEOS removal rate when compared to the control, composition 31 . Table 8

The formulations shown in Table 9 were prepared without the use of a pH adjustment agent. The Cu corrosion inhibitor used was Wintroi A-90, a commercial mixture of BTA and toiyltriazoie. The desired TiN and Cu etch rates and pH were obtained by varying hydrogen peroxide and ammonium carboxyiate concentrations. In these examples, several carboxyiates in various concentrations were used. Hydrogen peroxide concentration was either 20 wt% or 80 wt%. The formulation pH's ranged from a low of pH 4.3 up to pH 8.3, and the TiN etch rate, i.e., the removal rate, ranged from a low of 1 1 A/min up to 228 A min.

Table 9

The formulations shown in Table 10 were prepared with tartaric acid, or TlvlAH, or without any pH adjustment agent. Wintroi A~90 was used as a Co corrosion inhibitor In these examples, several carboxylates in various concentrations were used.

Hydrogen peroxide concentration ranged from 10 wt% to 80 wt%. The formulation pH ranged from a low of pH 5 up to pH 10. The Co etch rate was insignificant in all cases (i.e., the highest Co etch rate was 1 .48 A/min ).

Tablel O

The results shown in Table 1 1 demonstrate that a mixture of ammonium lactate and ammonium tartrate in removal composition 54 exhibited a higher TiN etch rate when compared to the control, composition 53, which contained no ammonium carboxylate.

Table 1 1

The formulations shown in Table 12 were prepared using TMAH to adjust the pH, and BTA was used as copper corrosion inhibitor. Carboxylates used were potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, and

potassium L-lactate in compositions 58, 57 and 58, respectively. Each of these compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 55, that did not contain a carboxylate.

Table 12

The results shown in Table 13 indicate that at ammonium carboxyiate concentrations as low as 0.001 %, removal compositions 80 through 63 exhibited higher TiN etch rates and similar Cu and Co etch rates when compared to the control, composition 59.

Table 13

The results shown in Table 14 demonstrate that at an ammonium acetate concentration of 50 wt%, removal composition 65 exhibited a higher TiN etch rate and similar Cu and Co etch rates when compared to the control, composition 84, which contained no ammonium carboxyiate.

Table 14

Tungsten (W) Etch Rate

The formulations shown in Table 15 were prepared, and W (tungsten) etch rate evaluations were carried out at temperatures of 45°C and 55°C as described above in connection with TiN removal. Table 15

Table 15 demonstrates the presence of ammonium carboxy!ate at a

concentration of 1 .172 wt% to 3 wt% and at a pH ranging from about 4 to slightly higher than 1 1 was shown to significantly increase the VV removal rate when compared to the corresponding ammonium carboxy!ate-free control compositions 88, 70 and 72 at the same pH.

Removal Composition Stability

Pot life is a measure of the ability of the removal composition formula to perform optimally over time and without significant variation in functionality over time. Pot life is a strong function of temperature. After many hours of treatment at high temperature, the chemicals in the mixture can decompose and the formula will lose functionality.

Pot life studies were conducted (to confirm the period of time during which, and the extent to which, the etch rates of the removal compositions of the invention remained constant) as follows: 1200 gram stock solutions were prepared and maintained at 50 °C. 150 gram samples were removed from the heated stock solution and used for TIN and Cu etch rate and pH studies at specific times at 50 °C. The samples were discarded after each etch rate measurement. Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxyiate at a concentration of 0.3 wt%. 1 ,2-cyciohexanediamirie-N, N, N', N'~ tetraacetic acid (CDTA) was selected as the aminopo!ycarboxyiic acid chelating agent in formulation 74 ,75, and no chelating agent (CDTA) was included in the control formulation 78. The compositions are shown in Table 18. Results are shown in Table 17.

Table 18

Table 17

The data presented in Table 17 demonstrates that with CDTA in removal compositions 74 and 75, the TiN etch rate remained stable, i.e., substantiaiy constant, over a period of 22 hours. The initial TiN etch rate was 157 A/min, and it remained at 156 .4 A/min for composition 75 over a 22 hour period. For composition 74 the initial TIN etch rate was 168.1 A/min and remained at 156.6 A min over a 22 hour period, !n composition 76, without CDTA, the TiN etch rate declined from an initial etch rate of 219 A/min to an etch rate of 99.9 A/min at 22 hours.

Table 18

Table 19

The data presented in Table 19 demonstrates that with CDTA in removal compositions 77, the TIN etch rate remained stable, i.e., substantialy constant, over a period of 35 hour. The initial TIN etch rate was 174 A/min, and it is at 160 A/min for composition 77 at 35 hour period. In composition 78 without CDTA, the TIN etch rate declined from an initial etch rate of 212 A/min to an etch rate of 1 10 A/min at 35 hours.

Removal compositions were prepared according to the described and claimed inventive concept(s) wherein Tetraammonium ethylenediaminefetraacetic acid,

Triammonium ethylenediaminetetraacetic acid and Diammonium

ethyienediaminetetraacetic acid was selected as the ammonium carboxylate at a concentration of specified in Table 20. 1 ,2-cyclohexanediamine-N, N, N ! , N'-tetraacetic acid (CDTA) was selected as the aminopoiycarboxylic acid chelating agent in

formulation 79, 80 and 81 .The compositions are shown in Table 20.

Samples were taken from the removal compositions at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TIN and Cu etch rates. Results are shown in Table 21 .

Table 20

Table 21

The data presented in Table 21 demonstrates that with CDTA in removal compositions 79, 80 and 81 , the TiN etch rate remained stable, i.e., substantiaiy constant, over a period of 35 hours. The initial TiN etch rate was 192 A/min, and it is at 176 A/min for composition 79 over a 35 hour period. For composition 80, the initial TiN etch rate was 181 A/min and is at 171 A/min over a 35 hour period. For composition 81 the initial TiN etch rate was 167 A/min and is at 160 A/min over a 35 hour period.

Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxylate at a concentration of 0.3 wt%. 1 ,2-cyc!ohexanediamine-N, N, Ν', N'- tetraacetic acid (CDTA) was selected as the aminopolycarboxy!ic acid chelating agent in formulation 82 and 83. The compositions are shown in Table 22. Samples were taken from the removal compositions at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TiN and Cu etch rates. Results are shown in Table 23.

Table 22

Table 23

The data presented in Table 23 demonstrates that with 0.001 % and 0.005% of CDTA in removal compositions 82 and 83, respectively, the TiN etch rate remained stable, i.e., substantial constant, over a period of 35 hours. The initial TiN etch rate was 42 A/min, and it remained at 38 A/min for composition 82 over a 35 hour period(18.67% reduced TiN etch rate). For composition 83, the initial TiN etch rate was 48 A/min and remained at 45 A/min over a 35 hour period(8.3% reduced TiN etch rate). For comparision, control formulation 84 without CDTA, the initial TiN etch rate was 47 A/min, and it is at 30 A/min for over a 35 hour period which shows a 36% reduced TiN etch rate. CDTA stabilizes TiN etch rate.

The formulations shown in Table 24 were prepared using TEAH to adjust the pH, and BTA was used as copper corrosion inhibitor. CDTA was used to stabilize TiN etch rate.

A pot life study of Table 24 formuations were conducted according to the method described above. Samples were taken at intervals of 0, 4, 8, 24, 28, 32 and 35 hours to measure TiN and Cu etch rates and pH. Results are shown in Table 25.

Table 24

Table 25

The data presented in Table 25 demonstrate that with 1 %, 2% and 3% CDTA in removal compositions 85, 88 and 87, respectively . , the TiN etch rate remained stable, i.e., substantialy constant, over a period of 35 hours. The initial TiN etch rate was 170 A/min, and it remained at 159 A/min for composition 85 at a 35 hour period. For composition 86, the initial TiN etch rate was 170 A/min and remained at 158 A/min at 35 hour period. For composition 87, the initial TiN etch rate was 178A/min and remained at 166 A/min at 35 hour period. For comparision, control formulation 88 without CDTA, the initial TiN etch rate was 233 A/min, and it is at 136 A/min for over a 35 hour period. CDTA stabilizs TiN etch rate.

The formulations shown in Table 26 were prepared using DGA to adjust the pH, and BTA was used as copper corrosion inhibitor. Tetraammonium

ethyienediaminetetraacetic acid was used to stabilize the TiN etch rate.

A pot life study of Table 26 formuations were conducted according to the method described above. Samples were taken at intervals of 0, 2, 4, 8, 24, 28 and 35 hours to measure TiN and Cu etch rates and pH. Results are shown in Table 27.

Table 26

Table 27

Table 27demonstrates that with tetraammonium ethy!enediaminetetraacetate in removal composition 89, the TiN etch rate remained stable, i.e., remained

substantially constant, over a period of thirty five (35) hours. The initial TiN etch rate was 224 A min, and it is at 179 A/min at thirty five (35) hour (20% TiN etch rate drop after 35 hours). In composition 90, without Tetraammonium

ethyienediamirietetraacetate , the etch rate dropped from an initial rate of 143 A/min to a rate of 57 A/min after 35 hours (60% TiN etch rate drop after 35 hours).

Tetraammonium ethyienediamirietetraacetate stabilizes TIN etch rate. The formulations in Table 28 were prepared using DGA to adjust pH. BTA was used as copper corrosion inhibitor. The ammonium carboxylate selected was tetraammonium EDTA. The results shown in Table 28 indicate that Tetraammonium ethylenediaminetetraacetate in removal composition 81 exhibited a higher TiN etch rate when compared to the control, composition 82, which contained no ammonium arboxylate.

Table 29

The experimental results shown in Table 29 demonstrate that with

tetraammonium ethylenediaminetetraacetate in removal composition 81 , the initial TiN etch rate was 233 A/min, and it is 198 A/min at twenty eight (28) hour period. In composition 92, without Tetraammonium ethylenediaminetetraacetate, the TiN etch rate dropped from an initial rate of 134 A/min to a rate of 61 A/min at 28 hours.

The presence of ammonium carboxyiate in the removal compositions of the invention not only increased TiN etch rate as shown in Tables 2 through 8, 1 1 , 13 through 15, and 26 and 27, but the data support the conclusion that their presence also operates to stabilize the TiN etch rate over an extended period of time, e.g., up to at least 35 hours.

Several embodiments of the inventive concepts have been described. However, those ordinarily skilled in the art will recognize that the invention is not limited to the embodiments described. The inventive concepts can be practiced with modifications and alteration within the spirit and scope of the appended claims.