Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
RESISTANCE-TEMPERATURE AND HOTPLATE SENSORS
Document Type and Number:
WIPO Patent Application WO/2020/009915
Kind Code:
A1
Abstract:
A resistance-temperature sensor for detecting characteristics of a sample, includes a sample formed of a conducting material on a substrate in a compact trace pattern. Electrical contact pads on the substrate connect to the sample. The sample is self-heated by Joule heating from current passing through the sample. The substrate is an order of magnitude thicker than the sample, and the temperature of the sample is determinable according to level of power input to the sample. A hotplate sensor includes a substrate, a plurality of conducting sample pads on the substrate, and a sample of a first material on the substrate in electrical connected to the sample pads. A heating element of a second material on the substrate surrounds the sample. The heating element is configured to increase in temperature upon application of a current across two or more of the heater pads.

Inventors:
ZHANG HAITAO (CN)
VLASSAK JOOST (US)
ZHENG JUANJUAN (US)
MIAO YUCONG (US)
Application Number:
PCT/US2019/039716
Publication Date:
January 09, 2020
Filing Date:
June 28, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
HARVARD COLLEGE (US)
ZHANG HAITAO (CN)
VLASSAK JOOST (US)
ZHENG JUANJUAN (US)
MIAO YUCONG (US)
International Classes:
H05B3/74; G01F1/699; G01K7/16
Domestic Patent References:
WO2014173897A12014-10-30
Foreign References:
US4808009A1989-02-28
KR20050048581A2005-05-24
Attorney, Agent or Firm:
WHITCOMB, Jonathan, B. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A resistance-temperature sensor for detecting characteristics of a sample, comprising:

a substrate;

the sample formed of a conducting material disposed directly upon the substrate in a compact trace pattern; and

a plurality of electrical contact pads disposed upon the substrate in electrical communication with the sample,

wherein the sample is configured to be self-heated by Joule heating from current passing through the sample, the substrate is at least an order of magnitude thicker than the sample, and the temperature of the sample is determinable according to level of power input to the sample.

2. The sensor of claim 1, wherein the sample compact trace pattern comprises a spiral pattern.

3. The sensor of claim 1, further comprising a protective overcoating material disposed over the sample and the substrate.

4. A resistance-temperature sensor array comprising a plurality of the resistance-temperature sensor of claim 1 disposed upon the substrate.

5. The resistance-temperature sensor of claim 1, wherein the substrate comprises fused silica.

6. A hotplate (HP) sensor comprising:

a substrate;

a plurality of electrically conducting sample pads disposed upon the substrate; a sample comprising a first material disposed upon the substrate in electrical communication with the plurality of sample pads via a corresponding plurality of electrical connections disposed upon the substrate;

a heating element comprising a second material distinct from the first material disposed upon the substrate, the heating element arranged in a pattern to at least partially surround the sample in a surface plane of the substrate;

a plurality of electrically conducting heater pads disposed upon the substrate in electrical communication with the heating element,

wherein the heating element is configured to increase in temperature upon application of a current across two or more of the heater pads.

7. The sensor array of claim 1, wherein the substrate is at least an order of magnitude thicker than the sample, and the temperature of the sample is determinable according to level of power input to the sample. 8 An array comprising a plurality of hot plate (HP) sensors according to claim 6 disposed upon the substrate.

9. The resistance-temperature sensor of claim 6, wherein the substrate comprises fused silica.

10. A method for forming a resistance-temperature sensor, comprising the steps of:

providing a substrate of fused silica;

applying a layer of photo resist to a first surface of the substrate with a lithography mask;

removing a first portion of the photo resist from the first surface of the substrate wherein the first portion of the photo resist is arranged in a spiral pattern;

depositing a sample material upon the photo resist and the first surface of the substrate; and

lifting off a second portion of the photo resist from the first surface of the substrate, wherein the second portion comprises any remaining photoresist upon the substrate.

11. The method of claim 10, further comprising the step of depositing a capping layer upon the sample and substrate.

12. A method of forming a resistance-temperature sensor array, comprising the step of forming a plurality of the resistance-temperature sensors of claim 10 upon the substrate.

13. A method for forming a hotplate sensor, comprising the steps of:

providing a substrate of fused silica;

applying a layer of photo resist to a first surface of the substrate with a first lithography mask;

removing a first portion of the photo resist from the first surface of the substrate wherein the first portion of the photo resist is arranged in an arc pattern;

depositing a heating element material upon the photo resist and the first surface of the substrate;

lifting off a second portion of the photo resist from the first surface of the substrate, wherein the second portion comprises any remaining photoresist upon the substrate; and

depositing a sample material upon the first surface of the substrate within the arc pattern.

14. The method of claim 13, further comprising the step of depositing a capping layer upon the sample, heating element, and substrate.

15. The method of claim 13, further comprising the step of mounting a shadow mask over the heating element material on the substrate.

16. The method of claim 13, further comprising the step of mounting a second lithography mask over the heating element material on the substrate, wherein the second lithography mask conforms to a desired sample configuration.

17. A method of forming a hotplate sensor array, comprising the step of forming a plurality of the hotplate sensors of claim 13 upon the substrate.

Description:
Resistance-Temperature and Hotplate Sensors

CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims the benefit of ET.S. Provisional Patent Application serial number 62/693,553, filed July 3, 2018, entitled“Resistance-Temperature Sensor,” and ET.S. Provisional Patent Application serial number 62/841,865, filed May 2, 2019 and entitled“Resistance-Temperature and Hotplate Sensors”, both of which are incorporated by reference herein in their entirety.

GOVERNMENT LICENSE RIGHTS

This invention was made with government support by the Air Force Office of Sponsored Research under Grant No. FA9550-16-1-0180. The government has certain rights in the invention.

FIELD OF THE INVENTION

The present invention relates to resistance as a function of temperature.

BACKGROUND OF THE INVENTION

One of the primary methods of determining the microstructure of materials is by measuring resistivity. For example, measuring the resistivity as a function of temperature is utilized to measure phase change and crystallization for shape memory alloys, metallic glasses, thermoelectric materials, phase change memory, and superconductive materials.

Performance of a material is generally governed by its composition and micro structure. Resistivity is an intrinsic property that may reveal the microstructure of a material. Change of microstructure with temperature may be revealed by measuring the resistivity of the material as a function of temperature, which is extensively applied to investigate phase change, crystallization et al. for shape memory alloys, metallic glasses, thermoelectric materials, phase change memory, and superconductors.

FIGS. 1 A and 1B show a membrane-based nanocalorimetry sensor which can measure resistance as a function of temperature, where the nanocalorimetry sensor may be thought of as a calorimeter that measures heat flow of material as a function of temperature for nano-scale materials. In this sensor, free-standing Tungsten capsuled by silicon nitride serves as heating element and thermometer. A sample is deposited on the opposite side of a silicon nitride membrane. The free-standing structure is critical for high sensitivity of heat flow. The sensor was developed for combinatorial research of shape memory alloys. However, the application is limited by its insufficient throughput, limited temperature uniformity, and complicated fabrication process. While the membrane-based nanocalorimetry sensor provides for a high cooling rate (pre-defmed heating rate is available), it suffers from a complex structure, is complicated to fabricate, and is expensive and fragile.

FIG. 2 shows the structure of a prior art hotplate sensor 200. Structure of this sensor is similar with the nanocalorimetry sensor. Compared with FIGS. 1 A and 1B, here there are four electrodes under the sample for resistance measurement. In this sensor, Platinum works as both a heating element and a thermometer, provided that a high cooling rate and pre-defmed heating rate is available. However, like the membrane-based nanocalorimetry sensor of FIGS. 1A, 1B, the hotplate sensor 200 has a complex structure, is complicated to fabricate, and is expensive and fragile. Further, this approach is based on bulk sample and conducted one sample at a time, which is time consuming and far from requirements for the development of new materials. Therefore, there is a need in the industry to address one or more of the abovementioned shortcomings.

SUMMARY OF THE INVENTION

Embodiments of the present invention provide a resistance-temperature sensor, a hotplate sensor and methods for fabricating each. Briefly described, a first aspect of the present invention is directed to a resistance-temperature sensor for detecting

characteristics of a sample. The sample is formed of a conducting material disposed directly upon a substrate in a compact trace pattern. A plurality of electrical contact pads are disposed upon the substrate electrical communication with the sample. The sample is configured to be self-heated by Joule heating from current passing through the sample, the substrate is an order of magnitude thicker than the sample, and the temperature of the sample is determinable according to level of power input to the sample.

Briefly described, a second aspect of the present invention is directed to a hotplate sensor. A plurality of electrically conducting sample pads disposed upon a substrate, and a sample of a first material on the substrate is in electrical communication with the plurality of sample pads via electrical connections disposed upon the substrate. A heating element of a second material on the substrate surrounds the sample. The heating element is configured to increase in temperature upon application of a current across two or more of the heater pads.

Other systems, methods and features of the present invention will be or become apparent to one having ordinary skill in the art upon examining the following drawings and detailed description. It is intended that all such additional systems, methods, and features be included in this description, be within the scope of the present invention and protected by the accompanying claims.

BRIEF DESCRIPTION OF THE DRAWINGS

The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principals of the invention.

FIG. 1 A is a schematic diagram of a prior art nanocalorimetry cell in cross section.

FIG. 1B is a schematic diagram of a prior art nanocalorimetry cell in plain view schematic view.

FIG. 2 is a schematic diagram of a prior art hotplate sensor.

FIG. 3 A is a schematic diagram of the sample configuration of a first embodiment of an RT (resistance-temperature) sensor.

FIG. 3B is a schematic diagram of a perspective view of the first embodiment of the RT sensor.

FIG. 3C is a schematic diagram of a cutaway of the first embodiment of the RT sensor.

FIG. 3D is a schematic diagram detailing the sample configuration of the RT sensor of FIG. 3 A.

FIG. 3E is a schematic diagram showing an array of 120 identical RT sensors on a fused quartz wafer. FIG. 4A illustrates an exemplary steady-state temperature distribution in the RT sensor at a temperature in excess of 1200 K.

FIG. 4B shows temperature non-uniformity of the RT sensor of FIG. 4 A increases nearly linearly with increasing temperature.

FIG. 4C shows the effect of radiative losses from the sample of the RT sensor increases rapidly with increasing temperature.

FIGS. 5A-5C show the correlation between temperature, resistance, and heating power of the RT sensor.

FIG. 6A is an optical image of an array of 120 hotplate (HP) sensors under a second exemplary embodiment on a fused quartz substrate.

FIG. 6B is a schematic diagram of the HP sensor of FIG. 6A.

FIG. 6C is a magnified view of the sample and heating element area of the sensor of FIG. 6B.

FIG. 6D is a schematic cross-section through part of the HP sensor of FIG. 6C along the dashed line of FIG. 6C.

FIG. 6E is a diagram showing an example of steady-state temperature distribution obtained from a finite element simulation for an applied voltage of 10 V to the second embodiment sensor.

FIG. 6F shows a plot of temperature non-uniformity of the sample (circular region in inset) as a function of temperature as determined from finite element simulations.

FIG. 7 is a schematic diagram showing a system using the sensor of FIG. 6B.

FIG. 8A is a plot showing temperature-resistance curves for a first of two successive scans on Ti 5i Ni43Cu 6 on an as-deposited sample at a heating rate of 28 K/min. FIG. 8B is a plot showing temperature-resistance curves for a second of two successive scans on Ti 5i Ni 4 3Cu6 at a heating rate of 12 K/min on the same sample as FIG. 8A showing a reversible martensitic transformation.

FIG. 9A is a plot showing magnification of the resistance curve of a

Pd 77.5 Sii 6.5 Cu 6 sample on heating.

FIG. 9B is a plot showing normalized resistance as a function of temperature for as-deposited Pd 77.5 Sii 6.5 Cu 6 metallic glasses.

FIG. 10 is a plot showing correlation between the resistance after crystalization normalized by the initial resistance (both evaluated at ambient temperature), R/R0, and the GFA parameter, g, for all PdSi-based samples in FIG. 9A.

FIG. 11 is a series of schematic diagrams illustrating an examplary process for imbricating a RT sensor and a HP sensor.

DETAILED DESCRIPTION

The following definitions are useful for interpreting terms applied to features of the embodiments disclosed herein, and are meant only to define elements within the disclosure.

As used within this disclosure,“sample” refers to one or materials of interest to be observed and/or tested.

As used within this disclosure, a“compact trace” pattern refers to a deposition of a thin conducting material (traces) upon a substrate in a pattern such that the trace doubles back on itself at least once to form areas of parallel and/or concentric traces with little space between adjacent traces, such that the ratio of trace length to the area of the substrate covered by the trace large, preferably maximized. Typically, a trace may be used to provide an electrical connection between two components on the substrate.

Reference will now be made in detail to embodiments of the present invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts.

Exemplary embodiments of the present invention provide a resistance- temperature (RT) sensor that measures the resistance of a material as a function of temperature and composition with excellent temperature uniformity and a straightforward fabrication process. One advantage of these embodiments is that temperature of the RT sensor is determined based on the heating power instead of temperature coefficient of resistance (TCR), which allows for simplification in the design, fabrication, and use of the sensor. The temperature uniformity may be quantified using fmite-element-method (FEM) simulation.

FIGS. 3 A-3E show a schematic representation of a first embodiment RT sensor 300. The RT sensor 300 measures the resistance of thin-film samples as a function of temperature and composition with excellent temperature uniformity, and is both inexpensive and easy to fabricate. Tinder the first embodiment RT sensor 300, the temperature is determined indirectly from the power supplied to the RT sensor 300 instead of measuring the temperature directly with a thermocouple or thermistor. As described further below, the RT sensor 300 allows a significant simplification of the design, fabrication, and application. An exemplary structure of the sensor 300 is illustrated in FIGS 3B and 3C. The sensor 300 includes three parts: a substrate 310, a sample 320, and a capping layer 330. The sample 320 is disposed directly upon the substrate 310 without an intermediate insulating layer. The capping layer is disposed on top of the substrate and sample. Fused silica may be selected as the substrate 310 due to its multiple advantages. First, over l200°C working temperature makes the sensor 300 suitable for high-temperature applications. Second, high resistance of thermal shock allows for high heating and cooling rates, for example greater thanlOOK/s, without breaking the substrate 310. Third, when deployed in an array 390 or grid, the low thermal conductivity of the substrate 310 prevents an adjacent sample 320 from being heated during the measurement.

Under the first embodiment, the RT sensor 300 may have, for example, a sample 320 formed of a 90pm wide strip of a conducting material disposed upon a substrate 310 in a spiral configuration that forms several concentric circles with 1 Opm wide gap. Four pads 340a, 340b, 340c, 340d are lead from the sample 320 for electrical connection. In the RT sensor 300, the material of interests serves both as heating element and sample 320. During measurement, a current is sent through the sample 320, and Joule heating caused by the current heats up the entire area around the sample 320. The heating current and the voltage drop through the circle between voltage probes, which is defined as the effective area, are simultaneously recorded, for example using an external instrument, such as a multimeter. Voltage probes typically indicate the point that the middle two pads connect to the circular part, which can be found in the inset of FIG. 3A.Thus, resistance of the effective area may be calculated according to Ohm's law. An exemplary thickness of the sample 320 may be on the order of hundreds of nanometers, while the substrate 310 may be on the order of 500 micrometers thick.

Preferably, the substrate 310 may be approximately three orders of magnitude thicker than the sample 320, so the thermal mass of the sample 320 becomes negligible as compared to the substrate 310. Therefore, the temperature of the sensor 300 is only determined by the equilibrium between heating power and heat loss. In a controlled working environment, heating power becomes the only determine factor to temperature, allowing temperature determination by directly monitoring heating power, which is much more straightforward to implement than the widely used temperature coefficient of resistance (TCR) based calibration. Details on temperature determination method are referred to below regarding temperature calibration.

Various design parameters improve temperature uniformity. The voltage probes should preferably be much narrower than current probes for accurately defining the effective area, for example, the width of the voltage probes should preferably be no wider than 0.5 of the heating element. All the corners of the heating element (the corners at the bend part between each circle) are preferably filleted to eliminate an undesirable hot- point. Position of voltage and current pads are carefully arranged to make the temperature distribution as symmetrical as possible. To make the temperature distribution as uniform as possible, the current probes should preferably be symmetric, as they are also heated simultaneously during working. Strip width and the gap between each circle are also finely tailored to balance temperature uniformity and process ability. For example, the higher the ratio of the strip width to gap is, the better temperature uniformity may be. However, considering the fabrication difficulty, if the gap is too narrow, the fabrication cost and difficult would be significantly increased. Here the ratio is 9 (90um/l0um), which is a preferable value.

A sensor 300 may be fabricated, for example, by coating on the order of 1.5 pm of photoresist (for example, Shipley 1813, Dows) on a 4-inch fused silica wafer. A pattern for the sample 320 may then be transferred, for example by lithography and development. The sample 320 may be deposited using various techniques, including evaporation, sputtering, among others. The pattern may be realized, for example, through lift-off process. A coating, for example, a lOOnm SiNx coating 350 may be coated on the top of the entire device using plasma enhanced chemical vapor deposition (PECVD) (Nexx Cirrus 150) to prevent against oxidation at high temperature. By covering the device with SiNx, the sensor 300 can operate in air ambient without oxidation. The sensor 300 can also function in a vacuum environment, in which case protective coating is unnecessary and the fabrication process may be further simplified. FIGS. 3D and 3E show the as- fabricated sensor 300 and a device with multiple sensors 300, respectively. In FIG. 3E,

120 sensors are arranged on 4-inch wafer with spacing of 7mm, enabling high-throughput measurement of combinatorial material library with various process conditions.

An array 390 of sensors 300 may be readily fabricated, for example, to explore the behavior of alloy systems using composition spreads. The RT sensor 300 may be used to observe the glass transition and crystallization of several PdSiCu-based metallic glasses, where the ratio of the resistance of crystallized to as-deposited material is correlated with the glass-forming ability of both PdCuSi and NiZr-based alloys. Thus, the RT sensor 300 may provide an avenue for effective screening of complex materials systems for good glass formers. The RT sensor may be made in various configurations depending upon the application. Preferable materials for the substrate 310 for both high and low heating rates, for example, lower than lOOK/s, may include single crystal quartz, fused silica, or possibly regular glass, which is inexpensive but has a low working temperature range.

The working temperature of the substrate depends mainly on its melting temperature or softening temperature. For example, Si has a melting/softening temperature of l4l4°C, 1670 °C for Quartz and fused silica, 2,072 °C for Sapphire, and around 400 °C for regular glass and up to around 800 °C for different type of glass.

If the heating rate is slow, the adjacent cells in a sensor array 390 may be affected. Materials that may be unsuitable for the substrate 310 may include conductive materials such as metal, and/or low working temperature materials such as polymers. The protective coating material of the capping layer 330 may be, for example but not limited to, Si3N4, Si02, Hf02, A1203, or combinations thereof, having a thickness in the range of 50-l000nm, typically 200nm. The material for the sample 320 is preferably a conductive material such as metal, alloy, semiconductor, conductive ceramic etc., having a thickness in the range of lO-lOOOnm, typically 500nm.

When compared with a nanocalorimetry sensor and/or hotplate sensor, the RT sensor 300 advantageously has a simplified structure, is easier to fabricate, has lower fabrication cost, and exhibits excellent temperature uniformity, which results in high sensitivity. RT sensors 300 may also be used in higher density, for example 120 RT sensors 200 in a typical application, compared with nanocalorimetry sensors 65 or hotplate sensors 44. The main reason for the greater density is that the connection between sensors and measurement instruments are through probes driven by a 3D robotic stage, not a static probe card as nanocalorimetry and hotplate sensor do. Therefore, the contact pads 340 are not necessarily routed to the edge of the substrate, which significantly reduces the area used by the wire routing.

Further, the RT sensor 300 is generally more robust than either nanocalorimetry sensors or hotplate sensors, as the RT sensor 300 has no fragile membrane.

Resistance-temperature measurements of the RT sensor 300 may be performed, for example, using an automated system that probes one sensor at a time. A four-point probe head may be mounted on a vertical piezo-stage (for example, an AG-LS25V6, Newport Corp.). The substrate 310 may be placed on a 4-inch diameter, 0.25-inch-thick borosilicate glass disc carried by two horizontal translation stages (T-LSM100A, Zaber Technologies Inc.). The motion resolution of the horizontal stages and the vertical stage is 0.05 pm. Two digital microscopes may be installed on the base of the system to assist with aligning the probe to the sensor pads 340 and to monitor the sensor. The measurement system may be vacuum compatible, making it possible to perform experiments in a vacuum environment.

The current applied to the sensor 300 may be generated, for example, using a programmable power supply (Rigol DP832a). The voltage across the voltage leads of the sensor 300 may be measured via a first multimeter, for example, a Keithley 2000 multimeter, while the precise current may be obtained from the voltage drop across a 10 W precision resistor, for example, a Vishay VPR221Z, in series with the sensor using a second multimeter, for example, a Fluke 8842A multimeter. The power supplied to the sensor 300 is determined from the product of the current and the voltage drop across the sensor 300. The motion of the automated probe and the resistance-temperature measurement may be controlled, for example, using a Lab VIEW program.

The temperature distribution in the sensor 300 may be determined for a broad range of conditions by solving the coupled heat transfer and Joule heating problem using a commercial finite-element code (for example, COMSOL Multiphysics®). The temperature of the ambient and the edge of the substrate 310 may be set at room temperature, for example, 293.15K. Both heat loss by natural convection (heat transfer coefficient ~5 W/m2 K) and radiation are preferably taken into account, but not loss by conduction through air given the low thermal conductivity of air (0.026 W/m-K).

Exemplary parameters used in the calculations are listed in Table 1 :

Table 1

FIG. 4A illustrates an exemplary steady-state temperature distribution in the sensor 300 at a temperature in excess of 1200 K. The temperature distribution is very nearly axisymmetric, consistent with the intentions of the design. As a result, the temperature within the sample area, i.e., the circular arc between the voltage probes is very uniform. To quantitatively evaluate the temperature uniformity, the relative temperature non-uniformity, d, may be defined as

where To is the average temperature across the sample area, T a represents the ambient temperature, T is the temperature at a specific position, and S is the sample area. The temperature non-uniformity increases nearly linearly with increasing temperature (FIG. 4B), but is better than 1% even at the highest temperature.

While it may be relatively easy to maintain a constant ambient temperature for the sensor 300 to ensure the power-temperature relationship of the sensor 300 does not change, a factor that may have an impact on this relationship is the emissivity of the sample surface. To estimate the effect of radiation loss from the sample surface, simulations have been performed using the parameters listed in Table 1, except that the emissivity of the sample was varied from 0.01 to 0.05, typical values for physical vapor deposited metallic films. Since radiation heat loss rises with the fourth power of the temperature, the effect of radiative losses from the sample increases rapidly with increasing temperature (FIG 4C). Even so, the sensor temperature changes less than 3 K over this emissivity range at elevated temperature (-1200 K), which should be negligible for most screening applications.

Before the sensor 300 is used, the relationship between input power and temperature should be calibrated. Since the relationship between input power and temperature is independent of the nature of the sample, a 200 nm platinum film may be used as sample material for the calibration because of its oxidation resistance. Prior to the calibration, the sensor 300 may be heated to 1300 K for more than 20 seconds to stabilize the microstructure of the platinum. The relationship between input power and

temperature is then determined in a two-step process. First, the resistance of the platinum is calibrated to temperature. The sensor 300 is placed on a hotplate and heated from ambient temperature (-293K) to 640 K in 20 K increments. During this process, the resistance of the platinum sample is measured using a Keithley 2000 multimeter in a four-terminal configuration. This step yields the temperature-resistance relationship for the platinum film. In the second step, the resistance of the same sensor is measured as a function of input power. In a typical measurement, the resistance of the platinum stabilizes within a few seconds from the moment the power is applied, indicating that a steady-state temperature is reached almost immediately. The resistance of the platinum sensor serves as the link between input power and temperature, and makes it possible to determine the steady-state power-temperature relationship.

FIGS. 5A-5C show the correlation between temperature, resistance, and heating power of the sensor 300. As shown, this relationship is very nearly linear with a very slight curvature as a result of radiation losses. The relationship is fitted with the following equation,

P = h(T 0 — T a ) + B (T Q - G 4 ) (Eq. 2)

which is the steady-state heat balance for the sensor assuming conductive and convective losses (linear term), as well as radiative losses (quartic term). In this expression, P is the power supplied to the sensor, T 0 is the temperature of the sample area, and T a is the ambient temperature; h and B are used as fitting parameters. This expression fits the data very well and can be used over a broad temperature range.

The RT sensor 300 measures the resistance of a thin-film sample as a function of temperature and composition from room temperature to temperatures in excess of 1000 K. By tailoring the shape of the heating element, temperature uniformities within the sample area of better than 1% have been achieved over a temperature range from ambient to about 1200 K, allowing sensitive detection of structural changes in the samples. The sensors 300 may be used to investigate the temperature-resistance behavior of thin-film PdSiCu samples, for example, detecting the glass transition in these samples.

The sensor 300 under the first embodiment provides excellent temperature uniformity to measure the resistance of thin-film samples as a function of temperature and composition. As noted above, a key to the simplicity of the first embodiment sensor 300 is a design where the material of interest serves both as sample and as heating element. However, the first embodiment sensor 300 is best suited to materials with sufficiently high electrical conductivity, for example, greater than 10 L4 S/m. Furthermore, imposing a pre-defmed temperature history on the sample may introduce difficulties where the temperature-resistance relationship of the heating element/sample is not known in advance. For instance, crystallization in the sample material over some temperature ranges can lead to a sudden significant drop in the resistance of the heating element, making it difficult to control the sample temperature without sophisticated closed-loop control.

A second embodiment of a sensor 600 (FIG. 6 A) for a sensor array 610 (FIG. 6 A) uses a simple and inexpensive fabrication process to measure the electrical resistance of thin-film materials as a function of temperature and composition. The sensor 600 is capable of characterizing materials from liquid-nitrogen temperature to approximately 900K and is not limited by low sample conductivity. Examples described below demonstrate the sensitivity and accuracy under the second embodiment analyzing the phase evolution in Ti-Ni-Cu shape memory alloys and PdSi-based metallic glasses. For PdSi-based metallic glasses, results indicate that the change in resistance on crystallization correlates with glass-forming ability, which may be useful in identifying good glass formers using high-throughput techniques.

Under the second embodiment, the hotplate (HP) sensor 600 for measuring the temperature-resistance relationship of composition spreads has many of the advantages of the first embodiment resistance-temperature sensor (RT sensor) 300 and avoids some limitations. In particular, the sensor 600 provides improved measurements for the temperature-resistance behavior of materials with low electrical conductivity such as semiconductors. The second embodiment sensor 600 only adds minor complexity to the fabrication process of the first embodiment sensor 300, in particular, by using a separate heating element 602 (FIG. 6D), for example, a resistor, to heat the sample 601 (FIG. 6D). Furthermore, the use of a separate heating element 602 allows control of temperature of the sample 601 through use of a fixed heating voltage profile without feedback control.

As described further below, finite element simulations of the sensor array 610

demonstrate the temperature uniformity in the sample 601, which is critical for the detection of subtle phase transitions.

FIG 6 A shows an optical image of an exemplary sensor array 610 of individual sensors 600, shown in detail in FIGS 6B-C. The exemplary sensor array 610 shown in FIG. 6 A contains 120 sensors 600 that can be probed individually, on a fused quartz substrate 603 (FIG. 6D). The sample array 610 may have more than 120 sensors 600 or fewer. FIG. 6D shows a schematic cross-section and plan view of a single sensor 600. Each sensor 600 consists of a heating element 602 and a sample 601 that may be, for example, patterned in four-point measurement configurations to allow measurement of the applied power and the sample resistance, respectively. The geometry and size of the heating element 602 and sample 601 may be tailored to an application based on temperature uniformity, measurability, and manufacturability. Under the second embodiment, the heating element 602 consists of two 240 pm concentric arcs with a 90 pm gap in between, and the sample 601 is located at the center of the heating element and has a diameter of 400 pm. The contacts are located around the perimeter of the sample in a Van der Pauw configuration (four-terminal resistance measurement configuration). Other configurations are also possible. The sample size and spacing from the heating element may depend on the overall size of the sensor and the balance between

measurability of the signal and processability, typically ranging from lum to a few millimeters.

During a typical measurement of a sample 601, the heating element 602 locally heats the fused quartz substrate 603. The sample 601 is electrically connected by leads 605 to sample pads 604 to provide an external electrical connection to the sample 601. Similarly, the heating element 602 is electrically connected to heater pads 624 to provide an external electrical connection to the heater 602. Because the thermal mass of the sample 601 may generally be considered negligible compared to that of the substrate 603, the temperature of the sample 601 only depends on the thermal properties of the substrate 603, the geometry of the sensor 600, an ambient temperature, and an applied power profile. As a result, the temperature of sample 601 is determined directly from the applied power without the need for a thermistor or thermocouple, greatly simplifying the fabrication of the sensor 600 over previous sensors (while being slightly more involved than fabricating the first embodiment sensor 300). In principle, the emissivity of the sample 601 also affects sample temperature to some extent by changing radiative heat loss to the ambient, but finite element simulations for typical samples have demonstrated that this effect is very small, even at temperatures as high as 1200 K.

The temperature uniformity in the sample 601 may be demonstrated by modeling the sensor 600 using finite elements and solving the coupled electrical and heat transfer problem. The model was constructed using the commercial software package COMSOL Multiphysics 5.2a and typical results are illustrated in FIGS. 6E-F. The parameters used in the simulation are characteristic for the sensor materials and are listed in Table 1. Only radiative and convective heat loss (heat transfer coefficient approximately 5 W/m2 K) were considered in the analysis, conduction through air was neglected given the low thermal conductivity of air (0.026 W/m-K). Both the initial temperature of the substrate and the temperature of the edge of the substrate (0 100 mm) were set to the ambient temperature (293.15 K). The results depicted in FIGS. 6E-F are for a steady-state analysis, but provide a good indication for typical experimental conditions. It is clear from FIGS. 6E-F that there exists a significant temperature gradient in the vicinity of the heating element, but that the temperature distribution in the sample area is very uniform. The relative temperature non-uniformity (d) of the sensor in the steady state is depicted in Figure 6F over a temperature range from room temperature to approximately 1400 K. Here, the temperature non-uniformity d is defined as per Eq. 1 (above). Tests have indicated the temperature non-uniformity is better than 0.13 % and is nearly constant at temperatures below 1000 K. Although the non-uniformity increases at more elevated temperatures, the temperature variation across the sample 601 has been measured at only 1.6 K at 1200 K, which is a significant improvement over the RT sensor 300. The process to fabricate a hotplate (HP) sensor 600 is both simple and relatively inexpensive, and includes fabrication of the heating elements 602, deposition and definition of the samples 601, and deposition of a protective silicon nitride coating. The process starts with a substrate of fused quartz, for example, on the order of 0.1 to 20 mm thick, 100 mm under the second embodiment.

The heaters 602 may be fabricated by spin-coating the substrate with, for example, a 1.5 pm layer of photoresist, patterning the photoresist using standard lithographic-etching techniques, and depositing, for example, a 10 nm Ti/250 nm Pt/lO nm Ti film stack by means of magnetron sputtering. The film stack is then patterned by lifting off the photoresist in acetone. The samples 601 may be formed in an identical process, but with a different lithographic mask. Finally, the entire substrate 603 may be coated with, for example, a capping layer 630 consisting of on the order of 150 nm of PECVD SiNx to prevent oxidation during measurements. To get good electrical contact with the sensor pads 604, the SiNx layer may be removed from the pads 604 either by an extra lithographic step or by mechanically removing the layer, for example by scratching the pads with a stylus. The SiNx layer may be omitted, for example, if measurements are performed in high vacuum or if the samples are not oxidation prone.

The fabrication process can be further simplified if the electrical leads 605 contacting the sample 601 are fabricated out of the same material as the heating elements 602 instead out of the material of the sample 601. Here, the electrical leads 605 contacting the sample 601 may be patterned at the same time as the heating element 602, and the sample 601 can be defined by depositing through a shadow mask, thus eliminating the sample lithography step. This approach is also preferred if the sample 601 has poor electrical conductivity or melt during the measurement process.

The maximum working temperature of the sensor 600 is determined mainly by the material of the heating element 602. The material of the heating element 602 is selected considering both its resistivity and the thermal stresses that develop on heating. The heating element 602 preferably has a stable microstructure when measuring the sample 601 to ensure repeatability and a low diffusivity to minimize electro migration, i.e., the material should have a high melting point. The material should also minimize thermal stresses to avoid fracture or delamination of the heating element during the measurement. The sensor 600 heats the substrate 603 locally, leaving most of the substrate 603 at ambient temperature. As a result, thermal expansion of the substrate 603 near the sensor 600 is constrained by the unheated part of the substrate 603 and the thermal stresses in the heating element are determined mainly by the material used in the heating element 602, not the substrate 603. The driving force for delamination or cracking of a thin film on a substrate scales with the square of the stress in the film and increases linearly with film thickness. Consequently, the following parameter is a performance metric for failure by delamination or fracture: (Eq. 2) for a given heater layout and resistance. In this expression, p is the electrical resistivity of the heater material, E and v represent Young’s modulus and Poisson’s ratio, and a is the thermal expansion coefficient. The larger the value of ri, the larger the driving force for fracture at a given temperature, or, conversely, the higher the temperature the heating element can achieve before failure. Heating elements 602 fabricated using the Pt film stack routinely achieve a temperature of 900 K without failure. Evaluation of ϋ suggests that this temperature may be further increased by using Hf or Nb instead of Pt.

FIG. 7 is a schematic representation of a system 700 using the sensors 600. For a typical measurement, voltage and current are recorded for both the heating element and the sample in order to determine the power dissipated in the heater and the resistance of the sample, respectively. The power to the heating elements is supplied and the corresponding current is recorded by a programmable power supply 730. The voltage across a section of the heating element 602 is measured using a first multimeter 721. The resistance of the sample 601 is determined by applying a current, for example, a 1 mA current to the sample 601 using a current source 710 that is controlled by a data acquisition card 740, and by measuring the voltage across the sample using a second multimeter 722. The entire measurement setup is controlled by a computer 750, for example running an installed Lab VIEW program.

Before the sensors 600 are used, the sample temperature is typically calibrated to the heater input power. This may be achieved, for example, by using sensors with 10 nm Ti/250 nm Pt/lO nm Ti reference samples. Prior to the calibration, the sensors 600 with samples 601 are heated to 1300 K for 20 s to stabilize the microstructure of both heater 602 and sample 601.

The calibration involves two distinct steps: 1) the resistance of the reference sample is measured as a function of temperature from ambient temperature (293 K) to 640 K in 20 K increments by placing the sensor on a hotplate and 2) the resistance of the reference sample is measured as a function of heater input power using the setup in FIG 7. The resistance of the reference sample is the link between the power to the heating element 602 and the temperature of sample 601 and makes it possible to determine the power-temperature relationship in the steady state or for a fixed power profile. This power-temperature relationship is independent of the nature of the sample 601 as long as the measurements are performed in very similar environments. Furthermore, because the heating element 602 is stable and independent of the sample 601, it is possible to devise a power profile that yields a particular temperature history for the sample 601.

The following illustrates the capability of the sensor 600 to detect a broad range of phase transformations in two types of materials systems, i.e., shape memory alloys and metallic glasses. All the samples were deposited on a substrate 603 with an array of HP sensors 600 by means of DC magnetron sputtering in a high-vacuum system (ATC 1800 Sputter, AJA International) with elemental targets (50.8 mm diameter, Kurt J. Lesker Company) using the process parameters listed in Table 2.

Composition Sputtering DC power

Ti5iNi 43 Cu6 Ti (208 Watt) NiTi( 100 Watt) Cu(l2 Watt)

Pd 775 Si 165 Cu 6 Pd (37 Watt) Si (100 Watt) Cu (5 Watt)

- Pd (37 Watt) Si (100 Watt)

Pd 86 Sl !4

Pd 72 Sl 28

Table 2: Pd-Si samples from a composition spread deposited using a single set of process parameters

Samples 601 were prepared with a thickness of approximately 500 nm. All as- deposited samples were amorphous because of the very high effective cooling rate encountered during sputter deposition. FIG. 8A shows the temperature-resistance curve of an as-deposited Ti5iNi 4 3Cu6 sample that was heated to approximately 850 K at a rate of 28 K/min and then cooled to room temperature. The resistance in the figure is normalized by the initial resistance at ambient temperature. The resistance decreases with increasing temperature and then drops abruptly at 680 K. At approximately 750 K, the resistance starts to rise again. The abrupt drop in resistance is associated with the onset of crystallization of the samples 601. Prior to crystallization the resistance decreases with increasing temperature, while after crystallization resistance increases with increasing temperature. Crystalline alloys typically exhibit a positive temperature resistance coefficient as a result of increased phonon scattering. Amorphous alloys, on the other hand, can have negative or positive temperature resistance coefficients with mixed behavior observed sometimes even in the same alloy. The behavior on heating observed in FIG. 8A is typical for an amorphous sample. On cooling, the resistance decreases as expected for a crystalline material. At 320 K, however, the resistance starts to increase again. FIG. 8B shows a subsequent scan that illustrates the low-temperature behavior in more detail. This behavior is typical for a thermoelastic martensitic transformation. On cooling, austenite starts to transform to martensite at approximately 320 K as defined by the tangent method, while on heating the reverse transformation starts at 302 K. The transformation has a hysteresis of approximately 10 K. These observations are consistent with results reported for a similar thin-film sample of Ti 5l Ni43Cu 6 on a Si0 2 -coated substrate.

FIG. 9A is a plot showing magnification of the resistance curve of a

Pd 77.5 Sii 6.5 Cu 6 sample on heating from ambient temperature to approximately 800 K, measured at a scan rate of 20 K/min as the sample evolves from amorphous in the as- deposited state to crystalline. The curve shows four distinct regimes. Initially, the resistance increases with temperature. Starting at approximately 500 K, the resistance of the sample decreases. This decrease is irreversible and is the result of low-temperature structural relaxation of the glass. The brief, but clear, increase in resistance above 638 K corresponds to the supercooled liquid state, while the subsequent decrease above 676 K is caused by crystallization.

FIG. 9B shows the resistance curve in more detail. Testing of different samples (see Table 3) indicated all resistance curves follow the same trend except the curve for the Pd72Si 2 8 sample, which may be explained by the poor glass forming ability of Pd7 2 Si 2 8 · The measured glass transition temperatures ( T g ) and the crystallization onset temperatures (T x ) are listed in Table 3.

] Pd 77.5 Si l6 . P P

T m Ref [26] 1058 ϊ G

(K) 254 236 186

This work ( 638 6 6

1 Tg 22 59 32

(K) . Ref [27] [28] ( . 637 . 6 . 635

20 55

This work ( 676 6 6

24 70 35

(K) Ref [27] [28] ( . 678 . 6 . 6

20 67 40

This work ( 0.399 O 07

.333 354 349

Calculated from ( .0.400. 0 . 0 references .331 353 351

R/R 0 This work ( 0.569 a a .311 450 438

Table 3 : Various experimental results for Pd-Si-based metallic glass samples measured at a scan rate of 20

K/min using HP sensors, along with reference values.

The results demonstrate the sensitivity and accuracy of the technique, especially considering that the glass transition in an amorphous material does not result in a significant change in the structure of a material.

As detailed here, a correlation may be observed between the change in resistance on crystallization and glass-forming ability. The ability to easily measure T g and T x may be useful for quantifying the glass forming ability (GFA) of a metallic glass using the parameter g = T x /(T g + T ). In this expression, T t is the liquidus temperature of the sample. While Ti may not be directly measured because the electrical leads to the sample melt before the sample, fabricating the leads out of the heater material as discussed earlier would make it possible to also measure the sample liquidus temperature. For some materials systems it may be possible to estimate the GFA from the resistance before and after annealing the sample. FIG. 10 shows g for several PdSi-based metallic glasses versus the reduction in resistance after crystallization. It is evident that g and the resistance ratio are correlated for this material system, and that the alloy with the best glass forming ability also has the highest resistance ratio. This correlation may be attributed to the observation that good glass formers have fine microstructures after crystallization and thus high electrical resistance, at least compared to other compositions in the same materials system. Good glass formers typically occur in the vicinity of deep eutectic compositions, which tend to develop fine microstructures on crystallization.

They also have low free volume and consequently low atomic mobility, which limits grain growth. Finally, good glass formers often have many competing crystalline phases that can form on crystallization, which also leads to fine, complex microstructures. Consequently, it may be assumed that similar correlations exist for other glass formers. Since measuring the resistance ratio is relatively simple, the relationship between glass- forming ability (GFA) and the resistance ratio makes it possible to quickly measure the glass-forming ability over large compositional regions.

Under the second embodiment, the sensor 600 can measure the resistance as a function of temperature and composition with excellent temperature uniformity and straightforward fabrication process. A key advantage is that temperature of the sensor is determined based on the heating power, instead of temperature coefficient of resistance (TCR). The resulting sensor has a far simpler design that lends itself to high-volume manufacture and low cost. As a result, it is well suited for a wide range of test and analytic instrumentation products where there is the need to measure resistivity as a function of temperature.

Under the first embodiment, the input power is directly associated with temperature of the sample. The first embodiment sensor may be fabricated using single lithography step, using the same material as heating element and sample, but may not work well on some samples. The first embodiment provides a heavy/solid substrate with big thermal inertia and heat capacity allows direct association of input power to temperature, with no need to fabricate separate thermocouples or temperature sensors. The first embodiment sensor may be fabricated with photo-resist by depositing the sensor, and stripping off the photo-resist. FIG. 11 illustrates the fabrication process for the first embodiment RT sensor 300 and the second embodiment HP sensor 600. The fabrication process for the first embodiment RT sensor 300 begins with a fused silica substrate 310 with a layer of photo resist applied to a surface of the substrate 310 using a lithographic mask, as shown by step 1110. After a photolithography step 1120, a portion of the photo resist is removed to reveal the surface of the substrate 310. Sample material is deposited over the substrate 310 and photo resist in a sample deposition as per step 1130. Since the sample is generally electrically conductive, the sample materials can also serve as the pads 340a-d and traces 342, so the pads 340a-d and traces 342 may be deposited during step 1130.

The photoresist is lifted off in step 1140, leaving the sample 320 on the substrate 310. A capping layer 330, for example of silicon nitride, is deposited over the substrate 310 and the sample 320.

The fabrication process for the second embodiment HP sensor 600 begins with a fused silica substrate with a layer of photo resist applied to a surface of the substrate, as shown by step 1110. After a photolithography step 1120 using a first lithographic mask, a portion of the photo resist is removed to reveal the surface of the substrate. Heating element material is deposited over the substrate 603 and photo resist in a sample deposition as per step 1131. The photoresist is lifted off in step 1141, leaving the heating element 302 on the substrate 603.

A shadow mask is positioned over the substrate 603, and sample material is deposited over an exposed portion of the substrate 603 between portions of the heating element 302 as per step 1151. The photoresist is lifted off in step 1161, leaving the sample 601 and heating element 602 on the substrate 603. A capping layer 630, for example of silicon nitride, is deposited over the substrate 603, the sample 601, and the heating element 602, as per step 1171.

Alternatively, for HP sensor 600, the samples 601 may be prepared using an identical process of fabricating the heater 602 of the HP sensor 600 replacing the shadow mask with a two-step photolithography process, with a second lithographic mask different from the first lithographic mask.

As with the first embodiment, arrays of sensors 600 may be readily fabricated, for example, to explore the behavior of alloy systems using composition spreads.

The first embodiment sensor may be well suited for high conductivity materials, such as metals. However, the first embodiment sensor may not be appropriate for some samples, for example, a semiconductor sample, as the resistivity would be too high.

In contrast, the second embodiment sensor may provide better temperature uniformity, and therefore be used to detect fainter signals, as the second embodiment sensor separates the heating function from material properties of the sample, for example, allowing temperature sensing on materials that do not conduct well (or at all). Instead of using the sample as the heating material, the second embodiment uses a consistent heating material provides more accurate temperature vs. time measurements.

In fabricating the second embodiment sensor, one lithography step may provide both the heating elements and sensor lines, for example, incorporating a shadow mask. This may result in a very slight increase in complexity of manufacturing. However compared with the first embodiment, the second embodiment still uses a known heating element material, but changes the layout. As a result, the second embodiment may be used for a wider range of samples, as regardless of the heating element material, the substrate provides the temperature, not the heating element. Further, the second embodiment may be easier to control in terms of temperature, for example, with an amorphous sample material that may crystalize over the temperature range being observed, resulting in a fairly dramatic drop in resistivity of the sample material, making it difficult to control the temperature. Both the first (RT) and second (HP) embodiment use the substrate as the thermal mass. Both RT sensor and HP sensor can work at liquid temperature or even below (for instance, liquid Helium), as they have very similar structure.

In summary, the above described embodiments provide for simple experimental technique to measure the resistance of thin-film samples as a function of temperature.

This technique is very sensitive and ideally suited for the detection of phase

transformations in shape memory alloys and metallic glasses. When applied to thin-film composition spreads, the technique lends itself for combinatorial analysis of materials systems. It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.