Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SELECTIVE ETCH OF SILICON NITRIDE
Document Type and Number:
WIPO Patent Application WO/2015/038252
Kind Code:
A1
Abstract:
A method of etching silicon nitride on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and a nitrogen-and-oxygen-containing precursor. Plasma effluents from two remote plasmas are flowed into a substrate processing region where the plasma effluents react with the silicon nitride. The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon nitride while very slowly removing silicon, such as polysilicon. The silicon nitride selectivity results partly from the introduction of fluorine-containing precursor and nitrogen-and-oxygen-containing precursor using distinct (but possibly overlapping) plasma pathways which may be in series or in parallel.

Inventors:
CHEN ZHIJUN (US)
LI ZIHUI (US)
WANG ANCHUAN (US)
INGLE NITIN K (US)
VENKATARAMAN SHANKAR (US)
Application Number:
PCT/US2014/049215
Publication Date:
March 19, 2015
Filing Date:
July 31, 2014
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
C23F4/00; H01L21/3065
Foreign References:
US20120211462A12012-08-23
US20110053380A12011-03-03
KR20030054726A2003-07-02
KR20110086540A2011-07-28
US20060016783A12006-01-26
Attorney, Agent or Firm:
BERNARD, Eugene J. et al. (Eighth FloorSan Francisco, California, US)
Download PDF:
Claims:
CLAIMS:

1. A method of etching a patterned substrate, the method comprising:

transferring the patterned substrate into a substrate processing region of a substrate processing chamber, wherein the patterned substrate has exposed silicon nitride;

flowing a nitrogen-and-oxygen-containing precursor into a first remote plasma region fluidly coupled to a second remote plasma region while forming a first remote plasma in the first remote plasma region to produce oxidizing plasma effluents;

flowing a fluorine-containing precursor into the second remote plasma region fluidly coupled to the substrate processing region while forming a second remote plasma in the second remote plasma region to produce etching plasma effluents, wherein the oxidizing plasma effluents are further excited in the second remote plasma;

flowing each of the oxidizing plasma effluents and the etching plasma effluents into the substrate processing region through through-holes in a showerhead; and

etching the exposed silicon nitride, wherein the patterned substrate further comprises exposed silicon.

2. The method of claim 1 wherein the nitrogen-and-oxygen-containing precursor comprises one of N20, NO, N02 or N202.

3. The method of claim 1 wherein the first remote plasma is an inductively- coupled plasma.

4. The method of claim 1 wherein the second remote plasma is a capacitively- coupled plasma.

5. The method of claim 1 wherein a selectivity of the etching operation (exposed silicon nitride: exposed silicon) is greater than or about 20: 1.

6. The method of claim 1 wherein the fluorine-containing precursor comprises

NF3.

7. The method of claim 1 wherein the fluorine-containing precursor comprises a precursor selected from the group consisting of hydrogen fluoride, atomic fluorine, diatomic fluorine, carbon tetrafluoride and xenon difluoride.

8. A method of etching a patterned substrate, the method comprising: transferring the patterned substrate into a substrate processing region of a substrate processing chamber, wherein the patterned substrate comprises exposed silicon nitride and exposed silicon;

flowing a nitrogen-and-oxygen-containing precursor into a first remote plasma region while forming a first remote plasma in the first remote plasma system to produce oxidizing plasma effluents;

flowing a fluorine-containing precursor into a second remote plasma region, distinct from the first remote plasma region, while forming a second remote plasma in the second remote plasma region to produce radical-fluorine;

combining the oxidizing plasma effluents with the radical-fluorine in the substrate processing chamber, wherein the oxidizing plasma effluents and the radical-fluorine are flowed through separate channels of a multi-channel showerhead; and

selectively etching the exposed silicon nitride at a greater etch rate than the exposed silicon.

9. The method of claim 8 wherein the radical-fluorine and the oxidizing plasma effluents do not encounter one another prior to entering the substrate processing region.

10. The method of claim 8 wherein the nitrogen-and-oxygen-containing precursor consists of nitrogen and oxygen.

11. The method of claim 8 wherein the nitrogen-and-oxygen-containing precursor comprises one of N20, NO, N02 or N202.

12. The method of claim 8 wherein the first remote plasma is an inductively- coupled plasma, and the second remote plasma is a capacitively-coupled plasma.

13. The method of claim 8 wherein the fluorine-containing precursor comprises

NF3.

14. The method of claim 8 wherein the fluorine-containing precursor comprises a precursor selected from the group consisting of hydrogen fluoride, atomic fluorine, diatomic fluorine, carbon tetrafluoride and xenon difluoride.

15. A method of etching a patterned substrate, the method comprising:

transferring the patterned substrate into a substrate processing region of a substrate processing chamber, wherein the patterned substrate comprises exposed silicon nitride and exposed silicon; flowing N20 into a first remote plasma disposed outside the substrate processing chamber to produce oxidizing plasma effluents;

flowing NF3 into a second remote plasma, separate from the first remote plasma, to produce fluorine-containing plasma effluents, wherein the NF3 is substantially not excited in the first remote plasma;

combining the oxidizing plasma effluents with the fluorine-containing plasma effluents in the substrate processing chamber;

selectively etching the exposed silicon nitride relative to the exposed silicon.

Description:
SELECTIVE ETCH OF SILICON NITRIDE

FIELD

[0001] Embodiments of the invention relate to selectively removing silicon nitride.

BACKGROUND

[0002] Integrated circuits are made possible by processes which produce intricately patterned material layers on substrate surfaces. Producing patterned material on a substrate requires controlled methods for removal of exposed material. Chemical etching is used for a variety of purposes including transferring a pattern in photoresist into underlying layers, thinning layers or thinning lateral dimensions of features already present on the surface. Often it is desirable to have an etch process which removes one material faster than another helping e.g. a pattern transfer process proceed. Such an etch process is said to be selective to the first material. As a result of the diversity of materials, circuits and processes, etch processes have been developed with a selectivity towards a variety of materials. However, there are few options for selectively removing silicon nitride faster than silicon.

[0003] Dry etch processes are often desirable for selectively removing material from

semiconductor substrates. The desirability stems from the ability to gently remove material from miniature structures with minimal physical disturbance. Dry etch processes also allow the etch rate to be abruptly stopped by removing the gas phase reagents. Some dry-etch processes involve the exposure of a substrate to remote plasma by-products formed from one or more precursors. For example, remote plasma excitation of ammonia and nitrogen trifluoride enables silicon oxide to be selectively removed from a patterned substrate when the plasma effluents are flowed into the substrate processing region. Remote plasma etch processes have also been developed to remove silicon nitride, however, the silicon nitride selectivity of these etch processes (relative to silicon) has been limited.

[0004] Methods are needed to improve silicon nitride selectively relatively to silicon for dry etch processes.

SUMMARY

[0005] A method of etching silicon nitride on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and a nitrogen- and-oxygen-containing precursor. Plasma effluents from two remote plasmas are flowed into a substrate processing region where the plasma effluents react with the silicon nitride. The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon nitride while very slowly removing silicon, such as polysilicon. The silicon nitride selectivity results partly from the introduction of fluorine-containing precursor and nitrogen-and-oxygen-containing precursor into two separate plasmas arranged in series or in parallel. The nitrogen-and-oxygen- containing precursor may be excited in both plasmas in series and (at least a portion of) the fluorine-containing precursor may be excited only in the downstream plasma. Alternatively, the nitrogen-and-oxygen-containing precursor may be excited in a high power plasma and the fluorine-containing precursor may be excited in a low intensity plasma, in which case the respective plasma-effluents are combined in the substrate processing region using a dual-channel showerhead.

[0006] Embodiments of the invention include methods of etching a patterned substrate. The methods include transferring the patterned substrate into a substrate processing region of a substrate processing chamber. The patterned substrate has exposed silicon nitride. The methods further include flowing a nitrogen-and-oxygen-containing precursor into a first remote plasma region fiuidly coupled to a second remote plasma region while forming a first remote plasma in the first remote plasma region to produce oxidizing plasma effluents. The methods further include flowing a fluorine-containing precursor into the second remote plasma region fiuidly coupled to the substrate processing region while forming a second remote plasma in the second remote plasma region to produce etching plasma effluents. The methods further include flowing each of the oxidizing plasma effluents and the etching plasma effluents into the substrate processing region through through-holes in a showerhead. The methods further include etching the exposed silicon nitride. The patterned substrate further comprises exposed silicon.

[0007] Embodiments of the invention include methods of etching a patterned substrate. The methods include transferring the patterned substrate into a substrate processing region of a substrate processing chamber. The patterned substrate includes regions of exposed silicon nitride and regions of exposed silicon. The methods further include flowing a nitrogen-and-oxygen- containing precursor into a first remote plasma region while forming a first remote plasma in the remote plasma system to produce oxidizing plasma effluents. The methods further include flowing a fluorine-containing precursor into a second remote plasma region, distinct from the first plasma region, while forming a second remote plasma in the second remote plasma region to produce radical-fluorine. The methods further include combining the oxidizing plasma effluents with the radical-fluorine in the substrate processing chamber. The oxidizing plasma effluents and the radical-fluorine are flowed through separate channels of a multi-channel showerhead. The methods further include selectively etching the exposed silicon nitride at a greater etch rate than the exposed silicon.

[0008] Embodiments of the invention include methods of etching a patterned substrate. The methods include transferring the patterned substrate into a substrate processing region of a substrate processing chamber. The patterned substrate includes regions of exposed silicon nitride and regions of exposed silicon. The methods further include flowing N 2 0 into a first remote plasma disposed outside the substrate processing chamber to produce oxidizing plasma effluents. The methods further include flowing NF 3 into a second remote plasma, separate from the first remote plasma, to produce fluorine-containing plasma effluents. The NF 3 is substantially not excited in the first remote plasma. The methods further include combining the oxidizing plasma effluents with the fluorine-containing plasma effluents in the substrate processing chamber. The methods further include selectively etching the exposed silicon nitride relative to the exposed silicon.

[0009] Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the embodiments. The features and advantages of the embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.

DESCRIPTION OF THE DRAWINGS

[0010] A further understanding of the nature and advantages of the embodiments may be realized by reference to the remaining portions of the specification and the drawings.

[0011] FIG. 1 is a flow chart of a silicon nitride selective etch process according to

embodiments.

[0012] FIG. 2 is a flow chart of a silicon nitride selective etch process according to

embodiments.

[0013] FIG. 3A shows a substrate processing chamber according to embodiments.

[0014] FIG. 3B shows a showerhead of a substrate processing chamber according to

embodiments.

[0015] FIG. 4 shows a substrate processing system according to embodiments.

[0016] In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.

DETAILED DESCRIPTION

[0017] A method of etching silicon nitride on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and a nitrogen- and-oxygen-containing precursor. Plasma effluents from two remote plasmas are flowed into a substrate processing region where the plasma effluents react with the silicon nitride. The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon nitride while very slowly removing silicon, such as polysilicon. The silicon nitride selectivity results partly from the introduction of fluorine-containing precursor and nitrogen-and-oxygen-containing precursor into two separate plasmas arranged in series or in parallel. The nitrogen-and-oxygen- containing precursor may be excited in both plasmas in series and the fluorine-containing precursor may be excited only in the downstream plasma. Alternatively, the nitrogen-and- oxygen-containing precursor may be excited in a high power plasma and the fluorine-containing precursor may be excited in a low intensity plasma, in which case the respective plasma-effluents are combined in the substrate processing region using a dual-channel showerhead.

[0018] In order to better understand and appreciate the invention, reference is now made to FIG. 1 which is a flow chart of a silicon nitride selective etch process 100 according to embodiments. Prior to the first operation, a structure is formed in a patterned substrate. The structure possesses exposed regions of silicon nitride and silicon. The substrate is then delivered into a substrate processing region in operation 110.

[0019] Nitrous oxide (N 2 0) is flowed into a remote plasma system (operation 120). The N 2 0 is excited in a first remote plasma formed in the remote plasma region. The remote plasma system is outside the substrate processing chamber. More generally, a nitrogen-and-oxygen-containing precursor is flowed into the remote plasma system and the nitrogen-and-oxygen-containing precursor may comprise at least one precursor selected from N 2 0, NO, N 2 0 2 , N0 2 . The nitrogen- and-oxygen-containing precursor may consist essentially of or consist of nitrogen and oxygen. Some nitrogen-and-oxygen-containing precursors may be very electronegative and require a high plasma power to form oxidizing plasma effluents. The oxidizing plasma effluents are then passed into a remote plasma region which may be excited with a lower plasma power to form a second remote plasma. The remote plasma system is upstream from the remote plasma region in that effluents generally flow from the remote plasma system into the remote plasma region, but not vice versa.

[0020] A flow of nitrogen trifluoride is introduced into the remote plasma region and combined with the oxidizing plasma effluents (operation 125). The nitrogen trifluoride is flowed directly into the remote plasma region and does not enter the upstream remote plasma system in embodiments. Another flow of nitrogen trifluoride may be added directly to the upstream remote plasma pathway and has been found to help adjust etch rate and/or improve etch rate uniformity. Other sources of fluorine may be used to augment or replace the nitrogen trifluoride. In general, a fluorine-containing precursor may be flowed into the plasma region and the fluorine-containing precursor comprises at least one precursor selected from the group consisting of atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, sulfur hexafluoride and xenon difluoride. Even carbon containing precursors, such as carbon tetrafluoride, trifluoromethane, difluoromethane and fluoromethane, can be added to the group already listed. The use of carbon-containing precursor generally requires an increased flow or plasma power for the nitrogen-and-oxygen-containing precursors to react with the carbon before it can be incorporated into the substrate.

[0021] The plasma effluents formed in the remote plasma region are flowed into the substrate processing region (operation 130). The patterned substrate is selectively etched (operation 135) such that the exposed silicon nitride is selectively removed at a higher rate than the exposed silicon. The presence of nitrogen and oxygen has been found to aggressively oxidize exposed silicon rendering silicon domains essentially unetchable by the fluorine-containing plasma effluents according to embodiments. Regions of exposed silicon oxide may also be present on the patterned substrate. The reactive chemical species are removed from the substrate processing region and then the substrate is removed from the processing region (operation 145).

[0022] The flow of N 2 0 (or another nitrogen-and-oxygen-containing precursor) into the remote plasma system and then into the remote plasma region results in a flow of oxidizing plasma effluents (which contain radical-nitrogen-oxygen) into the substrate processing region. Plasma effluents will be used herein to encompass the fluorine-containing plasma effluents and the oxidizing plasma effluents. The oxidizing plasma effluents include radical-nitrogen-oxygen. The radical-nitrogen-oxygen is thought to contain nitric oxide (NO), which is too reactive to directly deliver to the substrate processing region. The radical-nitrogen-oxygen contains radicals which comprise nitrogen and oxide and may consist of nitrogen and oxide in embodiments. The radical- nitrogen-oxygen is a component of the plasma effluents which flow into the substrate processing region in operation 130. The plasma effluents also comprise radical-fluorine formed from the flow of the fluorine-containing precursor into the remote plasma region. The flow of radical- nitrogen-oxygen into the substrate processing region enables the radical-fluorine to remove the silicon nitride while limiting the removal rate of the exposed silicon. The flow of radical- nitrogen-oxygen into the substrate processing region has little effect on the exposed regions of silicon oxide and the radical-fluorine is substantially unable to etch the silicon oxide regions.

[0023] Including the nitrogen-and-oxygen-containing precursor and the resulting radical- nitrogen-oxygen, as described herein, may not significantly affect the etch rate of the silicon nitride but does decrease the etch rate of silicon, leading to the relatively high selectivity.

Nitrogen-and-oxygen-containing precursors have been found to oxidize silicon more aggressively than oxygen, surprisingly, and increase the selectivity which may generally and reliably be achieved. The etch process parameters described herein apply to all embodiments disclosed herein, include the embodiments described in FIG. 2 described below. The selectivity of etch process 100 (exposed silicon nitride: exposed silicon) is greater than or about 20: 1, greater than or about 25 : 1 or greater than or about 30: 1 in embodiments. The fluorine-containing precursor and/or the nitrogen-and-oxygen-containing precursor may further include one or more relatively inert gases (e.g. He, N 2 , Ar). The fluorine-containing precursor and/or the nitrogen-and-oxygen- containing precursor may further include one or more reactive gases (e.g. H 2 , 0 2 ). The inert gas can be used to improve plasma stability, strikability or uniformity. Flow rates and ratios of the different gases may be used to control etch rates and etch selectivity. In an embodiment, the fluorine-containing gas includes NF 3 at a flow rate of between about 5 seem (standard cubic centimeters per minute) and 300 seem, N 2 0 at a flow rate of between about 50 seem and 2 slm (standard liters per minute) and He at a flow rate of between about 0 seem and 3000 seem. Argon may be included, especially when initially striking a plasma, to facilitate the initiation of the plasma. One of ordinary skill in the art would recognize that other gases and/or flows may be used depending on a number of factors including processing chamber configuration, substrate size, geometry and layout of features being etched.

[0024] Some hydrogen-containing precursors may also be combined with the other precursors or flowed separately into the plasma region, however, the concentration should be kept low.

Hydrogen may interact with the fluorine-containing precursor in the plasma to form precursors which remove silicon oxide by forming solid residue by-products on the oxide surface. This reaction reduces the selectivity of the exposed silicon nitride regions as compared with exposed silicon oxide regions. Though some hydrogen may be useful to introduce, there may also be no or essentially no flow of hydrogen into the plasma region during the etch process 100 according to embodiments.

[0025] Generally speaking, the etch process 100 described herein may be performed with a variety of chamber configurations which have two remote plasma regions in series. The first remote plasma region is upstream from the second remote plasma region and the second remote plasma region is upstream from the substrate processing region. In the example of FIG. 1, the remote plasma system represented the first remote plasma region and the remote plasma region was the second remote plasma region. The nitrogen-and-oxygen-containing precursor is flowed into the first remote plasma region and the fluorine-containing precursor is flowed into the second remote plasma region. The nitrogen-and-oxygen-containing precursor may be more

electronegative and may require some extra plasma excitation to create an etch process 100 with an extended process window according to embodiments.

[0026] The first remote plasma region is used to form a first remote plasma which will generally be formed using a first remote plasma power greater than the second remote plasma power of the second remote plasma formed in the second remote plasma region. As such, generally flowing the fluorine-containing precursor into the second remote plasma region

(downstream from the first remote plasma region and upstream from the substrate processing region) reduces the ion concentration and allows the showerhead or ion suppressor element to further reduce ion density in the substrate processing region. The reduced ion concentration in the substrate processing region further increases the silicon nitride selectivity of etch process 100.

[0027] The process window is desirably extended by introducing the fluorine-containing precursor into the second remote plasma region while introducing the nitrogen-and-oxygen- containing precursor into the first remote plasma region upstream. Some fluorine-containing precursor may be flowed into the first remote plasma region as well and simply extends the parameter space available to fine tune the etch uniformity, etch selectivity and etch rates of exposed materials. Similarly, some nitrogen-and-oxygen-containing precursor may be flowed directly to the second remote plasma region without first passing through the first remote plasma region. A carrier gas, for example helium, may be used to carry each of the precursors into either or both of the first remote plasma region and the second remote plasma region.

[0028] Reference is now made to FIG. 2 which is a flow chart of a silicon nitride selective etch process 200 according to embodiments. Prior to the first operation, a structure is formed in a patterned substrate. The structure possesses exposed regions of silicon nitride and silicon (e.g. single crystal silicon or polysilicon). The substrate is then delivered into a substrate processing region in operation 210.

[0029] Nitrous oxide (N 2 0) is flowed into a first remote plasma region (operation 220). The N 2 0 is excited in a first remote plasma formed in the remote plasma region. The first remote plasma region may be outside or inside the substrate processing chamber in embodiments. The nitrogen-and-oxygen-containing precursor are excited in a first plasma in the first plasma region to form oxidizing plasma effluents. A flow of nitrogen trif uoride is introduced into a second remote plasma region (operation 225) and excited in a second plasma to form etching plasma effluents (including radical-fluorine). Generally speaking, a nitrogen-and-oxygen-containing precursor is flowed into the first remote plasma region and a fluorine-containing precursor is flowed into the second plasma region. The nitrogen-and-oxygen-containing precursor and the fluorine-containing precursor may be the same embodiments described earlier. The oxidizing plasma effluents and the etching plasma effluents are then combined in the substrate processing region (operation 230). The oxidizing plasma effluents and the etching plasma effluents do not encounter one another prior to entering the substrate processing region. The first plasma region and the second plasma region are distinct from one another. The first plasma region and the second plasma region are only fluidly coupled by way of the substrate processing region according to embodiments.

[0030] The patterned substrate is selectively etched (operation 235) such that the exposed silicon nitride is selectively removed at a higher rate than the exposed silicon. As before, the presence of nitrogen and oxygen has been found to aggressively oxidize exposed silicon rendering silicon domains essentially unetchable by the fluorine-containing plasma effluents according to embodiments. Regions of exposed silicon oxide may also be present on the patterned substrate and may also be essentially unetchable. The reactive chemical species are removed from the substrate processing region and then the substrate is removed from the processing region

(operation 245).

[0031] The method also includes applying power to the fluorine-containing precursor and the nitrogen-and-oxygen-containing precursor while they are in the remote plasma regions to generate the plasma effluents. As would be appreciated by one of ordinary skill in the art, the plasma may include a number of charged and neutral species including radicals and ions. The plasma may be generated using known techniques (e.g., RF, capacitively coupled, inductively coupled). In an embodiment, the first remote plasma power is applied to the first remote plasma region at a level between 500 W and 5 kW. The first remote plasma power may be applied using inductive coils, in embodiments, in which case the first remote plasma will be referred to as an inductively- coupled plasma (ICP). According to embodiments, the second remote plasma power is applied to the second remote plasma region at a level between 50 W and 500 W. The second remote plasma power may be about 20% or less of the first remote plasma power according to embodiments. The second remote plasma power may be a capacitively-coupled plasma in embodiments. The pressure in the first remote plasma region, the second remote plasma region and the substrate processing region may be between about 0.01 Torr and 30 Torr or between about 0.1 Torr and 15 Torr in embodiments. The first remote plasma region and the second remote plasma region are each disposed remote from the substrate processing region. The second remote plasma region is fluidly coupled to each of the first remote plasma region and the substrate processing region. The first remote plasma region is not fluidly coupled to the substrate processing region except through the second remote plasma region. The second remote plasma region may be separated from the gas reaction region by an ion suppressor and/or showerhead.

[0032] Without wishing to bind the coverage of the claims to theoretical mechanisms which may or may not be entirely accurate, some discussion of possible mechanisms may prove beneficial. Inclusion of radical-oxygen enables radical-fluorine to selectively etch silicon and silicon nitride, while leaving silicon oxide essentially unetched in embodiments. According to embodiments, radical-fluorine and radical-nitrogen-oxygen are concurrently produced by delivering the nitrogen-and-oxygen-containing precursor fluorine-containing precursor and the fluorine-containing precursor into distinct remote plasma regions. Applicants suppose that a concentration of radical-fluorine fragments, fluorine ions and atoms are produced and delivered into the substrate processing region. Applicants further suppose that radical-nitrogen-oxygen is concurrently delivered to the substrate processing region. The radical-nitrogen-oxygen may react with exposed silicon regions in the near surface region to create a silicon oxide layer so exposed region of silicon behave similarly to exposed regions of silicon oxide when radical-oxygen is used. As a consequence, the etching methods outlined herein achieve selectivity of silicon nitride relative to both silicon and silicon oxide.

[0033] In embodiments, an ion suppressor as described in the exemplary equipment section may be used to provide radical and/or neutral species for selectively etching silicon nitride. The ion suppressor may also be referred to as an ion suppression element. In embodiments, for example, the ion suppressor is used to filter etching plasma effluents (including radical-fluorine) to selectively etch silicon nitride. The ion suppressor may be included in each exemplary process described herein. Using the plasma effluents, an etch rate selectivity of silicon oxide relative to silicon and silicon oxide may be achieved. [0034] The ion suppressor may be used to provide a reactive gas having a higher concentration of radicals than ions. The ion suppressor functions to dramatically reduce or substantially eliminate ionically charged species traveling from the plasma generation region to the substrate. The electron temperature may be measured using a Langmuir probe in the substrate processing region during excitation of a plasma in the remote plasma region on the other side of the ion suppressor. In embodiments, the electron temperature may be less than 0.5 eV, less than 0.45 eV, less than 0.4 eV, or less than 0.35 eV. These extremely low values for the electron temperature are enabled by the presence of the showerhead and/or the ion suppressor positioned between the substrate processing region and the remote plasma region. Uncharged neutral and radical species may pass through the openings in the ion suppressor to react at the substrate. Because most of the charged particles of a plasma are filtered or removed by the ion suppressor, the substrate is not necessarily biased during the etch process. Such a process using radicals and other neutral species can reduce plasma damage compared to conventional plasma etch processes that include sputtering and bombardment. The ion suppressor helps control the concentration of ionic species in the reaction region at a level that assists the process. Embodiments of the present invention are also advantageous over conventional wet etch processes where surface tension of liquids can cause bending and peeling of small features.

[0035] Additional process parameters are disclosed in the course of describing an exemplary processing chamber and system.

Exemplary Processing Equipment

[0036] FIG. 3 A is a substrate processing chamber 1001 according to embodiments. A remote plasma system 1010 may process the fluorine-containing precursor which then travels through a gas inlet assembly 1011. Two distinct gas supply channels are visible within the gas inlet assembly 1011. A first channel 1012 conducts a precursor that has just passed through the remote plasma system 1010 (RPS), while a second channel 1013 conducts a precursor that has bypassed the remote plasma system 1010. The first channel 1012 conducts the nitrogen-and-oxygen- containing precursor and the second channel 1013 conducts the fluorine-containing precursor.

[0037] The lid (or conductive top portion) 1021 and a perforated partition 1053 are shown with an insulating ring 1024 in between, which allows an AC potential to be applied to the lid 1021 relative to perforated partition 1053. The AC potential strikes a plasma in chamber plasma region 1020. The radical-nitrogen-oxygen (i.e. plasma-excited nitrogen-and-oxygen-containing precursor) may travel through first channel 1012 into chamber plasma region 1020 and may be further excited by a plasma in chamber plasma region 1020. The fluorine-containing precursor flows through second channel 1013 and is only excited by chamber plasma region 1020 and not RPS 1010. The perforated partition (also referred to as a showerhead) 1053 separates chamber plasma region 1020 from a substrate processing region 1070 beneath showerhead 1053.

Showerhead 1053 allows a plasma present in chamber plasma region 1020 to avoid directly exciting gases in substrate processing region 1070, while still allowing excited species to travel from chamber plasma region 1020 into substrate processing region 1070.

[0038] Showerhead 1053 is positioned between chamber plasma region 1020 and substrate processing region 1070 and allows plasma effluents (excited derivatives of precursors or other gases) created within remote plasma system 1010 and/or chamber plasma region 1020 to pass through a plurality of through-holes 1056 that traverse the thickness of the plate. The showerhead 1053 also has one or more hollow volumes 1051 which can be filled, in embodiments, with a precursor in the form of a vapor or gas (such as an oxidizing plasma effluents excited in RPS 1010) and pass through small holes 1055 into substrate processing region 1070 but not directly into chamber plasma region 1020. Small holes 1055 may be described as blind holes to convey that they are not fluidly coupled directly to chamber plasma region 1020 like through-holes 1056. Showerhead 1053 is thicker than the length of the smallest diameter 1050 of the through-holes 1056 in this disclosed embodiment. To maintain a significant concentration of excited species penetrating from chamber plasma region 1020 to substrate processing region 1070, the length 1026 of the smallest diameter 1050 of the through-holes may be restricted by forming larger diameter portions of through-holes 1056 part way through the showerhead 1053. The length of the smallest diameter 1050 of the through-holes 1056 may be the same order of magnitude as the smallest diameter of the through-holes 1056 or less in embodiments.

[0039] Showerhead 1053 may be configured to serve the purpose of an ion suppressor as shown in FIG. 3A. Alternatively, a separate processing chamber element may be included (not shown) which suppresses the ion concentration traveling into substrate processing region 1070. Lid 1021 and showerhead 1053 may function as a first electrode and second electrode, respectively, so that lid 1021 and showerhead 1053 may receive different electric voltages. In these configurations, electrical power (e.g., RF power) may be applied to lid 1021, showerhead 1053, or both. For example, electrical power may be applied to lid 1021 while showerhead 1053 (serving as ion suppressor) is grounded. The substrate processing system may include a RF generator that provides electrical power to the lid and/or showerhead 1053. The voltage applied to lid 1021 may facilitate a uniform distribution of plasma (i.e., reduce localized plasma) within chamber plasma region 1020. To enable the formation of a plasma in chamber plasma region 1020, insulating ring 1024 may electrically insulate lid 1021 from showerhead 1053. Insulating ring 1024 may be made from a ceramic and may have a high breakdown voltage to avoid sparking. Portions of substrate processing chamber 1001 near the capacitively-coupled plasma components just described may further include a cooling unit (not shown) that includes one or more cooling fluid channels to cool surfaces exposed to the plasma with a circulating coolant (e.g., water).

[0040] In the embodiment shown, showerhead 1053 may distribute (via through-holes 1056) process gases which contain oxygen, fluorine and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 1020. According to embodiments, the process gas introduced into the remote plasma system 1010 and/or chamber plasma region 1020 may contain fluorine (e.g. F 2 , NF 3 or XeF 2 ). The process gas may also include a carrier gas such as helium, argon, nitrogen (N 2 ), etc. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as radical- fluorine referring to the atomic constituent of the process gas introduced.

[0041] Through-holes 1056 are configured to suppress the migration of ionically-charged species out of the chamber plasma region 1020 while allowing uncharged neutral or radical species to pass through showerhead 1053 into substrate processing region 1070. These uncharged species may include highly reactive species that are transported with less-reactive carrier gas by through-holes 1056. As noted above, the migration of ionic species by through-holes 1056 may be reduced, and in some instances completely suppressed or essentially eliminated. Controlling the amount of ionic species passing through showerhead 1053 provides increased control over the gas mixture brought into contact with the underlying wafer substrate, which in turn increases control of the deposition and/or etch characteristics of the gas mixture. For example, adjustments in the ion concentration of the gas mixture can significantly alter its etch selectivity (e.g., silicon nitride: silicon etch ratios).

[0042] According to embodiments, the number of through-holes 1056 may be between about 60 and about 2000. Through-holes 1056 may have a variety of shapes but are most easily made round. The smallest diameter 1050 of through-holes 1056 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in embodiments. There is also flexibility in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or combinations of the two shapes. The number of small holes 1055 used to introduce unexcited precursors into substrate processing region 1070 may be between about 100 and about 5000 or between about 500 and about 2000 in embodiments. The diameter of the small holes 1055 may be between about 0.1 mm and about 2 mm. [0043] Through-holes 1056 may be configured to control the passage of the plasma-activated gas (i.e., the ionic, radical, and/or neutral species) through showerhead 1053. For example, the aspect ratio of the holes (i.e., the hole diameter to length) and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through showerhead 1053 is reduced. Through-holes 1056 in showerhead 1053 may include a tapered portion that faces chamber plasma region 1020, and a cylindrical portion that faces substrate processing region 1070. The cylindrical portion may be proportioned and dimensioned to control the flow of ionic species passing into substrate processing region 1070. An adjustable electrical bias may also be applied to showerhead 1053 as an additional means to control the flow of ionic species through showerhead 1053.

[0044] Alternatively, through-holes 1056 may have a smaller inner diameter (ID) toward the top surface of showerhead 1053 and a larger ID toward the bottom surface. Through holes 1056 may have a larger inner diameter toward the top surface of showerhead 1053 and a smaller inner diameter toward the bottom surface of the showerhead. In addition, the bottom edge of through- holes 1056 may be chamfered to help evenly distribute the plasma effluents in substrate processing region 1070 as the plasma effluents exit the showerhead and promotes even distribution of the plasma effluents and precursor gases. The smaller ID may be placed at a variety of locations along through-holes 1056 and still allow showerhead 1053 to reduce the ion density within substrate processing region 1070. The reduction in ion density results from an increase in the number of collisions with walls prior to entry into substrate processing region 1070. Each collision increases the probability that an ion is neutralized by the acquisition or loss of an electron from the wall. Generally speaking, the smaller ID of through-holes 1056 may be between about 0.2 mm and about 20 mm. According to embodiments, the smaller ID may be between about 1 mm and 6 mm or between about 0.2 mm and about 5 mm. Further, aspect ratios of the through-holes 1056 (i.e., the smaller ID to hole length) may be approximately 1 to 20. The smaller ID of the through-holes may be the minimum ID found along the length of the through- holes. The cross sectional shape of through-holes 1056 may be generally cylindrical, conical, or any combination thereof.

[0045] FIG. 3B is a bottom view of a showerhead 1053 for use with a processing chamber according to embodiments. Showerhead 1053 corresponds with the showerhead shown in FIG. 3 A. Through-holes 1056 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 1053 and a smaller ID at the top. Small holes 1055 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1056 which helps to provide more even mixing in embodiments. [0046] An exemplary patterned substrate may be supported by a pedestal (not shown) within substrate processing region 1070 when fluorine-containing plasma effluents and oxygen- containing plasma effluents arrive through through-holes 1056 in showerhead 1053. Though substrate processing region 1070 may be equipped to support a plasma for other processes such as curing, no plasma is present during the etching of patterned substrate, in embodiments.

[0047] A plasma may be ignited either in chamber plasma region 1020 above showerhead 1053 or substrate processing region 1070 below showerhead 1053. A plasma is present in chamber plasma region 1020 to produce the radical-fluorine from an inflow of the fluorine-containing precursor. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion (lid 1021) of the processing chamber and showerhead 1053 to ignite a plasma in chamber plasma region 1020 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.

[0048] The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 1070 is turned on to either cure a film or clean the interior surfaces bordering substrate processing region 1070. A plasma in substrate processing region 1070 is ignited by applying an AC voltage between showerhead 1053 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 1070 while the plasma is present.

[0049] The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from -20°C through about 120°C). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistively heated to achieve relatively high temperatures (from about 120°C through about 1100°C) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal.

[0050] The chamber plasma region or a region in a remote plasma system may be referred to as a remote plasma region. In embodiments, the radical precursors (e.g. radical-fluorine and radical- nitrogen-oxygen) are formed in the remote plasma region and travel into the substrate processing region where the combination preferentially etches silicon nitride. Plasma power may essentially be applied only to the remote plasma region, in embodiments, to ensure that the radical-fluorine and the radical-nitrogen-oxygen (which together may be referred to as plasma effluents) are not further excited in the substrate processing region.

[0051] In embodiments employing a chamber plasma region, the excited plasma effluents are generated (or further excited in the case of the radical-nitrogen-oxygen) in a section of the substrate processing region partitioned from a deposition region. The deposition region, also known herein as the substrate processing region, is where the plasma effluents mix and react to etch the patterned substrate (e.g., a semiconductor wafer). The excited plasma effluents may also be accompanied by inert gases (in the exemplary case, helium). The substrate processing region may be described herein as "plasma-free" during the etch process of the patterned substrate.

"Plasma-free" does not necessarily mean the region is devoid of plasma. A relatively low concentration of ionized species and free electrons created within the plasma region do travel through pores (apertures) in the partition (showerhead/ion suppressor) due to the shapes and sizes of through-holes 1056. In some embodiments, there is essentially no concentration of ionized species and free electrons within the substrate processing region. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, a small amount of ionization may be effected within the substrate processing region directly.

Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating features of the forming film. All causes for a plasma having much lower intensity ion density than the chamber plasma region (or a remote plasma region, for that matter) during the creation of the excited plasma effluents do not deviate from the scope of "plasma- free" as used herein.

[0052] Nitrogen trifluoride (or another fluorine-containing precursor) may be flowed into chamber plasma region 1020 at rates between about 5 seem and about 500 seem, between about 10 seem and about 300 seem, between about 25 seem and about 200 seem, between about 50 seem and about 150 seem or between about 75 seem and about 125 seem in embodiments. Nitrous oxide (or another nitrogen-and-oxygen-containing precursor) may be flowed into remote plasma region 1010 and then chamber plasma region 1020 (in series) at rates greater than or about 250 seem, greater than or about 500 seem, greater than or about 1 slm, greater than or about 2 slm or greater than or about 5 slm in embodiments.

[0053] Combined flow rates of fluorine-containing precursor and nitrogen-and-oxygen- containing precursor into the chamber may account for 0.05% to about 20% by volume of the overall gas mixture; the remainder being carrier gases. The fluorine-containing precursor and the nitrogen-and-oxygen-containing precursor are flowed into the remote plasma region but the plasma effluents have the same volumetric flow ratio, according to embodiments. In the case of the fluorine-containing precursor, a purge or carrier gas may be first initiated into the remote plasma region before those of the fluorine-containing gas to stabilize the pressure within the remote plasma region.

[0054] Plasma power applied to the first remote plasma region and the second remote plasma region can be a variety of frequencies or a combination of multiple frequencies and may be different between the two remote plasmas. In the exemplary processing system the second remote plasma is provided by RF power delivered between lid 1021 and showerhead 1053. The RF power applied to the first remote plasma region (RPS 1010 in the example) may be between about 250 Watts and about 15000 Watts, between about 500 Watts and about 5000 Watts, or between about 1000 Watts and about 2000 Watts in embodiments. The RF power applied to the second remote plasma region (chamber plasma region 1020 in the example) may be between about 10 Watts and about 1500 Watts, between about 20 Watts and about 1000 Watts, between about 50 Watts and about 500 Watts, or between about 100 Watts and about 200 Watts according to embodiments. The RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz or microwave frequencies greater than or about 1 GHz according to embodiments.

[0055] The temperature of the substrate may be between about -30°C and about 150°C during claimed etch processes. The etch rate has been found to be higher for the lower temperatures within this range. In embodiments, the temperature of the substrate during the etch processes described herein is about -20°C, 0°C or more, about 5°C or more or about 10°C or more. The substrate temperatures may be less than or about 150°C, less than or about 100°C, less than or about 50°C, less than or about 30°C, less than or about 20°C, less than or about 15°C or less than or about 10°C in embodiments. Any of the upper limits on temperature or pressure may be combined with lower limits to form additional embodiments.

[0056] Substrate processing region 1070, remote plasma system 1010 or chamber plasma region 1020 can be maintained at a variety of pressures during the flow of carrier gases and plasma effluents into substrate processing region 1070. The pressure within the substrate processing region is below or about 50 Torr, below or about 30 Torr, below or about 20 Torr, below or about 10 Torr or below or about 5 Torr. The pressure may be above or about 0.01 Torr, above or about 0.1 Torr, above or about 0.2 Torr, above or about 0.5 Torr or above or about 1 Torr in embodiments. Lower limits on the pressure may be combined with upper limits on the pressure to form additional embodiments. The data show an increase in etch rate as a function of process pressure and an associated increase in loading effect, which may or may not be desirable or tolerated for a given process flow.

[0057] In embodiments, the substrate processing chamber 1001 can be integrated into a variety of multi-processing platforms, including the Producer™ GT, Centura™ AP and Endura™ platforms available from Applied Materials, Inc. located in Santa Clara, Calif. Such a processing platform is capable of performing several processing operations without breaking vacuum.

Processing chambers that may implement methods disclosed herein may include dielectric etch chambers or a variety of chemical vapor deposition chambers, among other types of chambers.

[0058] Processing chambers may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 4 shows one such system 1101 of deposition, baking and curing chambers according to embodiments. In the figure, a pair of FOUPs (front opening unified pods) 1102 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 1104 and placed into a low pressure holding areas 1106 before being placed into one of the wafer processing chambers 1108a- f. A second robotic arm 1110 may be used to transport the substrate wafers from the low pressure holding areas 1106 to the wafer processing chambers 1108a-f and back. Each wafer processing chamber 1108a-f, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.

[0059] The wafer processing chambers 1108a-f may include one or more system components for depositing, annealing, curing and/or etching a dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 1108c-d and 1108e-f) may be used to deposit dielectric material on the substrate, and the third pair of processing chambers (e.g., 1108a- b) may be used to etch the deposited dielectric. In another configuration, all three pairs of chambers (e.g., 1108a-f) may be configured to etch a dielectric film on the substrate. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in embodiments.

[0060] The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.

[0061] System controller 1157 is used to control motors, valves, flow controllers, power supplies and other functions required to carry out process recipes described herein. A gas handling system 1155 may also be controlled by system controller 1157 to introduce gases to one or all of the wafer processing chambers 1108a- f. System controller 1157 may rely on feedback from optical sensors to determine and adjust the position of movable mechanical assemblies in gas handling system 1155 and/or in wafer processing chambers 1108a- f. Mechanical assemblies may include the robot, throttle valves and susceptors which are moved by motors under the control of system controller 1157.

[0062] In an exemplary embodiment, system controller 1157 includes a hard disk drive (memory), USB ports, a floppy disk drive and a processor. System controller 1157 includes analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of multi-chamber processing system 1101 which contains substrate processing chamber 1001 are controlled by system controller 1157. The system controller executes system control software in the form of a computer program stored on computer-readable medium such as a hard disk, a floppy disk or a flash memory thumb drive. Other types of memory can also be used. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.

[0063] A process for etching, depositing or otherwise processing a film on a substrate or a process for cleaning chamber can be implemented using a computer program product that is executed by the controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program. [0064] The interface between a user and the controller may be via a touch-sensitive monitor and may also include a mouse and keyboard. In one embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one is configured to accept input at a time. To select a particular screen or function, the operator touches a designated area on the display screen with a finger or the mouse. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming the operator's selection.

[0065] As used herein "substrate" may be a support substrate with or without layers formed thereon. The patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. Exposed "silicon" of the patterned substrate is predominantly Si but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen and carbon. Exposed "silicon nitride" of the patterned substrate is predominantly S1 3 N 4 but may include minority concentrations of other elemental constituents such as oxygen, hydrogen and carbon. Exposed "silicon oxide" of the patterned substrate is predominantly Si0 2 but may include minority concentrations of other elemental constituents such as nitrogen, hydrogen and carbon. In some embodiments, silicon oxide films discussed herein consist essentially of silicon and oxygen.

[0066] The term "precursor" is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. "Plasma effluents" describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an "excited state" wherein at least some of the gas molecules are in vibrationally- excited, dissociated and/or ionized states. A "radical precursor" is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. "Radical-fluorine" (or "radical- oxygen" or "radical-nitrogen-oxygen") are radical precursors which contain fluorine (or oxygen or nitrogen&oxygen) but may contain other elemental constituents. The phrase "inert gas" refers to any gas which does not form chemical bonds in the film during or after the etch process.

Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.

[0067] The terms "gap" and "trench" are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. A trench may be in the shape of a moat around an island of material. The term "via" is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel. A person having ordinary skill in the art will recognize that the etched interface likely cannot be 100% conformal and thus the term "generally" allows for acceptable tolerances.

[0068] Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.

[0069] Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may

independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

[0070] As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a process" includes a plurality of such processes and reference to "the dielectric material" includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.

[0071] Also, the words "comprise," "comprising," "include," "including," and "includes" when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.