Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SELECTIVE IN-SITU CLEANING OF HIGH-K FILMS FROM PROCESSING CHAMBER USING REACTIVE GAS PRECURSOR
Document Type and Number:
WIPO Patent Application WO/2019/051364
Kind Code:
A1
Abstract:
In one implementation, a method for cleaning a processing chamber is provided. The method comprises introducing a reactive species into a processing chamber having a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture and the one or more interior surfaces include at least one surface having a coating material formed thereon. The method further comprises reacting the residual high-k dielectric material with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber. The removal rate of the residual high-k dielectric material is greater than a removal rate of the coating material. The high-k dielectric material is selected from zirconium dioxide (ZrO2) and hafnium dioxide (HfO2). The coating material includes a compound selected from alumina (Al2O3), yttrium-containing compounds, and combinations thereof.

Inventors:
ZHAI YUJIA (US)
ZHAO LAI (US)
RUI XIANGXIN (US)
YIM DONG-KIL (US)
WON TAE KYUNG (US)
CHOI SOO YOUNG (US)
Application Number:
PCT/US2018/050186
Publication Date:
March 14, 2019
Filing Date:
September 10, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L21/02; H01L21/67
Foreign References:
US20040129671A12004-07-08
US20010003271A12001-06-14
US20090071505A12009-03-19
US20070087579A12007-04-19
US20150376780A12015-12-31
Attorney, Agent or Firm:
PATTERSON, B. Todd et al. (US)
Download PDF:
Claims:
Claims:

1. A method for cleaning a processing chamber, comprising:

introducing a reactive species into a processing chamber having a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber, wherein the one or more interior surfaces comprise a materia! selected from stainless steel, a nickel-iron alloy, or combinations thereof;

reacting the residual high-k dielectric material with the reactive species to form a volatile product; and

removing the volatile product from the processing chamber,

wherein a removal rate of the residual high-k dielectric material is greater than a removal rate of the material of the one or more interior surfaces,

wherein the reactive species is formed from a halogen-containing gas mixture, and

wherein the residual high-k dielectric material is selected from zirconium dioxide (ZrOs) and hafnium dioxide (HfCh).

2. The method of claim 1 , wherein the one or more interior surfaces further comprises at least one surface having a coating material formed thereon, wherein the coating material comprises a compound selected from alumina (Ai203), yttrium- containing compounds, or combinations thereof.

3. The method of claim 2, wherein the yttrium-containing compound is selected from yttrium oxide (Y2O3), yttrium oxide fluoride (YOF), yttrium chlorate (Y(CI03)3), yttrium (ill) fluoride (YF3), yttrium (IN) chloride (YCI3), yttria-stabi!ized zirconia (YSZ), or combinations thereof.

4. The method of claim 1 , wherein the halogen-containing gas mixture comprises a halogen-containing gas selected from BCI3, CI2, HBr, NF3, or combinations thereof.

5. The method of claim 4, wherein the halogen-containing gas mixture further comprises a carbon-containing gas.

6. The method of claim 5, wherein the carbon-containing gas is selected from C02, CH4, CHF3, CH2F2, CH3F, CF4, or combinations thereof.

7. The method of claim 5, wherein the halogen-containing gas mixture further comprises a dilution gas selected from helium, argon, or combinations thereof.

8. The method of claim 1 , wherein the halogen-containing gas mixture comprises BCI3 and NF3.

9. The method of claim 1 , further comprising exposing the reactive species to one or more energy sources sufficient to react the residual high-k dielectric material with the reactive species and form the volatile product.

10. The method of claim 9, wherein the one or more energy sources are selected from a capacitive-coupled plasma source, an inductive-coupled plasma source, a microwave plasma source, and a remote plasma source.

1 1. The method of claim 1 , wherein a pressure of the reacting the residual high-k dielectric material with the reactive species to form the volatile product is between at least about 10 mTorr and about 5 Torr.

12. The method of claim 1 , wherein the processing chamber is a plasma- enhanced chemical vapor deposition (PECVD) chamber, an atomic layer deposition (ALD) chamber, a metal-organic chemical vapor deposition (MOCVD), and a physical vapor deposition (PVD) chamber.

13. A method for cleaning a processing chamber, comprising:

depositing a high-k dielectric material on one or more interior surfaces of a processing chamber and a substrate disposed in the processing chamber;

transferring the substrate out of the processing chamber;

introducing a reactive species into the processing chamber having a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber, wherein the one or more interior surfaces comprise a material selected from aluminum, stainless steel, a nickel-iron alloy, or combinations thereof and the one or more interior surfaces comprise at least one surface having a coating material formed thereon;

reacting the residual high-k dielectric material with the reactive species to form a voiatile product; and

removing the volatile product from the processing chamber, wherein a removal rate of the residual high-k dielectric material is greater than a removal rate of the coating material and a removal rate of the material of the one or more interior surfaces,

wherein the high-k dielectric material is selected from zirconium dioxide (ZrOa) and hafnium dioxide (HfG2),

wherein the reactive species is formed from a halogen-containing gas mixture, and

wherein the coating material includes a compound selected from alumina (AI2O3), yttrium-containing compounds, or combinations thereof.

14. The method of claim 13, wherein the removal rate of the coating material is less than 50 A/minute.

15. The method of claim 13, wherein reacting the residual high-k dielectric material with the reactive species to form the volatile product further comprises exposing the reactive species to one or more energy sources sufficient to react the residual high-k dielectric material with the reactive species and form the volatile product.

Description:
SELECTIVE IN-SITU CLEANING HIGH- FILMS FROIVI OF PROCESSING CHAMBER USING REACTIVE GAS PRECURSOR

BACKGROUND

Field

[00013 Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a substrate-processing chamber.

Description of the Related Art

[00023 Display devices have been widely used for a range of electronic applications, such as TVs, monitors, mobile phones, MPS players, e-book readers, personal digital assistants (PDAs) and the like. The display device is generally designed for producing an image by applying an electric field to a liquid crystal that fills a gap between two substrates (e.g., a pixel electrode and a common electrode) and has anisotropic dielectric constant that controls the intensity of the dielectric field. By adjusting the amount of light transmitted through the substrates, the light and image intensify, quality and power consumption may be efficiently controlled.

[0003] A variety of different display devices, such as active matrix liquid crystal display (AM LCD) or an active matrix organic light emitting diodes (AMOLED), may be employed as light sources for display, in the manufacturing of display devices, an electronic device with high electron mobility, low leakage current and high breakdown voltage, would allow more pixel area for light transmission and integration of circuitry, resulting in a brighter display, higher overall electrical efficiency, faster response time and higher resolution displays. Low film qualities of the material layers, such as dielectric layer with impurities or low film densities, formed in the device often result in poor device electrical performance and short service life of the devices. Thus, a stable and reliable method for forming and integrating film layers within TFT and OLED devices becomes crucial to providing a device structure with low film leakage and high breakdown voltage for use in manufacturing electronic devices with lower threshold voltage shift and improved overall performance. [0004] In particular, the interface management between a metal electrode layer and the nearby insulating materials becomes critical as improper material selection of the interface between the metal electrode layer and the nearby insulating material may adversely result in undesired elements diffusing into the adjacent materials, which may eventually lead to current short, current leakage or device failure. Furthermore, the insulating materials with different higher dielectric constant often provide different electrical performance, such as providing different capacitance in the device structures. Selection of the material of the insulating materials not only affects the electrical performance of the device, incompatibility of the material of the insulating materials to the electrodes may also result in film structure peeling, poor interface adhesion, or interface material diffusion, which may eventually lead to device failure and low product yield.

[0005] In some devices, capacitors, (e.g., a dielectric layer placed between two electrodes), are often utilized and formed to store electric charges when the display devices are in operation. The capacitor as formed is required to have high capacitance for display devices. The capacitance may be adjusted by changing the dielectric material and dimensions of the dielectric layer formed between the electrodes and/or thickness of the dielectric layer. For example, when the dielectric layer is replaced with a material having a higher dielectric constant (e.g., zirconium oxide), the capacitance of the capacitor will increase as well.

[0006] As the resolution requirement for display devices becomes increasingly challenging, e.g., display resolution greater than 2,000 pixels per inch (PPI), display devices have a limited area for forming capacitors to increase electrical performance. Thus, maintaining the capacitor formed in the display devices in a confined location with a relatively small area has become crucial. Higher constant ("high-k") dielectric materials (e.g., zirconium oxide and hafnium oxide) have been found to enable higher resolution display devices. However, deposition of high-k dielectric materials is not limited to the substrate and often forms a residual film throughout the interior of the processing chamber. Such unwanted residual deposition often creates particles and flakes within the chamber, resulting in the drift of process conditions, which affects the process reproducibility and uniformity. [0007] In order to achieve high chamber availability while reducing the cost of ownership for production and maintaining film quality, a chamber clean is performed to remove residual film residue from the interior surfaces of the processing chamber including the process kits, e.g., showerhead, etc. Unfortunately, most known cleaning techniques such as fluorine-containing plasmas are either unable to remove high-k dielectric materials or are so harsh that they damage chamber components. Thus, viable in-situ cleaning techniques for high-k dielectric materials are currently unavailable. Currently, zirconium oxide is removed from processing chambers using ex-situ cleaning processes where production is stopped, the processing chamber is opened, and the chamber parts are removed for cleaning and cleaned using wet-clean processes.

[0008] Therefore, a need exists for methods for in-situ removal of unwanted high- k dielectric material deposits from substrate-processing chambers.

SUMMARY

[0009] implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a substrate-processing chamber. In one implementation, a method for cleaning a processing chamber is provided. The method comprises introducing a reactive species into a processing chamber having a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture. The one or more interior surfaces includes at least one stainless steel surface. The method further comprises reacting the residual high-k dielectric material with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber. A removal rate of the residual high-k dielectric material is greater than a removal rate of the stainless steel. The high-k dielectric material is selected from zirconium dioxide (ZrC½) and hafnium dioxide (Hf0 2 ).

[0010] in another implementation, a method for cleaning a processing chamber is provided. The method comprises depositing a high-k dielectric material on one or more interior surfaces of a processing chamber and a substrate disposed in the substrate-processing chamber. The method further comprises transferring the substrate out of the substrate-processing chamber. The method further comprises introducing a reactive species into the processing chamber having the residual high- k dielectric materia! formed on one or more interior surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture and the one or more interior surfaces includes at least one stainless steel surface and at least one surface having a coating material formed thereon. The method further comprises reacting the residual high-k dielectric material with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber, wherein a removal rate of the residua! high-k dielectric materia! is greater than a removal rate of the coating materia! and a removal rate of the stainless steel. The high-k dielectric material is selected from zirconium dioxide (ZrC½) and hafnium dioxide (HfCy. The coating material includes a compound selected from alumina (A! 2 03), yttrium-containing compounds, and combinations thereof.

[00113 In yet another implementation, a method for cleaning a processing chamber is provided. The method comprises flowing a halogen-containing cleaning gas mixture into a remote plasma source fiuidly coupled with a processing chamber. The method further comprises forming reactive species from the halogen-containing cleaning gas mixture. The method further comprises transporting the reactive species into the processing chamber. The processing chamber has a residua! hsgh- k dielectric material formed on one or more interior surfaces of the processing chamber. The one or more interior surfaces include at least one stainless steel surface and at least one surface having a coating material formed thereon. The method further comprises permitting the reactive species to react with the residual high-k dielectric material to form a product in a gaseous state. The method further comprises purging the product in a gaseous state out of the processing chamber. The high-k dielectric material is selected from zirconium dioxide (ZrQ 2 ) and hafnium dioxide (H 0 2 ). The coating material includes a compound selected from alumina (AI2O3), yttrium-containing compounds, and combinations thereof.

[0012] In yet another implementation, a method for cleaning a processing chamber is provided. The method comprises introducing a reactive species into a processing chamber having a residual ZrQ 2 containing film formed on one or more interior surfaces of the processing chamber. The reactive species is formed from BC and the one or more interior surfaces include at least one exposed AI2G3 surface. The method further comprises reacting the residua! ZrC½ containing film with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber, wherein a removal rate of the residual Zr02 containing film is greater than a removal rate of ΑΙ2(¾.

[0013] in yet another implementation, a method for cleaning a processing chamber is provided. The method comprises depositing a ZrC½ containing film on one or more interior surface of a processing chamber and a substrate disposed in the substrate-processing chamber. The method further comprises transferring the substrate out of the substrate-processing chamber. The method further comprises introducing a reactive species into the processing chamber having the residual ZrG 2 containing film formed on one or more interior surfaces of the processing chamber. The reactive species is formed from BCb and the one or more interior surfaces include at least one exposed AI2O3 surface. The method further comprises reacting the residual Zr0 2 containing film with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber, wherein a removal rate of the residual Zr0 2 containing film is greater than a removal rate of AI2O3.

[00143 in yet another implementation, a method for cleaning a processing chamber is provided. The method comprises flowing a boron trichloride (BCI 3 ) containing cleaning gas mixture into a remote plasma source fiuidiy coupled with a processing chamber. The method further comprises forming reactive species from the BCI3 containing cleaning gas mixture. The method further comprises transporting the reactive species into the processing chamber. The processing chamber has a residual Zr0 2 containing film formed on one or more interior surfaces of the processing chamber and the one or more interior surfaces includes at least one exposed Ai 2 0 3 surface. The method further comprises permitting the reactive species to react with the residual Zr0 2 containing film to form zirconium chloride in a gaseous state. The method further comprises purging the zirconium chloride in a gaseous state out of the processing chamber. [0015] In yet another implementation, a method for cleaning a processing chamber is provided. The method comprises introducing a reactive species into a processing chamber having a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture and the one or more inferior surfaces include at least one surface having a coating material formed thereon. The method further comprises reacting the residual high-k dielectric material with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber. The removal rate of the residual high-k dielectric material is greater than a removal rate of the coating material. The high-k dielectric material is selected from zirconium dioxide (ZrG 2 ) and hafnium dioxide (ΗΚ¼). The coating material includes a compound selected from alumina (AI2O3), yttrium- containing compounds, and combinations thereof.

[0016] in yet another implementation, a method for cleaning a processing chamber is provided. The method comprises depositing a high-k dielectric material on one or more interior surfaces of a processing chamber and a substrate disposed in the substrate-processing chamber. The method further comprises transferring the substrate out of the substrate-processing chamber. The method further comprises introducing a reactive species into the processing chamber having the residual high- k dielectric material formed on one or more interior surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture and the one or more interior surfaces include at least one surface having a coating material formed thereon. The method further comprises reacting the residual high-k dielectric material with the reactive species to form a volatile product. The method further comprises removing the volatile product from the processing chamber. A removal rate of the residual high-k dielectric material is greater than a removal rate of the coating material. The high-k dielectric material is selected from zirconium dioxide (ZrG 2 ) and hafnium dioxide { f0 2 ). The coating material includes a compound selected from alumina (AI2O3), yttrium-containing compounds, and combinations thereof.

[00173 in yet another implementation, a method for cleaning a processing chamber is provided. The method comprises flowing a halogen-containing cleaning gas mixture into a remote plasma source fiuidly coupled with a processing chamber. The method further comprises forming reactive species from the halogen-containing cleaning gas mixture. The method further comprises transporting the reactive species into the processing chamber. The processing chamber has a residual high- k dielectric material formed on one or more interior surfaces of the processing chamber. The one or more interior surfaces include at least one surface having a coating material formed thereon. The method further comprises permitting the reactive species to react with the residua! high-k dielectric material to form a product in a gaseous state. The method further comprises purging the product in a gaseous state out of the processing chamber. The high-k dielectric material is selected from zirconium dioxide (ZrG 2 ) and hafnium dioxide (Hf0 2 ). The coating material includes a compound selected from alumina (AI2O3), yttrium-containing compounds, and combinations thereof.

BRIEF DESCRIPTION OF THE DRAWINGS

[0018] So that the manner in which the above-recited features of the present disclosure can be understood in detail, a more particular description of the implementations, briefly summarized above, may be had by reference to implementations, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical implementations of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective implementations.

[00193 FIG. 1A depicts a sectional view of a processing chamber that may benefit from the cleaning processes in accordance with one or more implementations of the present disclosure;

[00203 FIG. B depicts a sectional view of the processing chamber of FIG. 1A having residual high-k dielectric materials formed on one or more interior surfaces that may be removed using one or more implementations of the present disclosure;

[00213 FIG. 2 depicts a process flow diagram of one implementation of a method that may be used to remove high-k dielectric materials from a processing chamber; and [00223 FIG. 3 depicts a process flow diagram of another implementation of a method that may be used to remove high-k dielectric materials from a processing chamber.

[0023] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one implementation may be beneficially incorporated in other implementations without further recitation.

DETAILED DESCRIPTION

[0024] The following disclosure describes techniques for in-situ removal of residual high-k dielectric materials from a substrate-processing chamber. Certain details are set forth in the following description and figures to provide a thorough understanding of various implementations of the disclosure. Other details describing well-known structures and systems often associated with plasma cleaning are not set forth in the following disclosure to avoid unnecessarily obscuring the description of the various implementations.

[0025] Many of the details, dimensions, angles and other features shown in the Figures are merely illustrative of particular implementations. Accordingly, other implementations can have other details, components, dimensions, angles and features without departing from the spirit or scope of the present disclosure, in addition, further implementations of the disclosure can be practiced without several of the details described below.

[0026] implementations described herein will be described below in reference to a high-k dielectric deposition process that can be carried out using any suitable thin film deposition system. One example of such a system is an AKT-90K PECVD system, suitable for substrate size 3000 mm x 3000 mm or larger size substrates, which is commercially available from Applied Materials, inc., of Santa Clara, California. Another example of such a system is an AKT-25K PECVD system or AKT-25K ALD system, suitable for substrate size 1850 mm x 1500 mm or larger size substrates, which are commercially available from Applied Materials, inc., of Santa Clara, California. Other tools capable of performing high-k dielectric deposition processes may also be adapted to benefit from the implementations described herein. In addition, any system enabling high-k dielectric deposition processes described herein can be used to advantage. The apparatus description described herein is illustrative and should not be construed or interpreted as limiting the scope of the implementations described herein.

[0027] implementations of the present disclosure generally relate to in-situ removal of high-k dielectric materials such as Zr0 2 and Hf0 2 from processing chambers. The processing chambers include but are not limited to PECVD, ALD or other processing chambers, which are utilized in the fabrication of high-resolution display back-plane TFT circuits. ZrG 2 and HfC½ are high-k dielectric materials currently used in the semiconductor industry and potentially in flat panel display industry to enable high-resolution display devices, such as Virtual Reality (VR) devices. High-k materials like ZrG 2 and Hf0 2 are critical to enable high-resolution display devices (e.g., pixels per inch ("PPI") >2000). Currently, the area of the storage capacitor needs to be reduced in the pixel circuit as the whole pixel area shrinks to increase resolution. To achieve the same capacitance, current dielectric layers (e.g., SiN, dielectric constant (k)~7) used in storage capacitors are being replaced with high-k dielectric materials, such as ZrQ 2 which has a k>20 and Hf0 2 which has a k>25. One factor for enabling high-k dielectric materials in display applications is the efficient removal of residual high-k dielectric materials from the processing chamber to reduce particles and improve yield.

[0028] Typically, deposition of high-k dielectric materials is not limited to the substrate and forms a residual film throughout the chamber. This residual film can cause particle formation, uniformity degradation and gas inlet clogging, thus leading to yield loss and increased cost of ownership. One way to remove the unwanted residual film on the chamber wall or other chamber components is to dissemble the chamber and remove the films with solution or solvent periodically after several deposition cycles. Dissembling the chamber, cleaning the components and reassembling the chamber take significant time and significantly affect the uptime of the tool. Another approach is to apply plasma to promote excitation and/or dissociation of reactive gases by the application of radio frequency (RF) energy. The plasma includes highly reactive species that react with and etch the unwanted residual material. For example, NF 3 plasma is widely used in the display industry to remove SiO x and SiN x films from processing chambers. However, NF 3 plasma is often unable to etch residual high-k dielectric materials.

[0029] implementations of the present disclosure include both a chamber cleaning process and modification of current hardware materials. Some implementations of the present disclosure effectively remove residual high-k dielectric materials from the processing chamber by introducing a reactive species formed from a halogen-containing gas mixture into the processing chamber to react with the residual high-k dielectric material. The reactive species may be generated as in-situ plasma (e.g., formed inside the processing chamber) or ex-situ plasma (e.g., formed via a remote plasma source). The generation of plasma can be (but not limited to) inductive-coupled plasma (ICP), capacitive-coupled plasma (CCP), remote plasma source (RPS), or microwave plasma.

[0030] In some implementations of the present disclosure, residual high-k dielectric materials are removed by flowing a halogen-containing gas mixture into the processing chamber and then exciting and/or dissociating the halogen- containing gas mixture to form plasma in the processing chamber. The excited free radicals from the halogen-containing gas mixture etch the residual high-k dielectric materials from the chamber body. The plasma of the halogen-containing gas mixture etches the high-k dielectric material and aluminum, but typically does not etch or minimally etches the coating material (e.g., AI2Q3) if no additional bias is applied. Therefore, in some implementations of the present disclosure, a thin coating material protects the aluminum chamber components during the cleaning process. The coating material may be applied using any suitable process. In some implementations, the coating material is applied by a surface anodization process, a plasma spray coating process, or a thermal spray coating process. If it is necessary to remove the coating material, additional bias can be applied to the plasma of the halogen-containing gas mixture during the process to facilitate etching of the coating material. Thus, the halogen-containing gas mixture can be used to selectively remove the high-k dielectric material relative to the coating material or remove both the high-k dielectric material and the coating material depending on the plasma conditions. [0031] FIG. 1A depicts a sectional view of a substrate-processing chamber 100 that may benefit from the cleaning processes in accordance with one or more implementations of the present disclosure. FIG. 1 B depicts a sectional view of the substrate-processing chamber 100 of FIG. 1A having a residual film formed on one or more interior surfaces that may be removed using one or more implementations of the present disclosure. The substrate-processing chamber 100 may be used to perform CVD, plasma enhanced-CVD (PE-CVD), pulsed-CVD, ALD, PE-ALD, metal- organic chemical vapor deposition (MOCVD) or combinations thereof. In some implementations, the substrate-processing chamber may be configured to deposit a high-k dielectric layer, such as Zr0 2 or HfG 2 . In some implementations, the substrate-processing chamber 100 is configured to process a large area substrate 102 (hereafter substrate 102) using plasma in forming structures and devices on the substrate 102 for use in the fabrication of liquid crystal displays (LCD's), fiat panel displays, organic light emitting diodes (OLED's), or photovoltaic cells for solar ceil arrays.

[0032] The substrate-processing chamber 100 generally includes sidewai!s 142, a bottom wall 104 and a lid assembly 1 12, which define a process volume 106. in one implementation, the lid assembly 1 12 is generally comprised of aluminum. The lid assembly 1 12 may be anodized to form a layer of AI2O3 on the surface of the lid assembly 1 12. In another implementation, the lid assembly 112 is fabricated from stainless steel, nickel-iron alloys (e.g., invar, which is a nickel-iron alloy known as 64FeNi), or other materials compatible with plasma processing. The sidewails 142 and the bottom wail 104 may be fabricated from a unitary block of aluminum, stainless steel, nickel-iron alloys (e.g., invar, which is a nickel-iron alloy known as 64FeNi), or other materials compatible with plasma processing. The sidewails 142 and the bottom wail 104 may be anodized to form a coating material on the surface of the lid assembly 1 12. In some implementations, where the coating material is present, the coating material may be formed by an anodization process, a plasma spray process, or a thermal spray process. The coating material may include a compound selected from alumina (AI2O3), yttrium-containing compounds, and combinations thereof. The sidewails 142 and the bottom wall 104 may be electrically grounded. [0033] A gas distribution plate 110 and a substrate support assembly 130 are disposed within the process volume 108. The gas distribution plate 10 and/or the substrate support assembly 130 may each independently be fabricated from aluminum, stainless steel, nickel-iron alloys (e.g., Invar, which is a nickel-iron alloy known as 84FeNi), or other materials compatible with plasma processing. In one implementation, the substrate support assembly comprises stainless steel. In one implementation, the gas distribution plate 1 0 comprises stainless steel and the substrate support assembly comprises alumina (AI2O3), yttrium-containing compounds, or combinations thereof. The process volume 106 is accessed through a slit valve opening 108 formed through the sidewalis 142 such that the substrate 102 may be transferred into and out of the substrate-processing chamber 100.

[00343 The substrate support assembly 130 includes a substrate-receiving surface 132 for supporting the substrate 102 thereon. The substrate support assembly 130 generally comprises an electrically conductive body supported by a stem 134 that extends through the bottom wall 104. The stem 134 couples the substrate support assembly 130 to a lift system 136, which raises and lowers the substrate support assembly 130 between substrate transfer and processing positions. A shadow frame 133 may be placed over a periphery of the substrate 102 during processing to prevent deposition on the edge of the substrate 102. Lift pins

138 are moveably disposed through the substrate support assembly 130 and are adapted to space the substrate 102 from the substrate-receiving surface 132. The substrate support assembly 130 may also include heating and/or cooling elements

139 utilized to maintain the substrate support assembly 130 at a chosen temperature. The substrate support assembly 130 may also include grounding straps 131 to provide an RF return path around the periphery of the substrate support assembly 130. In one implementation, the substrate support assembly 130 has the coating disposed thereon.

[00353 The gas distribution plate 1 0 is coupled at its periphery to the lid assembly 112 or sidewalis 142 of the substrate-processing chamber 100 by a suspension 1 14. in one particular implementation, the gas distribution plate 110 is fabricated from aluminum. The surface of the gas distribution plate 110 may be anodized to form a coating material (e.g., AI2O3) on the surface of the gas distribution plate 110. In one implementation, the surface of the gas distribution plate 1 10 has an yttrium-containing coating (Y2O3) disposed thereon. The coating material may be formed on the surface of the gas distribution plate 1 0 by an anodization, plasma spray process, or thermal spray process. The gas distribution plate 110 may also be coupled to the lid assembly 112 by one or more center supports 1 18 to help prevent sag and/or control the straightness/curvature of the gas distribution plate 1 0. The gas distribution plate 110 may have different configurations with different dimensions. In an exemplary implementation, the gas distribution plate 1 10 has a quadrilateral plan shape. The gas distribution plate 110 has a downstream surface 150 having a plurality of apertures 1 11 formed through the gas distribution plate 1 10 and facing an upper surface 1 18 of the substrate 102 disposed on the substrate support assembly 130. The apertures 1 11 may have different shapes, number, densities, dimensions, and distributions across the gas distribution plate 1 0. In one implementation, a diameter of the apertures 11 1 may be selected between about 0.01 inch and about 1 inch.

[0036] A gas source 120 is coupled to the lid assembly 112 to provide gas through the lid assembly 1 12 and then through the apertures 1 11 formed in the gas distribution plate 110 to the process volume 106. A vacuum pump 109 is coupled to the substrate-processing chamber 100 to maintain the gas in the process volume 106 at a chosen pressure.

[00373 A first source of electric power 122 is coupled with the lid assembly 112 and/or to the gas distribution plate 1 10. The first source of electric power 122 provides power that creates an electric field between the gas distribution plate 1 10 and the substrate support assembly 130 so that a plasma may be generated from the gases present between the gas distribution plate 1 0 and the substrate support assembly 130. The lid assembly 1 12 and/or the gas distribution plate 110 electrode may be coupled to the first source of electric power 122 through an optional filter, which may be an impedance matching circuit. The first source of electric power 122 may be DC power, pulsed DC power, RF bias power, pulsed RF source or bias power, or a combination thereof, in one implementation, the first source of electric power 122 is a RF bias power. [0038] In one implementation, the first source of electric power 122 is an RF power source. In one implementation, the first source of electric power 122 may be operated to provide RF power at a frequency between 0.3 MHz and about 14 MHz, such as about 13.56 MHz. The first source of electric power 122 may generate RF power at about 10 Watts to about 20,000 Watts, (e.g., between about 10 Watts to about 5000 Watts; between about 300 Watts to about 1500 Watts; or between about 500 Watts and about 1000 Watts).

[0039] The substrate support assembly 130 may be grounded such that RF power supplied by the first source of electric power 122 to the gas distribution plate 1 10 may excite the gases disposed in the process volume 108 between the substrate support assembly 130 and the gas distribution plate 1 10. The substrate support assembly 130 may be fabricated from metals or other comparable electrically conductive materials. In one implementation, at least a portion of the substrate support assembly 130 may be covered with an electrically insulative coating. The coating may be a dielectric material such as oxides, silicon nitride, silicon dioxide, aluminum oxide, aluminum dioxide, tantalum pentoxide, silicon carbide, polyimide, and yttrium-containing compounds, among others. Alternatively, the substrate-receiving surface 132 of the substrate support assembly 130 may be free of coating or anodizing.

[0040] An electrode (not shown), which may be a bias electrode and/or an electrostatic chucking electrode, may be coupled to the substrate support assembly 130. in one implementation, the electrode is positioned in the body of the substrate support assembly 130. The electrode may be coupled to a second source of electric power 160 through an optional filter, which may be an impedance matching circuit The second source of electric power 160 may be used to establish additional bias by establishing additional electric potential from the plasma to the substrate 102. Although there is already built-in potential from the plasma to the substrate 102 even without the second source of electric power 160, it is believed that the second source of electric power 160 increases the bias to provide more ion bombardment to enhance the etching/cleaning effect. The second source of electric power 160 may be DC power, pulsed DC power, RF bias power, pulsed RF source or bias power, or a combination thereof. [0041] In one implementation, the second source of electric power 160 is a DC bias source. The DC bias power may be supplied at between about 10 Watts and about 3000 Watts (e.g., between about 10 Watts and about 1000 Watts; or between about 10 Watts and about 100 Watts) at a frequency of 300 kHz, In one implementation, the DC bias power may be pulsed with a duty cycle between about 10 to about 95 percent at an RF frequency between about 500 Hz and about 10 kHz. Not to be bound by theory but it is believed that the DC bias establishes a bias between the plasma and substrate support, so that the ions in the plasma bombard the substrate support, enhancing the etching effect.

[0042] in one implementation, the second source of electric power 160 is a RF bias power. The RF bias power may be supplied at between about 0 Watts and about 1000 Watts (e.g., between about 10 Watts and about 100 Watfs) at a frequency of 300 kHz, In one implementation, the RF bias power may be pulsed with a duty cycle between about 10 to about 95 percent at a RF frequency between about 500 Hz and about 10 kHz.

[0043] in one implementation, the edges of the downstream surface 150 of the gas distribution plate 1 0 may be curved so that a spacing gradient is defined between the edge and corners of the gas distribution plate 1 10 and substrate- receiving surface 132 and, consequently, between the gas distribution plate 110 and the upper surface 118 of the substrate 102. The shape of the downstream surface 150 may be selected to meet specific process requirements. For example, the shape of the downstream surface 150 may be convex, planar, concave or other suitable shape. Therefore, the edge to corner spacing gradient may be utilized to tune the film property uniformity across the edge of the substrate, correcting property non-uniformity in films disposed in the corner of the substrate. Additionally, the edge to center spacing may also be controlled so film property distribution uniformity may be controlled between the edge and center of the substrate, in one implementation, a concave curved edge of the gas distribution plate 110 may be used so the center portion of the edge of the gas distribution plate 1 10 is spaced farther from the upper surface 1 18 of the substrate 102 than the corners of the gas distribution plate 110. In another implementation, a convex curved edge of the gas distribution plate 110 may be used so that the corners of the gas distribution plate 1 10 are spaced farther than the edges of the gas distribution piate 1 10 from the upper surface 118 of the substrate 102.

[0044] A remote plasma source 124, such as an inductively coupled remote plasma source, may also be coupled between the gas source and the gas distribution plate 110. Between processing substrates, a halogen-containing cleaning gas mixture may be energized in the remote plasma source 124 to remotely provide plasma utilized to clean chamber components. The halogen- containing cleaning gas mixture entering the process volume 108 may be further excited by the RF power provided to the gas distribution plate 110 by the first source of electric power 122. Although gas source 120 is coupled to the lid assembly 1 12 via the remote plasma source 124, it should be understood that in some implementations, the gas source 20 is coupled directly to the lid assembly.

[0045] in one implementation, the substrate 102 that may be processed in the substrate-processing chamber 100 may have a surface area of 10,000 cm 2 or more, such as 25,000 cm 2 or more, for example about 55,000 cm 2 or more. If is understood that after processing the substrate may be cut to form smaller other devices.

[0046] in one implementation, the heating and/or cooling elements 139 may be set to provide a substrate support assembly temperature during cleaning of about 600 degrees Celsius or less (between about 10 degrees Celsius and about 300 degrees Celsius; between about 200 degrees Celsius and about 300 degrees Celsius; between about 10 degrees Celsius and about 50 degrees Celsius, or between about 10 degrees Celsius and 30 degrees Celsius).

[0047] The nominal spacing during cleaning between the upper surface 18 of the substrate 102 disposed on the substrate-receiving surface 132 and the gas distribution plate 10 may generally vary between 400 mils and about 1 ,200 mils, such as between 400 mils and about 800 mils, or other distance to obtain sought after deposition results. In one implementation, where the gas distribution piate 110 has a concave downstream surface, the spacing between the center portion of the edge of the gas distribution plate 1 10 and the substrate-receiving surface 132 is between about 400 mils and about ,400 mils, and the spacing between the corners of the gas distribution piate 110 and the substrate-receiving surface 132 is between about 300 mils and about 1 ,200 mils.

[0048] FIG. 1 B depicts a sectional view of the substrate-processing chamber 100 of FIG. 1A with the substrate 102 removed. FIG. 1 B provides an illustration of the substrate-processing chamber 100 suitable for performing chamber cleaning using an internal energy source such as in-situ plasma or an external energy source, respectively, in FIG. 1 B, a halogen-containing gas mixture 170 (depicted in FIG. 1 B as solid arrows) is introduced into the process volume 106, which has a residual film 180 (e.g., a high-k dielectric material such as ZrG 2 , Y2O3, or f0 2 ) to be removed during the cleaning process. As shown in FIG. 1 B, the residual film 180 is deposited upon at least a portion of the exposed surface within the substrate-processing chamber 100, particularly, the gas distribution piafe 1 10, substrate support assembly 130, shadow frame 133, etc. The halogen-containing gas mixture 170 is exposed to an energy source, such as the first source of electric power 122, the second source of electric power 160, or remote plasma source 124, which creates reactive species 190 such as chlorine radicals, fluorine radicals, bromine radicals, hydrogen radicals and combinations thereof. The reactive species 190 react with the residual film 180 and form a volatile product. The volatile product is removed from the substrate- processing chamber 100. One or more interior surfaces (e.g., the gas distribution plate 110, substrate support assembly 130, shadow frame 133, sidewails 142, etc.) of the substrate-processing chamber 100 have at least one coating material (e.g., exposed AI2O3 film or exposed yttrium-containing films) formed thereon. The one or more interior surfaces may comprise aluminum, stainless steel, nickel-iron alloys (e.g., Invar or 64FeNi), or other materials compatible with plasma processing, in implementations, where the reactive species 190 are formed ex-situ (e.g., via remote plasma), the reactive species may be delivered into the process volume 106.

[00493 FIG. 2 depicts a process flow diagram of one implementation of a method 200 that may be used to remove high-k dielectric materials from a substrate- processing chamber. The substrate-processing chamber may be similar to the substrate-processing chamber 100 depicted in FIG. 1A and FIG. 1 B. At operation 210, a high-k dielectric material is deposited over a substrate disposed in a substrate-processing chamber. During deposition of the high-k dielectric material over the substraie, the high-k dielectric material may be deposited over the interior surfaces including the chamber components (e.g., the gas distribution plate, substrate support assembly, shadow frame, sidewails, etc.) of the substrate- processing chamber. The interior surfaces may comprise aluminum, stainless steel, nickel-iron alloys (e.g., Invar or 64FeNi), or other materials compatible with plasma processing. Any suitable high-k dielectric material may be deposited in the substrate-processing chamber, in one implementation, the high-k dielectric material is selected from zirconium oxide (Z1O2), hafnium oxide (HfC<2), aluminum oxide (AI2O3), and combinations thereof. In one implementation, the high-k dielectric material is doped. In one implementation, the doped high-k dielectric material is an aluminum-doped zirconium oxide containing material.

[OOSQ] The high-k dielectric material may be deposited using, for example, a chemical vapor deposition (CVD) process, a plasma-enhanced chemical vapor deposition (PECVD) process, an atomic layer deposition (ALD) process, a metal- organic chemical vapor deposition ( OCVD) process, and a physical vapor deposition (PVD) process, in some implementations, at least portions of the chamber components are composed of aluminum, in some implementations, at least portions of the chamber components have a coating disposed thereon, in some implementations, the coating includes a compound selected from alumina (AI2O3), yttrium-containing compounds, and combinations thereof. In one implementation, the yttrium-containing compound is selected from yttrium oxide (Y2O3), yttrium oxide fluoride (YOF), yttrium chlorate (YfCIC ^), yttrium (III) fluoride (YF3), yttrium (111) chloride (YQ3), ytfria-stabiiized zirconia (YSZ), and combinations thereof, in some implementations, the chamber components do not have a coating disposed thereon and thus are "coating-free."

[0051] At operation 220, the substrate is transferred out of the substrate- processing chamber, in some implementations, the substrate remains in the substrate-processing chamber during the cleaning process.

[0052] At operation 230, a reactive species is introduced into the substrate- processing chamber. The reactive species may be generated utilizing plasma. The plasma may be generated in-situ or the plasma may be generated ex-situ (e.g., remotely). Suitable plasma generation techniques and sources, such as inductive- coupled plasma (ICP), capacitive-coupled plasma (CCP), remote plasma source (RPS), or microwave plasma generation techniques may be utilized to form the reactive species. In some implementations, the reactive species are formed in-situ via an in-situ plasma process, in some implementations, the reactive species are formed ex-situ via a remote plasma source and introduced into the substrate- processing chamber.

[0053] in one implementation, the reactive species may be generated by flowing a halogen-containing cleaning gas mixture into the process volume 106. In one implementation, the halogen-containing cleaning gas mixture includes a halogen- containing gas. In one implementation, the halogen-containing gas is selected from a chlorine-containing gas, hydrogen bromide (HBr) gas, and combinations thereof. In one implementation, the chlorine-containing gas is selected from BCIs and C½. in one implementation, the halogen-containing gas is selected from BG3, CI2, HBr, NF3, and combinations thereof. In one implementation, the halogen-containing cleaning gas mixture includes BCI3 and NF 3 . in one implementation, the halogen- containing cleaning gas mixture includes BCI3 and Cl 2 . In one implementation, the halogen-containing gas mixture further comprises a carbon-containing gas. In one implementation, the carbon-containing gas is selected from CO2, CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 , and combinations thereof. In one implementation, the halogen- containing gas mixture further comprises a dilution gas. The dilution gas may be selected from helium, argon, and combinations thereof. In some implementations, the halogen-containing gas and the carbon-containing gas are introduced separately into the process volume 108.

[00543 in one implementation, the halogen-containing cleaning gas mixture includes BCI 3 and at least one of CC½, CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 , and combinations thereof. In another implementation, the halogen-containing cleaning gas mixture includes Cl 2 and at least one of C0 2 , CH 4 , CHF3, CH 2 F 2 , CH3F, CF 4 , and combinations thereof, in yet another implementation, the halogen-containing cleaning gas mixture includes HBr and at least one of C0 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, and combinations thereof. In yet another implementation, the halogen- containing cleaning gas mixture includes NF 3 and at least one of C0 2 , CH , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 , and combinations thereof, in yet another implementation, the halogen-containing cleaning gas mixture includes BCI3, NF 3 and at least one of CO2, CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 , and combinations thereof. In yet another implementation, the halogen-containing cleaning gas mixture includes BCI3, CI2 and at least one of CO2, CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 , and combinations thereof.

[00553 in one implementation, the halogen-containing cleaning gas mixture is exposed to an RF source and/or bias power. The RF source and/or bias power energizes the halogen-containing cleaning gas mixture within the process volume 106 such that the plasma may be sustained, in one implementation, the first source of electric power 122 may be operated to provide RF power at a frequency between 0.3 MHz and about 14 MHz, such as about 13.56 MHz. The first source of electric power 122 may generate RF power at about 10 Watts to about 5000 Watts, (e.g., between about 300 Watts to about 1500 Watts; between about 500 Watts and about 1000 Watts).

[0056] in some implementations, in addition to the RF source power, RF bias power may also be utilized during the cleaning process to assist dissociating the cleaning gas mixture forming the plasma. The RF bias may be provided by the second source of electric power 160. In one implementation, the first source of electric power 122 may be operated to provide RF power at a frequency between 0.3 MHz and about 14 MHz, such as about 13.56 MHz. The RF bias power may be supplied at between about 0 W'atts and about 1000 Watts (e.g., between about 10 Watts and about 100 Watts) at a frequency of 300 kHz. in one implementation, the RF bias power may be pulsed with a duty cycle between about 10 to about 95 percent at a RF frequency between about 500 Hz and about 10 kHz. In some implementations, where this additional bias is applied, the coating material (e.g., AI2O3) is removed in conjunction with the residual high-k dielectric material. Not to be bound by theory, but it is believed that the DC bias establishes an electrical potential difference between plasma and the substrate to enhance etching.

[00573 in some implementations, the plasma may be formed by capacitive or inductive means, and may be energized by coupling RF power into the halogen- containing cleaning gas mixture. The RF power may be a dual-frequency RF power ihai has a high frequency component and a low frequency component. The RF power is typically applied at a power level between about 50 W and about 2,500 W, which may be all high-frequency RF power, for example at a frequency of about 13.56 MHz, or may be a mixture of high-frequency power and low frequency power, for example at a frequency of about 300 kHz.

[0058] in some implementations, where the reactive species are formed ex-situ, the halogen-containing cleaning gas mixture is flowed into a remote plasma source fluidly coupled with the substrate-processing chamber. The halogen-containing cleaning gas mixture comprises a halogen-containing gas, optionally the carbon- containing gas, and optionally the dilution gas. In some implementations, the optional dilution gas may function as a carrier gas. in some implementations, the optional dilution gas may extend the lifetime of and increase the density of the radical species. In some implementations, the halogen-containing gas is flowed into the remote plasma source and the other process gases (e.g., carbon-containing gases) are delivered to the chamber separately.

[0059] The remote plasma source may be an inductively coupled plasma source. The remote plasma source accepts the halogen-containing cleaning gas mixture and forms a plasma in the halogen-containing cleaning gas mixture, which causes dissociation of the of the halogen-containing cleaning gas mixture to form reactive species. The reactive species may include chlorine radicals, bromine radicals, fluorine radicals and combinations thereof. The remote plasma source provides high efficiency dissociation of the halogen-containing cleaning gas mixture.

[0060] in some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas before introducing the halogen-containing cleaning gas mixture into the remote plasma chamber.

[0061] The halogen-containing cleaning gas mixture may be flowed into the substrate-processing chamber at a flow rate of about 100 seem to about 20,000 seem. In some implementations, the halogen-containing cleaning gas mixture is flowed into the substrate-processing chamber at a flow rate from about 500 seem to about 4,000 seem, in some implementations, the halogen-containing cleaning gas mixture is flowed into the substrate-processing chamber at a flow rate of about 1 ,000 seem.

[0062] in one implementation, the pressure within the substrate-processing chamber is between about 10 mTorr and about 300 Torr. In one implementation, the pressure within the substrate-processing chamber is between 10 mTorr and about 5 Torr, for example, about 20 mTorr,

[0063] In some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas before introducing the halogen-containing gas mixture into the remote plasma source. Then, as the halogen-containing gas mixture is introduced into the remote plasma chamber, the flow rate of argon is decreased. As an example, the remote plasma may be initiated with a flow of 3,000 seem of argon, which is progressively decreased to 1 ,000, and then to 500 seem as the halogen- containing gas mixture is introduced into the remote plasma chamber at an initial flow rate of 1 ,000 seem and then increased to a flow of 1 ,500 seem.

[0064] in some implementations, the cleaning process is performed at room temperature. In some implementations, the substrate support pedestal is heated to a temperature of about 800 degrees Celsius or less, for example between about 10 degrees Celsius and about 200 degrees Celsius, or between about 10 degrees Celsius and about 50 degrees Celsius, such as between about 10 degrees Celsius and 30 degrees Celsius. Controlling the temperature may be used to control the removal/etching rate of the high-k dielectric material containing deposits. The removal rate may increase as the chamber temperature increases.

[0065] The reactive species formed from the halogen-containing cleaning gas mixture are transported to the substrate-processing chamber. in one implementation, the reactive species comprise halogen radicals. In one implementation, the reactive species comprise chlorine radicals. in one implementation, the reactive species comprise chlorine radicals and fluorine radicals, in one implementation, the reactive species comprises bromine radicals. In one implementation, the reactive species comprises bromine radicals and hydrogen radicals. [0066] At operation 240, the reactive species react with the high-k dielectric material containing deposits to form a volatile product in gaseous state, in some implementations, a removal rate of the residual high-k dielectric material containing deposits is greater than a removal rate of the coating material, which coats at least a portion of the chamber components. In some implementations, the removal rate of the residual high-k dielectric containing deposits is greater than 200 A/min (e.g., from about 200 A/min to about 400 A/min; from about 220 A/min to about 300 A/min; or from about 240 A/min to about 300 A/min). in some implementations, reacting the residual high-k dielectric containing deposits with the reactive species to form a volatile product is a bias-free process, in some implementations where no additional bias is applied, the removal rate of the coating material is less than 50 A/minute (e.g., from about 0 A/min to about 50 A/min; from about 0 A/min to about 10 A/min, or zero A/min). In some implementations where no additional bias is applied, the removal rate of the coating material is a minimal or very slow removal rate (e.g., less than 50 A/minute; less than 40 A/minute; less than 30 A/minute; less than 20 A/minute; less than 20 A/minute; less than 10 A/minute; or less than 5 A/minute).

[0067] Optionally, at operation 250, the volatile product, which is in a gaseous state, is purged out of the substrate-processing chamber. The substrate-processing chamber may be actively purged by flowing a purge gas into the substrate- processing chamber. Alternatively, or in addition to introducing the purge gas, the substrate-processing chamber may be depressurized in order to remove any residual cleaning gas as well as any byproducts from the substrate-processing chamber. The substrate-processing chamber may be purged by evacuating the substrate-processing chamber. The time-period of the purge process should generally be long enough to remove the volatile products from the substrate- processing chamber. The time-period of purge gas flow should be generally long enough to remove the volatile products from the interior surfaces of the chamber including the chamber components.

[0068] At operation 260, at least one of operation 230, operation 240, and operation 250 are repeated until a chosen cleaning endpoint is achieved. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. [0069] In some implementations, the method 200 further comprises removing the coating material (if present) from the substrate-processing chamber. The coating material is removed by applying an additional bias while forming the reactive species and/or while reacting the coating material with the reactive species to form a second volatile product. The second volatile product may be removed from the substrate- processing chamber.

[0070] FIG. 3 depicts a process flow diagram of one implementation of a method 200 that may be used to remove high-k materials from a substrate-processing chamber. The substrate-processing chamber may be similar to the substrate- processing chamber 100 depicted in FIG. A and FIG. 1 B. At operation 310, a zirconium oxide (ZrCy containing layer is deposited over a substrate disposed in a substrate-processing chamber. During deposition of the zirconium oxide containing layer over the substrate, zirconium oxide and/or zirconium oxide containing compounds may be deposited over the interior surfaces including the chamber components (e.g., the gas distribution plate, substrate support assembly, shadow frame, sidewails, etc.) of the substrate-processing chamber. The zirconium oxide containing layer may be an aluminum-doped zirconium oxide containing layer. The zirconium oxide containing layer may be deposited using, for example, a chemical vapor deposition (CVD) process, a plasma-enhanced chemical vapor deposition (PECVD) process, chamber, an atomic layer deposition (ALD) process, a metal- organic chemical vapor deposition ( OCVD), and a physical vapor deposition (PVD) process. The one or more interior surfaces/chamber components may comprise aluminum, stainless steel, nickel-iron alloys (e.g., Invar or 64FeNi), or other materials compatible with plasma processing. In some implementations, at least portions of the chamber components are composed of aluminum, in some implementations, at least portions of the chamber components have an alumina (AI2O3) layer disposed thereon. In some implementations, at least portions of the chamber components are composed of stainless steel.

[0071] At operation 320, the substrate is transferred out of the substrate- processing chamber. In some implementation, the substrate remains in the substrate-processing chamber during the cleaning process. [0072] At operation 330, a reactive species is introduced into the substrate- processing chamber. The reactive species may be generated utilizing plasma generated in-situ or the plasma may be generated ex-situ (e.g., remotely). Suitable plasma generation techniques, such as inductive-coupled plasma (ICP), capacitive- coupied plasma (CCP), remote plasma source (RPS), or microwave plasma generation techniques may be utilized to form the reactive species. In some implementations, the reactive species are formed in-situ via an in-situ plasma process. In some implementations, the reactive species are formed ex-situ via a remote plasma source.

[0073] in one implementation, the reactive species may be generated by flowing a cleaning gas mixture into the process volume 106. In one implementation, the cleaning gas mixture comprises BCU and optionally a diluent gas. The diluent gas may be an inert gas selected from helium, argon, or combinations thereof. The cleaning gas mixture is exposed to an RF source and/or bias power. The RF source and/or bias power energizes the cleaning gas mixture within the process volume 106 such that the plasma may be sustained, in one implementation, the first source of electric power 122 may be operated to provide RF power at a frequency between 0.3 MHz and about 14 MHz, such as about 13.56 MHz. The first source of electric power 122 may generate RF power at about 10 Watts to about 5000 Watts, (e.g., between about 300 Watts to about 1500 Watts; between about 500 Watts and about 1000 Watts).

[0074] in some implementations, in addition to the RF source power, RF bias power may also be utilized during the cleaning process to assist dissociating the cleaning gas mixture forming the plasma. The RF bias may be provided by the second source of electric power 160. In one implementation, the first source of electric power 122 may be operated to provide RF power at a frequency between 0.3 MHz and about 14 MHz, such as about 13.56 MHz. The RF bias power may be supplied at between about 0 W'atts and about 1000 Watts (e.g., between about 10 Watts and about 100 Watts) at a frequency of 300 kHz. in one implementation, the RF bias power may be pulsed with a duty cycle between about 10 to about 95 percent at a RF frequency between about 500 Hz and about 10 kHz. In some implementations, where this additional bias is applied, AI2O3 is removed in conjunction with the residual Zr0 2 containing film.

[0075] in some implementations, in addition to the RF source power, DC bias power may also be utilized during the cleaning process to assist dissociating the cleaning gas mixture forming the plasma. The DC bias may be provided by the second source of electric power 160. In one implementation, the first source of electric power 122 may be operated to provide RF power at a frequency between 0.3 MHz and about 14 MHz, such as about 13.56 MHz. The second source of electric power 160 may be operated to provide DC bias power at between about 10 Watts and about 3000 Watts (e.g., between about 10 Watts and about 1000 Watts; or between about 10 Watts and about 100 Watts) at a frequency of 300 kHz. in one implementation, the DC bias power may be pulsed with a duty cycle between about 10 to about 95 percent at a frequency between about 500 Hz and about 10 kHz, Not to be bound by theory, but it is believed that the DC bias establishes an electrical potential difference between plasma and the substrate to enhance etching.

[0076] in some implementations, the plasma may be formed by capacitive or inductive means, and may be energized by coupling RF power into the cleaning gas mixture. The RF power may be a dual-frequency RF power that has a high frequency component and a low frequency component. The RF power is typically applied at a power level between about 50 W and about 2,500 W, which may be ail high-frequency RF power, for example at a frequency of about 13.56 MHz, or may be a mixture of high-frequency power and low frequency power, for example at a frequency of about 300 kHz.

[0077] in some implementations, where the reactive species are formed ex-situ, the BCI3 containing gas mixture is flowed into a remote plasma source fiuidiy coupled with the substrate-processing chamber. The BCI3 containing gas mixture comprises BCI3 and optionally an inert gas. in some implementations, the optional inert gas may function as a carrier gas. in some implementations, the optional inert gas may extend the lifetime of and increase the density of the radical species, in some implementations, the BCI3 containing gas mixture is flowed into the remote plasma source and the other process gases are delivered to the chamber separately. The optional inert gas may be selected from the group consisting of helium, argon, or combinations thereof.

[0078] The remote plasma source may be an inductively coupled plasma source. The remote plasma source accepts the BCb containing gas mixture and forms a plasma in the BCb containing gas mixture, which causes dissociation of the of the BCb containing gas mixture to form reactive species. The reactive species may include chlorine radicals. The remote plasma source provides high efficiency dissociation of the BCU containing gas mixture.

[Θ0793 in some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas before introducing the BCb containing gas mixture into the remote plasma chamber.

[OO803 The BCb containing gas mixture may be flowed into the substrate- processing chamber at a flow rate of about 100 seem to about 10,000 seem. In some implementations, the BCb containing gas mixture is flowed into the substrate- processing chamber at a flow rate from about 500 seem to about 4,000 seem, in some implementations, the BCb containing gas mixture is flowed into the substrate- processing chamber at a flow rate of about 1 ,000 seem.

[0081] The pressure within the substrate-processing chamber may be between about 10 mTorr and about 300 Torr. The pressure within the substrate-processing chamber may be between 10 mTorr and about 5 Torr, for example, about 20 mTorr.

[0082] in some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas before introducing BCb into the remote plasma source. Then, as BCU is introduced into the remote plasma chamber, the flow rate of argon is decreased. As an example, the remote plasma may be initiated with a flow of 3,000 seem of argon which is progressively decreased to 1 ,000 and then to 500 seem as BCb is introduced into the remote plasma chamber at an initial flow rate of 1 ,000 seem and then increased to a flow of ,500 seem.

[0083] In some implementations, the cleaning process is performed at room temperature, in some implementations, the substrate support pedestal is heated to a temperature of about 600 degrees Celsius or less, for example between about 10 degrees Celsius and about 200 degrees Celsius, or between about 10 degrees Celsius and about 50 degrees Celsius, such as between about 10 degrees Celsius and 30 degrees Celsius. Controlling the temperature may be used to control the removal/etching rate of the high-k dielectric material deposits. The removal rate may increase as the chamber temperature increases.

[0084] The reactive species formed from the BC gas mixture are transported to the substrate-processing chamber. The reactive species comprise chlorine radicals.

[0085] At operation 340, the reactive species react with the zirconium oxide containing deposits to form a volatile product in gaseous state. The volatile product includes zirconium tetrachloride (ZrCU). In some implementations, a removal rate of the residual ZrC"2 containing film is greater than a removal rate of the AI2O3, which coats at least a portion of the aluminum chamber components. In some implementations, the removal rate of the residual ZrG 2 containing film is greater than 200 A/min (e.g., from about 200 A/min to about 400 A/min; from about 220 A/min to about 300 A/min; or from about 240 A/min to about 300 A/min). In some implementations, reacting the residual Zr0 2 containing film with the reactive species to form a volatile product is a bias-free process. In some implementations where no additional bias is applied, the removal rate of AI2O3 is less than 50 A/minute (e.g., from about 0 A/min to about 50 A/min; from about 0 A/min to about 10 A/min, or zero A/min).

[ΘΟ863 Optionally, at operation 350, the volatile product, which is in a gaseous state, is purged out of the substrate-processing chamber. The substrate-processing chamber may be actively purged by flowing a purge gas into the substrate- processing chamber. Alternatively, or in addition to introducing the purge gas, the substrate-processing chamber may be depressurized in order to remove any residual cleaning gas as well as any byproducts from the substrate-processing chamber. The substrate-processing chamber may be purged by evacuating the substrate-processing chamber. The time-period of the purge process should generally be long enough to remove the volatile products from the substrate- processing chamber. The time-period of purge gas flow should be generally long enough to remove the volatile products from the inferior surfaces of the chamber including the chamber components.

[0087] At operation 360, at least one of operation 330, operation 340, and operation 350 are repeated until a chosen cleaning endpoint is achieved. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles.

[0088] In some implementations, the method 300 further comprises removing the AI2O3 containing film (if present) from the substrate-processing chamber. The Ai 2 03 is removed by applying an additional bias while forming the reactive species and/or while reacting the AI2O3 containing film with the reactive species to form a second volatile product. The second volatile product may be removed from the substrate- processing chamber.

[0089] Examples:

[0090] The following non-limiting examples are provided to further illustrate implementations described herein. However, the examples are not intended to be all-inclusive and are not intended to limit the scope of the implementations described herein. Table I depicts the results for a cleaning process performed according to one implementation of the present disclosure. As depicted in Tabie I, an inductively coupled plasma process performed with BCI3 and without DC bias has a higher removal rate for Zr0 2 , aluminum-doped ZrC½ and aluminum relative to AI2O3. As further depicted in Table 1 , when DC bias is applied, the process also removes Ai 2 0 3 .

Tabie I.

[0091] Table II depicts the results for a cleaning process performed according to one implementation of the present disclosure. As depicted in Tabie II, a capacitiveiy coupled plasma process performed with BCI3 and without DC bias has a higher removal raie for ZrQ 2 , aluminum-doped ZrC½ and aluminum relative to AI2O3, stainless steel, Invar and yttrium coating.

Table I I.

[0092] In summary, some benefits of the present disclosure include the ability to selectivity etch residual high-k dielectric films (e.g., ZrG?_ and Hf0 2 ) without or with minimal etching of chamber coating materials (e.g. , AI2O3 and/or yttrium-containing compounds) and/or chamber materials (e.g. , stainless steel and/or nicke!-iron alloys). This selectivity can be used to protect aluminum chamber components. Aluminum chamber components are typically etched during plasma cleaning processes. The inventors have found that using AI2O3 anodization or other chamber coating materials to protect aluminum components in the chamber allows for the preferential removal of residual high-k dielectric films without damaging aluminum components, which ensures the reliability and lifetime of hardware parts. Selectivity is centra! to enable the in-situ cleaning capability. Thus, during cleaning, the residual films can be removed by the cleaning agent (e.g., BCI3, Cb, HBr, or NF 3 ), but the aluminum sidewails and other aluminum hardware components inside the chamber remain intact As mentioned above, implementations of the present disclosure include using reactive plasma species from a halogen-containing gas mixture to clean residual high-k dielectric films, and using coating materials on aluminum hardware parts inside the chamber to protect the aluminum hardware parts. The reactive plasma species can effectively etch high-k dielectric materials and aluminum, but does not etch the coating material if no additional bias is applied. Thus, aluminum can be used as the material of hardware parts, as long as it is coated with a coating material (e.g. , A! 2 03 and/or yttrium-containing compounds). When additional bias is applied, the reactive plasma species can also etch AI2O3, These features make the reactive plasma species an ideal cleaning agent for in-situ cleaning of high-k materials form deposition chambers. [0093] When introducing elements of the present disclosure or exemplary aspects or implementation(s) thereof, the articles "a," "an," "the" and "said" are intended to mean that there are one or more of the elements.

[0094] The terms "comprising," "including" and "having" are intended to be inclusive and mean that there may be additional elements other than the listed elements.

[ooss] While the foregoing is directed to implementations of the present disclosure, other and further implementations of the present disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.