Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SHALLOW TRENCH ISOLATION CHEMICAL MECHANICAL PLANARIZATION
Document Type and Number:
WIPO Patent Application WO/2012/102765
Kind Code:
A1
Abstract:
A polishing method includes polishing, in a first polish, a wafer to remove overburden and planarize a top layer leaving a portion remaining on an underlying layer. A second polishing step includes two phases. In a first phase, the top layer is removed and the underlying layer is exposed, with a top layer to underlying layer selectivity of between about 1:1 to about 2:1 to provide a planar topography. In a second phase, residual portions of the top layer are removed from a top of the underlying layer to ensure complete exposure of an underlying layer surface.

Inventors:
CHARNS LESLIE (US)
CUMMINGS JASON E (US)
HUPKA LUKASZ J (US)
KOLI DINESH R (US)
KONNO TOMOHISA (JP)
KRISHNAN MAHADEVAIYER (US)
LOFARO MICHAEL F (US)
NALASKOWSKI JAKUB (US)
NODA MASAHIRO (JP)
PENIGALAPATI DINESH (US)
YAMANAKA TATSUYA (JP)
Application Number:
PCT/US2011/053230
Publication Date:
August 02, 2012
Filing Date:
September 26, 2011
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
IBM (US)
CHARNS LESLIE (US)
CUMMINGS JASON E (US)
HUPKA LUKASZ J (US)
KOLI DINESH R (US)
KONNO TOMOHISA (JP)
KRISHNAN MAHADEVAIYER (US)
LOFARO MICHAEL F (US)
NALASKOWSKI JAKUB (US)
NODA MASAHIRO (JP)
PENIGALAPATI DINESH (US)
YAMANAKA TATSUYA (JP)
International Classes:
H01L21/304; H01L21/76
Foreign References:
KR20090038141A2009-04-20
KR20080101454A2008-11-21
KR20030013146A2003-02-14
KR20090026984A2009-03-16
Attorney, Agent or Firm:
DAVIS, Jennifer (2070 Route 52Bldg. 321 / Zip 48, Hopewell Junction NY, US)
Download PDF:
Claims:
Claims

1. A polishing method, comprising:

polishing, in a first polish, a wafer to remove overburden and planarize a top layer leaving a portion remaining on an underlying layer; and

polishing, in two phases of a second polish, by:

in a first phase, removing of the top layer and exposing the underlying layer with a top layer to underlying layer selectivity of between about 1 : 1 to about 2: 1 to provide a planar topography; and

in a second phase, removing residual portions of the top layer from a top of the underlying layer to ensure complete exposure of an underlying layer surface.

2. The method as recited in claim 1, wherein polishing, in a first polish, includes removing an overburden to reduce the top layer to between about 300 A to 600 A.

3. The method as recited in claim 1, wherein, in a first phase, removing of the top layer and exposing the underlying layer includes tuning the selectivity to account for line width, pattern density and feature size on the wafer.

4. The method as recited in claim 1, wherein the top layer includes oxide and the underlying layer includes nitride and the step of, in a first phase, removing of the top layer and exposing the underlying layer includes polishing the oxide and the nitride with a slurry that has polish rates of about 300 to about 600 A/min.

5. The method as recited in claim I, wherein the top layer includes oxide and the underlying layer includes nitride and the step of, in a second phase, removing residual portions includes employing a slurry with an oxide polish rate and no significant nitride polish rate.

6. The method as recited in claim 5, wherein the slurry includes:

0.5 to 7 W% of colloidal silica abrasives dispersed in water; 5 g/L of organic acid having two or more carboxylic acid groups; 0.25 to 0.35 g/L of inorganic acid and a pH in the range of 2 - 3.

7. The method as recited in claim 5, wherein the slurry includes: 8 to 20 W% of colloidal silica abrasives dispersed in water; 15 g/L of organic acid having two or more carboxylic acid groups; 0.25 to 0.35 g/L of inorganic acid; 0.01 to 5 g/Liter of ammonium hydroxide and a pH in the range of 2 - 5.

8. The method as recited in claim 5, wherein the slurry includes: 5 to 10 W% of colloidal silica abrasive; 0.1 to 10 g/L of inorganic acid and pH in a range of 2 to 6.

9. The method as recited in claim 1, wherein at least one of the two phases of the second polish includes a two component slurry wherein the two components of the slurry are employed for one phase and one component of the slurry is used during the other phase.

10. The method as recited in claim 1, wherein polishing the first phase includes a slurry having silica abrasives dispersed in aqueous solutions with a 0.5 to 30 W%.

1 1. The method as recited in claim 10, wherein the slurry includes organic acid in the range of 0.01 to 30 g/L.

12. The method as recited in claim 11, wherein the slurry includes an acidic pH modulator in a range of 0.01 to 10 g/L.

13. The method as recited in claim 12, wherein the slurry includes an alkaline pH modulator in a range of 0 to 15 g/L.

14. The method as recited in claim 1, wherein polishing in the first phase includes a slurry having 5 W% of colloidal silica abrasives dispersed in water, 0.5 to 50 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0.35 g/L of inorganic acid, 0.1 to 1.0 g/L of inorganic base, and pH in a range of 2 - 5.

15. The method as recited in claim 1, wherein polishing in the second phase includes a slurry having colloidal silica abrasive dispersed in aqueous solution from 0.5 to 30 W%, organic acid in the range of 0.01 to 30 g/L, an acidic pH modulator in a range of 0.01 to 10 g/L, and a pH between 1 to 5.

16. The method as recited in claim 1, wherein polishing in the second phase includes a slurry having 5 to 10 W% of colloidal silica abrasives dispersed in water, 5 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0.35 g/L of inorganic acid, and pH in a range of 2 - 3.

17. The method as recited in claim 1, wherein polishing, in two phases of a second polish, includes employing a slurry used as a two part slurry, including:

a part one slurry having a 0.5 to 30 W% silica abrasive slurry, 0.5 to 50 g/L organic acid, and a 0.01 to 5 g/L acidic pH modulator; and

a part two slurry having a 0.01 to 5 g/L alkaline pH modulator, and a 0.01 to 50 g/L acidic pH modulator,

wherein the slurry can be supplied to a polishing table as two components which mix on the polishing table such that flow rates of the part one slurry and the part two slurry are varied to achieve polish rates for the top layer and the underlying layer at different stages of the polishing.

18. The method as recited in claim 1, wherein polishing, in two phases of a second polish, includes employing a slurry used as a two part slurry, including:

a part one slurry having a 0.5 to 30 W% silica abrasive slurry, 0.5 to 50 g/L organic acid, and a 0.01 to 5 g/L acidic pH modulator; and

a part two slurry having a 0.5 to 30% silica abrasive slurry, a 0.01 to 5 g/L alkaline pH modulator and a 0.01 to 50 g/L acidic pH modulator,

wherein the slurry can be supplied to a polishing table as two components which mix on the polishing table such that flow rates of the part one slurry and the part two slurry are varied to achieve polish rates for the top layer and the underlying layer at different stages of the polishing.

19. A method for polishing shallow trench isolation (STI) structures to planarize oxide layers and expose underlying nitride, comprising: a first chemical mechanical polish step to remove overburden and planarize an oxide layer leaving 300 to 600 A of oxide remaining;

a second chemical mechanical polish step including two phases having:

a first phase including removing of the oxide layer to expose underlying nitride surfaces with an oxide to nitride selectivity of about 1 : 1 to 2: 1 to provide a planar topography; and

a second phase including removing residual oxide that remains on top of the nitride surface to ensure complete exposure of the nitride surface.

20. The method as recited in claim 19, wherein removing residual oxide includes employing a slurry with an oxide polish rate and no significant nitride polish rate.

21. The method as recited in claim 19, wherein at least one of the first phase and the second phase includes a two component slurry wherein the two components of the slurry are employed for one phase, and one component of the slurry is used during the other phase.

22. The method as recited in claim 19, wherein the first phase includes a slurry having silica abrasives dispersed in aqueous solution with a 0.5 to 30 W%, an organic acid in the range of 0.01 to 30 g/L, an acidic pH modulator in a range of 0.01 to 10 g/L and an alkaline pH modulator in a range of 0 to 15 g/L.

23. The method as recited in claim 19, wherein the first phase includes a slurry used as a two part slurry, including:

a part one slurry having a 0.5 to 30 W% silica abrasive slurry, 0.5 to 50 g/L organic acid, and a 0.01 to 5 g/L acidic pH modulator; and a part two slurry having a 0.01 to 5 g/L alkaline pH modulator, and a 0.01 to 50 g/L acidic pH modulator,

wherein the slurry can be supplied to a polishing table as two components which mix on the polishing table such that flow rates of the part one slurry and the part two slurry are varied to achieve polish rates for the top layer and the underlying layer at different stages of the polishing.

24. The method as recited in claim 19, wherein the first phase includes a slurry used as a two part slurry, including:

a part one slurry having a 0.5 to 30 W% silica abrasive slurry, 0.5 to 50 g/L organic acid, and a 0.01 to 5 g/L acidic pH modulator; and

a part two slurry having a 0.5 to 30% silica abrasive slurry, a 0.01 to 5 g/L alkaline pH modulator and a 0.01 to 50 g/L acidic pH modulator,

wherein the slurry can be supplied to a polishing table as two components which mix on the polishing table such that flow rates of the part one slurry and the part two slurry are varied to achieve polish rates for the top layer and the underlying layer at different stages of the polishing.

25. The method as recited in claim 19, wherein the first chemical mechanical polish step includes removing an overburden to reduce the oxide layer to between about 300 A to 600 A.

Description:
SHALLOW TRENCH ISOLATION CHEMICAL MECHANICAL PLANARIZATION

Related Application Information

[0001] This application claims priority to U.S. Provisional Serial No. 61/389,546 filed on October 4, 2010, incorporated herein by reference in its entirety.

[0002] This application is related to commonly assigned U.S. applications: "CHEMICAL MECHANICAL PLANARIZATION WITH OVERBURDEN MASK", serial number 13/012821 (ATTORNEY DOCKET NUMBER YOR920100499US1 (163-369)),

"CHEMICAL MECHANICAL PLANARIZATION PROCESSES FOR FABRICATION OF FINFET DEVICES", serial number 13/012836 (ATTORNEY DOCKET NUMBER

YOR920100537US2 (163-372)), and "FABRICATION OF REPLACEMENT METAL GATE DEVICES", serial number 13/012879 (ATTORNEY DOCKET NUMBER

YOR920100538US1 (163-373)), all incorporated herein by reference.

Field of the Invention

[0003] The present invention relates to semiconductor fabrication and devices and more particularly to systems and methods for chemical mechanical planarization (CMP).

Description of Related Art

[0004] Shallow trench isolation (STI) structures were introduced at the 0.25 μιη technology node to replace traditional LOCOS (local oxidation of silicon) structures to provide better device isolation. An STI fabrication process involves chemical mechanical planarization (CMP) steps. Shallow trench isolation CMP is one of the applications of the front-end-of-the- line (FEOL) CMP processes and presents several technical and manufacturability challenges. The primary factors that influence the STI CMP process are i) pattern density variations across the chip, ii) trench etch process variability affecting the wall slope and oxide fill, and iii) the type of silicon dioxide hereinafter called "oxide" (e.g., tetraethyl orthosilicate (TEOS), high density plasma (HDP) oxides, high-aspect-ratio process (HARP) oxides) and silicon nitride hereinafter called "nitride" (e.g., plasma enhance chemical vapor deposition

(PECVD), low pressure chemical vapor deposition (LPCVD), rapid thermal chemical vapor deposition (RTCVD)) used. The variations in the thickness and uniformity of the oxide and nitride deposits across the wafer are also factors that affect the STI CMP.

[0005] In turn, subsequent process steps are affected by the CMP process. It is beneficial to completely remove all the oxide on top of the nitride. Otherwise, the remaining oxide acts as a mask during nitride strip leaving residual nitride. To ensure complete removal of oxide on top of nitride, a dilute buffered HF etch may be used. However, this increases the trench oxide loss and amplifies scratch defects. The final topography is significantly affected by both the CMP process as well as the post CMP wet etch processes.

[0006] Dishing, erosion and pattern density dependant nitride thickness are some of the contributing factors to the non-planarity in the STI CMP process. However, even if the STI CMP process achieves perfect planarity and perfectly uniform post CMP nitride thickness across all pattern densities, removal of the sacrificial nitride will result in a non-planar surface. Since both polish rates and wet etch rates are pattern density dependant, non- uniformities accumulate from all sources, resulting in large topography variations.

[0007] The pattern density effect significantly affects the post CMP planarity. Due to the close similarity of the oxide dielectric material used in an interlevel dielectric (ILD) polish, early STI CMP processes employed the same consumables (pads, slurries) and process parameters used for ILD CMP. Since all the oxide over the nitride should be removed in very large active areas as well as highly dense arrays, a certain amount of over-polishing is needed. This results in the removal of the entire nitride layer in some features and erosion of trench oxide in other areas. This is attributed to the high polish rate of nitride (~300A/min) in typical conventional oxide slurries.

Summary of the Invention

[0008] A method for polishing a wafer includes, in a first polish step, removing overburden and planarizing a top layer leaving a portion remaining on an underlying layer. A second polish step includes two phases. In a first phase, the top layer is removed and the underlying layer is exposed with a top layer to underlying layer selectivity of between about 1 : 1 to about 2: 1 to provide a planar topography. In a second phase, residual portions of the top layer are removed from a top of the underlying layer to ensure complete exposure of an underlying layer surface.

[0009] A method for polishing shallow trench isolation (STI) structures to planarize oxide layers and expose underlying nitride includes a first chemical mechanical polish step to remove overburden and planarize an oxide layer leaving 300 to 600 A of oxide remaining; a second chemical mechanical polish step including two phases having: a first phase including removing of the oxide layer to expose underlying nitride surfaces with an oxide to nitride selectivity of about 1 : 1 to 2: 1 to provide a planar topography; and a second phase including removing residual oxide that remains on top of the nitride surface to ensure complete exposure of the nitride surface.

[0010] These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings. Brief Description of Drawings

[0011] The disclosure will provide details in the following description of preferred embodiments with reference to the following figures wherein:

[0012] FIG. 1 is a schematic diagram showing cross-sectional views of a semiconductor device and process steps to illustrate a two step shallow trench isolation (STI) chemical mechanical planarization (CMP) process in accordance with the present principles;

[0013] FIG. 2 is a diagram showing a two part slurry system employing a two part slurry with variable flow rates in accordance with one embodiment;

[0014] FIG. 3 is a plot showing an effect of pH on polish rates of oxide and nitride by adjusting the pH with phosphoric acid and KOH; and

[0015] FIG. 4 is a flow chart showing an illustrative method in accordance with the present principles.

Detailed Description of Preferred Embodiments

[0016] Methods for chemical mechanical planarization of semiconductor device structures are provided. In one particularly useful embodiment, the semiconductor device structures include a shallow trench isolation structure. The methods employ a series of steps involving slurries with different selectivity towards different dielectric materials. Dielectric materials may include layers of oxide and nitride, although other materials may be employed which can be etched selectively relative to one another.

[0017] In one method, a first step involves polishing with a colloidal silica slurry or ceria/surfactant slurry to reduce the overburden and planarize an initial topography, leaving a thin layer, e.g., about 300 to 600 A of oxide. A next step includes two phases. In phase one, slurry with selectivity between a top layer and an underlying layer is used to polish off the top layer and expose the underlying layer. In one embodiment, selectivity may include approximately 1 : 1 to 2: 1 oxide (top layer) to nitride (underlying layer). In phase two, slurry with a high top layer polish rate and no significant underlying layer rate is used to remove any residual top layer material that remains on top of the underlying layer. This ensures complete exposure of the entire underlying layer so that the underlying layer can be removed by a wet etch in subsequent processing.

[0018] The present principles achieve highly planar post chemical mechanical planarization (CMP) surfaces by employing slurries with different selectivity between layers, e.g., oxide and nitride. In a process using oxide and nitride, excess oxide overburden is removed, with, e.g., -300 A of oxide remaining after polish. For this planarization step, an oxide polish slurry with, e.g., a 4: 1 oxide to nitride selectivity or ceria/surfactant based slurry can be used. In a next step, slurry with approximately 1 : 1 oxide to nitride selectivity may be used to achieve equal polish rates for oxide and nitride areas to avoid dishing and erosion due to differences in the polish rates of the two materials. To ensure that no oxide is left on top of nitride, an additional touch up polish with a high oxide rate and negligible nitride rate can be used as an option. This prevents any loss of nitride while removing trace amounts of oxide during the touch up polish. This can be accomplished by changing the composition of the slurry used.

[0019] The flowchart and block diagrams in the Figures may, in some alternative implementations, occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved.

[0020] It is to be understood that the present invention will be described in terms of given illustrative architectures; however, other architectures, structures, substrate materials and process features and steps may be varied within the scope of the present invention. Throughout this disclosure oxide, nitride and polysilicon materials are described. However, these materials are illustrative and other materials are also contemplated and within the scope of the invention. In addition, thickness dimensions are described throughout this disclosure. These thickness dimensions are illustrative and other dimensions may be employed in accordance with the present principles.

[0021] Devices as described herein may be part of a design for an integrated circuit chip. The chip design may be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.

[0022] The methods as described herein may be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

[0023] Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, cross-sectional views of a CMP process are shown in accordance with one illustrative embodiment. One advantage of this process is that it provides the flexibility of using slurries with different selectivity to achieve highly planar post polish topography. This process uses slurries that are capable of providing different polish rates for a top layer and an underlying layer which can be varied to achieve highly planar post polish surfaces.

[0024] For purposes of explanation, a top layer of oxide and an underlying layer of nitride will be described. These materials represent a commonly employed pair of materials and are particularly useful in shallow trench isolation fabrication processes. Other materials and pairs of materials may also be employed. Slurry compositions for an STI CMP process will also be illustratively described.

[0025] Initially, a semiconductor substrate 10 has trenches 12 formed therein to be employed in forming shallow trench isolation. The trenches 12 are etched into substrate 10 by forming and patterning a lithography mask and additional layers which may include a pad oxide 14 and a pad nitride 16. An oxide 18 (e.g., TEOS, HDP oxides, HARP) is deposited in the trenches 12 and over the pad nitride 16. It should be understood that other structures may be employed.

[0026] In a step 100, oxide overburden 19 is removed by polishing. One goal of this step is to reduce a large initial topography while removing a bulk of the oxide overburden and leaving a planar oxide layer 20 of approximately 300 A - 600 A everywhere on the device (e.g., semiconductor die), closer to 300 A is preferable. Since a high oxide removal rate is desired and virtually no nitride surfaces are exposed during the initial stages of polish, the slurry selectivity is of less concern in step 100. This can be achieved by oxide polish slurries with approximately 4: 1 oxide to nitride selectivity. The oxide slurries may include alkaline, such as, potassium hydroxide or ammonium hydroxide and silica abrasive which may be selected from fumed silica and colloidal silica. However, to improve the planarity and achieve uniform oxide thickness across various pattern densities, it may be desirable to incorporate additives to the oxide slurries. Ceria/surfactant systems can also be used in step 100 to achieve the desired planarity and uniformity.

[0027] In step 200, a planarization polish is performed. Step 200 removes the remaining -300 A of the oxide layer 18, exposes the underlying nitride covered surfaces (pad nitride 16) and achieves a highly planar final surface that is free of defects such as polish scratches, pits and other blemishes. To achieve high planarity, it is preferable to have approximately the same polish rates for oxide and nitride covered surfaces. The polish rates of oxide and nitride should not be very high since this inevitably will lead to poor controllability. Thus, it would be highly desirable to have a slurry that has polish rates in the range of about 300 to about 600 A/min for oxide and nitride. This will provide appropriate polish times with good controllability and allow over-polish margins to planarize hard to polish structures.

[0028] Chemical mechanical planarization polish rates of different materials vary with line width, pattern density and feature size in an actual circuit layout. Local polish rates of different materials in patterned structures are very complex functions of the polish rates of the same materials in blanket wafers. Thus, it may be advantageous to optimize the slurry selectivity by polishing patterned wafers and measuring the planarity experimentally to ensure that the desired goals are achieved. Since die layouts vary between technology nodes and even among different products in the same technology node, it is highly desirable to be able to change the polish rate selectivity by varying the concentrations of the components in the slurry. Polish rate selectivity is "tunable" in step 200 within a range for the slurry system to be usable across a wide range of products and technology nodes. The ability to tune the polish rate selectivity is a factor in the polish step 200 in achieving highly planar final surface using the present scheme.

[0029] The step 200 includes two phases. In phase 210, one goal is to achieve a highly planar surface. This can be accomplished with oxide to nitride selectivity of, e.g., approximately 1 : 1 to approximately 2: 1. In some areas that are covered with nitride, a thin layer oxide may remain on top of the nitride, and these areas may need further polishing (over-polish) to remove the oxide and completely expose the nitride. If the over-polish is done with a 1 : 1 to 2: 1 selectivity slurry, excess removal of nitride can occur. Thus, one goal of a phase two polish 220 (which is optional) is to ensure that no significant loss of nitride occurs during the removal of residual oxide on top of nitride. This employs a slurry with a high oxide polish rate and no significant nitride polish rate.

[0030] The present principles provide slurry compositions that provide the oxide to nitride selectivity of approximately 1 : 1 to 2: 1 for the phase one polish 210 and a much higher oxide to nitride selectivity for phase two 220. In one embodiment, two different slurry

compositions are used during phase one 210 and phase two 220. In another embodiment, a two component slurry system is provided where both components are used for the phase one polish 210 and only one component of the slurry system is used during the phase two 220. In yet another embodiment, only one component is used during phase one 210 and both components are used during phase two 220.

[0031] In step 300, additional etching may be performed to clean up surfaces in preparation of additional processing. The etch may include an HF etch, or a dilute HF etch for oxide removal and a hot phosphoric acid (H3PO4) wet etch for nitride removal. Nitride 16 is shown in FIG. 1 as removed from oxide 14 after step 300. [0032] The slurry according to one embodiment may include the following components: a) Abrasive, b) pH modulator, and c) Organic acid.

[0033] a) Abrasive: The abrasive may be at least one type of abrasive selected from inorganic particles and organic particles. Examples of the inorganic particles may include silica, alumina, titania, zirconia, ceria, and the like. Examples of the silica may include fumed silica, silica synthesized by a sol-gel method, colloidal silica, and the like. The fumed silica may be obtained by reacting silicon chloride or the like with oxygen and water in a gaseous phase. The silica synthesized by the sol-gel method may be obtained by hydrolysis and/or condensation of an alkoxysilicon compound as a raw material. The colloidal silica may be obtained by an inorganic colloid method using a raw material purified in advance, for example. Examples of the organic particles may include polyvinyl chloride, a styrene (co)polymer, polyacetal, polyester, polyamide, polycarbonate, an olefin (co)polymer, a phenoxy resin, an acrylic (co)polymer, and the like. Examples of the olefin (co)polymer may include polyethylene, polypropylene, poly-l-butene, poly-4-methyl-l-pentene, and the like. Examples of the acrylic (co)polymer may include polymethyl methacrylate and the like. An average particle diameter of the abrasive is preferably 5 to 500 nm, more preferably 10 to 200 nm, and still more preferably 20 to 150 nm. An appropriate polishing rate can be achieved using the abrasive grains having an average particle diameter within this range.

[0034] b) pH modulator: The pH of the slurry according to this embodiment is preferably 1 to 11 and more preferably 2 to 6. An appropriate polish rate can be achieved by adjusting the pH of the slurry to this range. Examples of a pH modulator may include an organic base, an inorganic base, and an inorganic acid. Examples of the organic base may include tetramethylammonium hydroxide, triethylamine, and the like. Examples of the inorganic base may include ammonium hydroxide, potassium hydroxide, sodium hydroxide, and the like. Examples of the inorganic acid may include nitric acid, sulfuric acid, phosphoric acid, and the like.

[0035] c) Organic acid: Organic acid is used as an accelerator for the nitride polish rate. Various organic acids such as monobasic acids (e.g., monocarboxylic acid), dibasic acids (e.g., dicarboxylic acid), polybasic acids (e.g., polycarboxylic acid), and carboxylic acids with substituted groups (hydroxyl, amine) can be used. Examples of such organic acids include saturated acids, unsaturated acids, aromatic acids, and the like. Examples of the saturated acids may include formic acid, acetic acid, butyric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, and the like. Examples of the acids containing hydroxyl groups may include lactic acid, malic acid, tartaric acid, citric acid, and the like. Examples of the unsaturated acid may include maleic acid, fumaric acid, and the like.

Examples of the aromatic acid may include benzoic acid, phthalic acid, and the like. It is preferable to use an organic acid having two or more carboxylic acid groups to obtain a high polish rate of nitride. The salts of these organic acids may also be employed (e.g., potassium or ammonium salts).

[0036] Other components: The present embodiments permit the addition of other ingredients into the slurry to tune the oxide to nitride selectivity. The slurry according to one embodiment may include a surfactant. Examples of surfactants may include anionic, nonionic, and cationic surfactants. Examples of anionic surfactants may include a surfactant containing at least one functional group selected from a carboxyl group (-COOX), a sulfonic acid group (-SO 3 X), and a phosphate group (-HPO 4 X) (wherein X represents hydrogen, ammonium, or a metal). Examples of the anionic surfactant may include aliphatic and aromatic sulfates and sulfonates, a phosphate salt, and the like. Compounds such as potassium dodecylbenzenesulfonate, ammonium dodecylbenzenesulfonate, sodium alkylnaphthalenesulphonate, alkyl sulfosuccinate, potassium alkenylsuccinate, or the like may be preferably employed. Aliphatic soaps like potassium oleate and the like may be employed. These anionic surfactants may be used either individually or in combination. Examples of the nonionic surfactant may include a poly oxy ethylene alkyl ether, an ethylene oxide-propylene oxide block copolymer, acetylene glycol, an ethylene oxide addition product of acetylene glycol, an acetylene alcohol, and the like. Note that a nonionic polymer compound such as polyvinyl alcohol, cyclodextrin, polyvinyl methyl ether, or

hydroxyethylcellulose may also be used. Examples of the cationic surfactants may include an aliphatic amine salts, aliphatic ammonium salts, and the like. In addition, polyelectrolytes such as poly (acrylic acid) and their salts such as sodium, potassium and ammonium can also be added during the polishing to control the selectivity.

[0037] The present principles including the functions of the components of the slurry are further described below by way of examples. Note that the invention is not limited to the following examples. Examples 1-5 may be employed for step 100 although these materials may be employed in other steps in accordance with the present principles.

[0038] In example 1 , effects of additional ammonium hydroxide to a colloidal silica abrasive (the abrasive is available commercially from, e.g., Fuso Chemical Co., Ltd., Japan) with a primary particle diameter of 35 nm provide a useful slurry in accordance with one illustrative embodiment. This colloidal silica abrasive is an example of a commercial silica abrasive which may be employed to achieve the features in accordance with the present principles. The oxide to nitride rate selectivity from 0 to 1 can be achieved with this slurry. However, the polish rates may remain very low for both oxide and nitride.

[0039] In example 2, citric acid with silica abrasives provides very high selectivity towards oxide. The oxide polish rates are in the range 450 to 600 A/min while the nitride rates are close to 0. [0040] In example 3, citric acid and ammonium hydroxide with silica abrasives provides oxide to nitride rate selectivity from 0 to 1. The oxide polish rates are in the range 150 to 500 A/min while the nitride rates vary from 0 to 250 A/min.

[0041] In example 4, phosphoric acid with silica abrasives provides an oxide to nitride rate selectivity from 0 to 1. In this case, the oxide rates vary from 400 to 650 A/min and nitride rates vary in a narrow range of 150 to 200 A/min. Here, the variation in selectivity is achieved by allowing the oxide rates to vary while keeping the nitride polish rates nearly constant or in a very narrow range.

[0042] In example 5, citric acid, ammonium hydroxide and phosphoric acid with silica abrasives provide an oxide to nitride rate selectivity from 0 to 0.4. The oxide polish rates are very nearly constant or in the very narrow range (450 to 470 A/min) while the nitride rates vary from 0 to 250 A/min. Here, the variation in selectivity is achieved by allowing the nitride rates to vary while keeping the oxide polish rates nearly constant or in a very narrow range.

[0043] In example 6, a slurry suitable for a step 210 (phase one) polish includes, for example:

1) Silica abrasives in the range of 0.5 to 30% by weight, the preferred range being 5 to 10% by weight,

2) An organic acid in the range of 0.5 to 50 g/L, the preferred range being 3 to 25 g/L

3) An acidic pH modulator in the range of 0.01 to 5 g/L, the preferred range being 0.1 to 2.0 g L,

4) An alkaline pH modulator in the range of 0 to 5 g/L, the preferred range being 0 to 2 g/L, and

5) pH of the slurry in the range of 1 to 11, the preferred range being 2 to 6.

[0044] In example, 7, a particularly useful example of the formulation of example 6 includes: 1) 5 W% of colloidal silica abrasives dispersed in water, 2) 5 g/L of citric acid,

3) 0.25 to 0.35 g/L of phosphoric acid,

4) 0.1 to 0.5 g/L of ammonium hydroxide, and

5) pH in the range of 2 - 5, the preferred pH being ~ 4.

[0045] In example 8, another particularly useful example of the formulation of example 6 includes:

1) 10 W% of colloidal silica abrasives dispersed in water,

2) 10 g/L of citric acid,

3) 1 to 2 g/L of phosphoric acid,

4) 0.1 to 2.0 g/L of ammonium hydroxide, and

5) pH in the range of 2 - 5.

[0046] Referring to FIG. 2, in another embodiment, a polishing table 404 is illustratively shown in accordance with one illustrative embodiment. Table 404 includes a rotating pad 402 on which a semiconductor wafer 410 is polished. A wafer carrier 414 holds the wafer 410 by vacuum suction through a backing film 412. The wafer 410 is mounted in such a way that the surface to be polished is in contact with the polishing pad 402. Polishing slurry 406 (and/or 408) may include one component or multiple components. The component flow rates are controlled using schematically depicted valves 416 which are preferably automated.

[0047] In one embodiment, a slurry includes two parts: Part 1 - an abrasive slurry (e.g., silica), organic acid and acidic pH modulator, Part 2 - alkaline pH modulator and acidic pH modulator. The slurry can be supplied to a polishing table 404 as two components 406, 408 and allowed to mix on the polishing table to create slurry with the desired final composition. By using the same or different slurry flow rates, slurry composition can be varied during the polish to get desirable polish rates for oxide and nitride at different stages of polish. In another example, initially Part 1 and Part 2 are used and after a certain time Part 2 is switched off to create slurry with a different oxide to nitride selectivity than the original formulation. Similar effects can be achieved by keeping the flow rate of one of the components constant and varying the other as polishing progresses.

[0048] In another embodiment the slurry includes two parts: Part 1 - abrasive slurry (e.g., silica), organic acid, and acidic pH modulator; Part 2 - silica abrasive slurry, alkaline pH modulator and acidic pH modulator. The slurry can be supplied to the table 404 as two components 406, 408 and allowed to mix on the polishing table 404 to create slurry with the desired final composition. By using the same or different slurry flow rates, the slurry composition can be varied during the polish to get desirable polish rates for oxide and nitride at different stages of polish. Initially, Part 1 is used and after a certain time Part 1 is switched off, and Part 2 is switched on to create slurry with a different oxide to nitride selectivity than the original formulation.

[0049] In another embodiment, these slurries are used as two independent slurries for phase one and phase two polishes. Phase two slurries should have high oxide to nitride selectivity with no significant nitride polish rate. Examples of slurry compositions that have this capability are described below.

[0050] In example 9, a phase two (step 220) slurry may include:

1) 5 W% of colloidal silica abrasives dispersed in water,

2) 5 g/L of citric acid,

3) 0.25 to 0.35 g/L of phosphoric acid, and

4) pH in the range of 2 - 3.

[0051] In example 10, another example of a phase two (step 220) slurry includes:

1) 10 W% of colloidal silica abrasives dispersed in water,

2) 15 g/L of citric acid,

3) 0.25 to 0.35 g/L of phosphoric acid, 4) 0.5 g/L of ammonium hydroxide, and

5) pH in the range of 2 - 3.

[0052] Referring to FIG. 3, a plot of removal rate versus pH is illustratively shown to show effects of pH on the polish rates of oxide and nitride with silica abrasives. In the pH range 2 to 7, the polish rate of nitride is about 150 to 650 A/min. A highest value is reached at pH ~3.5. The oxide rate is significantly low in this range hovering around ~10 to 100 A/min. In the pH range 8 to 10, both oxide and nitride rates remain low. From pH 1 1 to 13, the oxide rate starts to increase while nitride rate remains low. The pH values of these solutions were adjusted with phosphoric acid in the range 1 to 7 and with KOH in the range 8 to 11.

[0053] Referring to FIG. 4, a method for polishing STI structures with two or more steps to planarize top layers and expose underlying covered areas is provided. In a particularly useful embodiment, the top layer includes an oxide and the underlying layer includes a nitride. However, it should be understood that the present principles include a nitride on top of an oxide or other pairs of materials that may be selectively etched relative to the other. In block 502, chemical mechanical polishing removes any overburden and planarizes an oxide layer leaving 300 to 600 A of oxide remaining. This polish is accomplished by an oxide slurry containing silica abrasives or slurries containing ceria abrasives and a surfactant. In block 504, a chemical mechanical polish has two phases. In block 506, phase one includes removal of oxide layers and exposing the underlying nitride covered surfaces with oxide to nitride selectivity of ~1 : 1 to 2: 1 to accomplish highly planar topography. In block 508, phase two includes removal of any residual oxide that remains on top of the nitride layers to ensure complete exposure of the nitride surface.

[0054] The slurry for phase one (block 506) may include silica abrasives dispersed in aqueous solutions from 0.5 to 30 W%, organic acid in the range of 0.01 to 30 g/L, an acidic pH modulator in the range of 0.01 to 10 g/L, an alkaline pH modulator in the range of 0 to 15 g/L. The pH range of the slurry for phase one (block 506) may be between 1 to 1 1. A preferred composition of the slurry for phase one (block 506) may include 5 W% of colloidal silica abrasives dispersed in water, 0.5 to 50 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0.35 g/L of inorganic acid, 0.1 to 1.0 g/L of inorganic base, pH in the range of 2 - 5, the preferred pH being 4.

[0055] The slurry for phase two (block 508) may include a colloidal silica abrasive dispersed in aqueous solution from 0.5 to 30 W%, an organic acid in the range of 0.01 to 30 g/L, an acidic pH modulator in the range of 0.01 to 10 g/L. The pH range of the slurry of phase two (block 508) is preferably 1 to 5. A preferred composition of the slurry for phase two (block 508) includes 5 to 10 W% of colloidal silica abrasives dispersed in water, 5 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0.35 g/L of inorganic acid, pH in the range of 2 - 3.

[0056] In blocks 510 and 512, the slurries of block 506 and 508 may be introduced in two or more parts and varied to achieve a desired result. In one embodiment, a slurry can be employed as a two part slurry with the following composition: Part 1 - 0.5 to 30% silica abrasive slurry + 0.5 to 50 g/L organic acid + 0.01 to 5 g/L acidic pH modulator; and Part 2 - 0.01 to 5 g/L alkaline pH modulator + 0.01 to 50 g/L acidic pH modulator. The slurry can be supplied to the table as two components and allowed to mix on the polishing table to create slurry with the desired final composition. By using the same or different slurry flow rates, slurry composition can be varied during the polish to get desirable polish rates for oxide and nitride at different stages of polish. In another embodiment, the slurry can be used as a two part slurry with the following composition: Part 1 - 0.5 to 30% silica abrasive slurry + 0.5 to 50 g/L organic acid + 0.01 to 5 g/L acidic pH modulator, Part 2 - 0.5 to 30% silica abrasive slurry +0.01 to 5 g/L alkaline pH modulator + 0.01 to 50 g/L acidic pH modulator. The slurry can be supplied to the table as two components and allowed to mix on the polishing table to create slurry with the desired final composition. By using the same or different slurry flow rates, slurry composition can be varied during the polish to get desirable polish rates for oxide and nitride at different stages of polish.

[0057] In yet another embodiment, the slurry is capable of providing high polish rates for oxide and no significant polish rate for nitride. The composition of such a slurry may include 0.5 to 7 W% of colloidal silica abrasives dispersed in water, 5 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0.35 g/L of inorganic acid, pH in the range of 2 - 3. Yet another composition of such a slurry includes 8 to 20 W% of colloidal silica abrasives dispersed in water, 15 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0.35 g/L of inorganic acid, 0.01 to 5 g/Liter of ammonium hydroxide, pH in the range of 2 - 5, the preferred pH being ~ 4. In still another embodiment, the slurry is capable of providing high polish rates for nitride and no significant polish rate for oxide. The composition of such a slurry includes 5 to 10 W% of colloidal silica abrasive, 0.1 to 10 g/L of inorganic acid, pH in the range of 2 to 6.

[0058] In block 512, a clean up etch may be performed to remove residual materials. E.g., an HF or hot phosphoric acid wet etch may be performed. In block 514, processing of a semiconductor wafer or die may continue in accordance with a plan of record.

[0059] Having described preferred embodiments of systems and methods shallow trench isolation chemical mechanical planarization (CMP) (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.