Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SIDE CHANNEL AWARE AUTOMATIC PLACE AND ROUTE
Document Type and Number:
WIPO Patent Application WO/2017/139241
Kind Code:
A1
Abstract:
A power planning phase module, a placement phase module, and a routing phase module are provided that can replace, supplement, or enhance existing electronic design automation (EDA) software tools. The power planning phase module adds distributed power sources and a network of switching elements to the power frame or ring assigned to regions of a chip (that may be identified during a floor planning stage). The placement phase module optimizes a number and type of cells attached to each power source of the distributed power sources already added or to be added during the power planning phase. The routing phase module optimizes routing length to, for example, mask power consumption.

Inventors:
YANAMADALA SUBBAYYA CHOWDARY (US)
YANNETTE DANIEL F (US)
MYERS BRENT ARNOLD (US)
Application Number:
PCT/US2017/016771
Publication Date:
August 17, 2017
Filing Date:
February 07, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
CHAOLOGIX INC (US)
International Classes:
G05F1/59; G06F21/00; G06F21/55; G06F21/70; G06F21/72; G06F21/74
Foreign References:
US20110276937A12011-11-10
US8324937B22012-12-04
US20140167837A12014-06-19
US8504968B22013-08-06
Other References:
See also references of EP 3414642A4
Attorney, Agent or Firm:
KNIGHT, Sarah J. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A computer readable storage medium having instructions stored thereon for an electronic design automation tool that when executed by a processing system, direct the processing system to:

add charge storage elements and switching elements to a power frame;

identify an attach ratio for one or more types of standard cells for attachment to each charge storage element and place appropriate standard cells in groupings to result in a desired attach ratio; and

vary routing length to adjust power signature.

2. The media of claim 1 , wherein the instructions to add the charge storage elements and switching elements to the power frame direct the processing system to:

insert a charge storage element and corresponding switching elements between each power rail belonging to an isolated section and the power frame.

3. The media of claim 1 or 2, wherein the instructions to add the charge storage elements and switching elements to the power frame direct the processing system to:

insert a charge storage element and corresponding switching elements between each ground rail belonging to an isolated section and the power frame.

4. The media of any of claims 1 -3, wherein the instructions to identify the attach ratio for the one or more types of standard cells and place the appropriate standard cells in groupings to result in the desired attach ratio direct the processing system to:

determine an optimized attachment arrangement based on mitigating dependence of switching to one or both of power consumption and electromagnetic radiation.

5. The media of any of claims 1 -4, wherein the instructions to identify the attach ratio for the one or more types of standard cells and place the appropriate standard cells in groupings to result in the desired attach ratio direct the processing system to:

minimize clusters of a same gate type in a grouping.

6. The media of any of claims 1-5, wherein the instructions to identify the attach ratio for the one or more types of standard cells and place the appropriate standard cells in groupings to result in the desired attach ratio direct the processing system to:

optimize placement based on number of gates in a grouping.

7. A computer-implemented method for side channel aware automatic place and route, comprising:

determining, for a layout, an optimized attachment arrangement for elements indicated in a netlist identified during a floor planning partitioning based on mitigating dependence of switching to one or both of power consumption and electromagnetic radiation.

8. The method of claim 7, wherein determining the optimized attachment arrangement comprises:

identifying an attach ratio for one or more types of standard cells for attachment to each charge storage element used for isolation; and

placing appropriate standard cells in groupings to result in a desired attach ratio.

9. The method of claims 7 or 8, wherein the optimized attachment arrangement is based on gate type or load.

10. The method of claim 9, wherein gates of a same type are distributed to minimize clusters of a same gate type.

11. The method of any of claims 7-9, wherein the optimized attachment arrangement is based on number of gates.

12. The method of any of claims 7-11, further comprising:

inserting, to the layout, distributed power sources and switching elements to power lines.

13. The method of claim 12, wherein inserting, to the layout, the distributed power sources and the switching elements to the power lines comprises:

inserting a charge storage element and corresponding switching elements between each power rail of the power lines belonging to an isolated section and a power frame.

14. The method of any of claims 7-13, further comprising:

inserting, to the layout, distributed power sources and switching elements to ground lines.

15. The method of claim 14, wherein inserting, to the layout, the distributed power sources and the switching elements to the ground lines comprises:

inserting a charge storage element and corresponding switching elements between each ground rail of the ground lines belonging to an isolated section and a power frame.

16. The method of any of claims 7-15, further comprising:

varying routing length of interconnects and wiring to mask power consumption.

17. A system for side channel aware automatic place and route, comprising:

a processing system;

memory; and

a power planning phase module stored on the memory,

wherein the power planning phase module is configured to add distributed power sources and a network of switching elements to a power frame or ring assigned to regions of a chip.

18. The system of claim 17, wherein the power planning phase module adds the distributed power sources based on a number or type of elements indicated in a netlist.

19. The system of claims 17 or 18, wherein the power planning phase module adds the distributed power sources based on a number or type of elements identified during a floor planning partitioning.

20. The system of any of claims 17-19, further comprising a placement phase module stored on the memory, wherein the placement phase module is configured to optimize, for impact on observable power consumption, a number and type of cells attached to each power source of the distributed power sources.

21. The system any of claims 17-19, further comprising a placement phase module stored on the memory, wherein the placement phase module is configured to identify an attach ratio for one or more types of standard cells for attachment to each power source of the distributed power sources; and place appropriate standard cells in groupings to result in a desired attach ratio.

22. The system of any of claims 17-21 , further comprising a routing phase module, wherein the routing phase module is configured to vary routing length of interconnects or other wiring to adjust power signature.

Description:
SIDE CHANNEL AWARE AUTOMATIC PLACE AND ROUTE

CROSS REFERENCE TO RELATED APPLICATION

[0001] This application claims the benefit of U.S. Provisional Patent Application Serial No. 62/292,685, filed February 8, 2016.

BACKGROUND

[0002 ] The design process for electronic circuits includes a step for placement of circuit elements and routing of wires and interconnections. This can be carried out at the chip level - for integrated circuits or a field programmable gate array - or at a circuit board level - for printed circuit boards or other circuit packages. Electronic design automation (EDA) tools, such as available through Cadence Design Systems, Inc. and Synopsys, Inc., are used during the place and route stage to optimize arrangements and enable increased complexity in design (as compared to manual layout).

[0003] The physical design stage of an integrated circuit design process generally includes logic synthesis, floor planning, power planning, placement, clock tree synthesis, routing, verification, and "tapeout" (export of data in form for manufacturing). These stages may be carried out using associated tools that may individually or together form an EDA tool. For example, in one EDA tool with automatic place and route (APR) software, a gate-level netlist, which may be obtained from a logic synthesis tool (as part of the logic synthesis stage), is physically implemented in a layout by placing standard-cell layout (placement stage) and then auto-routing cells (routing stage) based on the connections inferred from the netlist. Where the APR software is separate from that used in the subsequent stages, the routed design can be exported from the APR tool in a format that can be understood by post analysis tools such as extraction or power analysis tools.

BRIEF SUMMARY

[0004] Side channel aware automatic place and route tools and techniques are described that can be incorporated into or supplement electronic design automation (EDA) tools to enable automated inclusion and arrangement of elements that make it difficult to mount side channel analysis attacks on a core design.

[0005] A power planning phase module, a placement phase module, and a routing phase module are provided that can replace, supplement, or enhance existing EDA modules. The power planning phase module adds distributed power sources and/or a network of switching elements to the power frame(s) or ring(s) assigned to regions of a chip (that may be identified during a floor planning stage). The placement phase module optimizes a number and type of cells attached to each power source of the distributed power sources added during the power planning phase. The routing phase module optimizes routing length to, for example, mask power consumption.

[0006] This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter.

BRIEF DESCRIPTION OF THE DRAWINGS

[0007] Figure 1 shows a process flow diagram of an example EDA process.

[0008] Figures 2A and IB show simplified representational diagrams of a power frame (Figure 2A) and an example network generated by a side channel aware power planning phase (Figure 2B).

[0009] Figure 3 shows a simplified representational diagram of attached cells a generated by a side channel aware placement phase.

[0010] Figure 4 shows a simplified representational diagram of attached cells with routing variation generated by a side channel aware routing phase.

[001 1 ] Figure 5 is a block diagram illustrating components of a computing device used in some embodiments.

DETAILED DISCLOSURE

[0012] Side channel aware automatic place and route tools and techniques are described that can be incorporated into or supplement electronic design automation (EDA) tools to enable automated inclusion and arrangement of elements that make it difficult to mount side channel analysis attacks on a core design.

[0013] Figure 1 shows a process flow diagram of an example EDA process. The example EDA process 100 can be carried out by a single software tool, by an EDA platform that integrates multiple software tools (e.g., various modules available from an EDA application provider), or by multiple software tools. Referring to Figure 1, the EDA process 100 can begin by importing of a design into a tool (101). This design can be in the form of a netlist. A netlist describes the connectivity of a circuit and may be in a format such as Verilog, VHDL, Spice, or other languages that can be synthesized and used by placement and routing tools (before manufacturing preparation). Optionally, an initial floor planning stage (102) can be carried out in which regions for certain circuit elements are identified and partitioned accordingly. In addition, power planning (103) can be included to arrange one or more power frames and/or rings for the circuit element regions.

[0014] Here, a side channel aware power planning stage may occur (104). The side channel aware power planning stage may be carried out by a power planning phase module. In operation 104, distributed power sources and a network of switching elements are added to the power frame(s) and/or rings. The particular arrangement and number may initially be based on the number and type of elements indicated in the netlist or those identified during floor planning partitioning (102).

[0015] Then, using the netlist, standard cell placement can be carried out (105). During placement, a synthesized circuit netlist and a technology library are used to create a valid placement layout. Standard cells (from a technology library) can be used to facilitate placement (as the cells are of known size and characteristics). As part of the standard cell placement, or after initial placement of the standard cells, a side channel aware cell placement is carried out (106). The side channel aware cell placement may be carried out by a placement phase module. Subsequent to the placement of cells and part of or subsequent to the side channel aware cell placement of operation 106, the cells are attached in a manner to receive power from the distributed power sources. The attach ratio (number of standard cells attached to each power source) can be determined by factors including, but not limited to, the type of standard cell (its load), expected switching activity, and other operating and environmental conditions (e.g., operating voltage range, clock frequency, operating temperature range). For example, the placement of cells for attachment to a power source can be based on types of gates (e.g., whether an AND gate or a NOR gate). In one optimization scenario, the side channel aware cell placement avoids or minimizes a cluster of a same type of gate (e.g., to not cluster AND gates together). The placement of the standard cells can be optimized to result in a favorable attach ratio in order to decrease the area and power consumption overheads. The number of gates attached to be supported by a particular power source can vary across power sources since the number may be based on the load/type of gate; rather than the number of gates. [0016] Following placement, clock tree synthesis and optimization can be performed (107). Clock balancing can be performed after placement to improve performance, including reduction of clock skew. The placement phase - from power planning through clock tree synthesis can be iterative for optimization. In addition, although the side channel aware power planning stage 104 is described before the placement stages, in some cases, the side channel aware power planning stage is applied after placement (but before routing).

[0017] Following placement, routing can be performed (108). Where placement determines the location of each active element or component, the routing step adds the wires needed to properly connect the placed components while obeying design rules. During the routing phase, a side channel aware routing process (109) can be performed to mask power consumption (which may be carried out by a routing phase module). In operation 109, lengths of interconnects or other wiring can be optimized/adjusted for length to hide or obfuscate the power consumption. The distributed power sources and isolating switching elements are connected to the placed cells based on functional logic and to optimize data leakage through power signature. In some cases, the length of a route is made longer than would be expected when simply obeying design rules or optimizing for certain clocking.

[0018] Through side channel aware power planning and at least one of the side channel aware placement and side channel aware routing, the observed power signature variation (and even electromagnetic radiation variation) may be mitigated.

[0019] Design finishing (110), design verification (111), report generation (112), and design export (113) can be carried out using any suitable technique such as available by current EDA systems. During the design verification (111), it can be determined that the additional components such as the switches and the charge storage devices added during the power planning phase do not adversely impact the functional output of the design.

[0020] A software tool or set of tools that optimize the design, layout, placement and routing of the gates, circuit elements, design blocks and chips can do so, in part, by iterating one or more of these processes with goals of mitigating the dependence of the power, voltage, current, electromagnetic radiation on the switching activity of the gates, circuit elements, design blocks and chips and/or the data processed, stored, and/or transported by gates, circuit elements, design blocks and chips. Other goals for optimization can include, but are not limited to, reducing the area, power consumption, speed of operation, testability, and manufacturability of the gates, circuit elements, design blocks, integrated circuits and chips. [ 0021 ] Figures 2A and 2B show simplified representational diagrams of a power frame (Figure 2A) and an example network generated by a side channel aware power planning phase (Figure 2B). As illustrated in Figure 2A, a power frame 200 generally is formed in a ring, or frame, around a region in which circuit blocks will be located. A power grid of power rails 210 would be established at this stage or at a later stage for connecting underlying circuit blocks to the frame at appropriately positioned connectors. However, by executing the side channel aware power planning module 104 (e.g., by executing the software code stored at a location accessible by a processor of a computing system), instead of power rails 210 directly connected to the metal frame 200, distributed power sources and switching elements are added to the power lines.

[0022] For example, as shown in Figure 2B, the power frame/rings 200 are built in a manner that creates a network of distributed power sources - using charge storage devices 230. These charge storage devices 230 can store charge and power a logic gate/gates (or other circuit element). Examples of charge storage devices 230 include capacitors (from passive or active components). A network of switching elements 220 become part of the power frame so that the distributed power sources (from the charge storage devices) can be isolated and the power signature created by the distributed power sources can be inhibited from being observed on external power rails.

[0023] It should be understood that both the power rails/frames (positive, negative, etc.) and ground rails/frames can have the side channel aware power planning module applied. In addition, in some cases, the power grid of power rails may or may not place all cells in an isolated power grid. For example, only those cells that contribute to secure leakage would be isolated while other cells could be placed outside the isolated power grid. The cells outside the isolated power grid can be used to add to the ambient noise to further improve side channel resistance.

[0024] Figure 3 shows a simplified representational diagram of attached cells a generated by a side channel aware placement phase. As described with respect to operation 106, the placement of standard cells is optimized to result in a favorable attach ratio the distributed power sources (charge storage device 230 and switching element 220) so that loads supported by each charge storage device 230 can be optimized. It should be understood that the loads can be distributed uniformly or have other distribution characteristics. As shown in Figure 3, a set of standard cells with a logic gate 301, two inverters 302, and two AND gates 303 are provided so that there is not a cluster of a same type of gate. [0025] Figure 4 shows a simplified representational diagram of attached cells with routing variation generated by a side channel aware routing phase. In operation 109 side channel aware routing may adjust the lengths of the lines 400 between a cell and the power rail 210, between cells, and even the lengths of the power rails.

[0026] Figure 5 is a block diagram illustrating components of a computing device used in some embodiments. For example, system 500 can be used in implementing a computing device executing an EDA software tool for one or more of the processes 100, including the side channel aware planning, placement, and/or routing processes illustrated in Figures 2A, 2B, 3, and 4. It should be understood that aspects of the system described herein are applicable to both mobile and traditional desktop computers, as well as server computers and other computer systems. Accordingly, certain aspects described with respect to system 500 are applicable to server(s) on which a software tool may be carried out in the case of networked computing stations or web based tools.

[0027] For example, system 500 includes a processor 505 (e.g., CPU, GPU, FPGA) that processes data according to instructions of one or more application programs 510 (which can include the modules described herein for the EDA tools), and/or operating system (OS) 520. The processor 505 may be, or is included in, a system-on-chip (SoC) along with one or more other components such as network connectivity components, sensors, video display components. Other processing systems may be used. The one or more application programs 510 may be loaded into memory 515 and run on or in association with the operating system 520.

[0028] System 500 may also include a radio/network interface 535 that performs the function of transmitting and receiving radio frequency communications. The radio/network interface 535 facilitates wireless connectivity between system 500 and the "outside world," via a communications carrier or service provider. Transmissions to and from the radio/network interface 535 are conducted under control of the operating system 520, which disseminates communications received by the radio/network interface 535 to application programs 510 and vice versa. The radio/network interface 535 allows system 500 to communicate with other computing devices, including server computing devices and other client devices, over a network.

[0029] In various implementations, data/information stored via the system 500 may include data caches stored locally on the device or the data may be stored on any number of storage media that may be accessed by the device via the radio/network interface 535 or via a wired connection between the device and a separate computing device associated with the device, for example, a server computer in a distributed computing network, such as the Internet. As should be appreciated such data/information may be accessed through the device via the radio interface 535 or a distributed computing network. Similarly, such data/information may be readily transferred between computing devices for storage and use according to well-known data/information transfer and storage means, including electronic mail and collaborative data/information sharing systems.

[0030] An audio interface 540 can be used to provide audible signals to and receive audible signals from the user. For example, the audio interface 540 can be coupled to a speaker to provide audible output and to a microphone to receive audible input, such as to facilitate a telephone conversation or voice commands. System 500 may further include video interface 545 that enables an operation of an optional camera (not shown) to record still images, video stream, and the like. The video interface may also be used to capture certain images for input to a natural user interface (NUI).

[0031 ] Visual output can be provided via a display 555. The display 555 may present graphical user interface ("GUI") elements, text, images, video, notifications, virtual buttons, virtual keyboards, messaging data, Internet content, device status, time, date, calendar data, preferences, map information, location information, and any other information that is capable of being presented in a visual form.

[0032] The display 555 may include functionality to be a touchscreen display. The display 555 may be a projected display. The display 555 may be integrated with the system 500 such as when the system 500 is embodied as a phone or tablet. The display 555 may be connected via wired or wireless means.

[0033] The methods and processes described herein can be embodied as code and/or data. The software code and data described herein can be stored, for example as modules, on one or more computer readable media, which may include any device or medium that can store code and/or data for use by a computer system. As used herein, computer readable storage media/medium should not be construed to consist of transitory propagating signals.

[0034] Accordingly, embodiments of the subject invention may be implemented as a computer process, a computing system, or as an article of manufacture, such as a computer program product or computer-readable storage medium. Certain embodiments of the invention contemplate the use of a machine in the form of a computer system within which a set of instructions, when executed, can cause the system to perform any one or more of the methodologies discussed above, including providing a software tool or a set of software tools that can be used during the physical design of integrated circuits and/or printed circuit boards and/or system level design. The set of instructions for the software tool can be stored on a computer program product, which may be one or more computer readable storage media readable by a computer system and encoding a computer program including the set of instructions and other data associated with the software tool.

[0035] A software tool is a software implement to help carry out a particular function (or functions). The described software tool can make decisions or take actions such as placement of gates or transistors or design blocks or chips, routing of gates or transistors or design elements or chips, and power supply design and/or power supply grid design and layout. The action are guided by the effect that these actions might have on dependence of the power consumption of the circuit elements, design blocks, and/or chips on any data that is being processed, stored, or transported in the integrated circuit and/or printed circuit board. The actions taken by the software tool may be adjusted, modified, or enhanced to mitigate the variation/dependence of the power consumption (voltage and/or current) as observed externally by means of observing the voltage/current signature at the supply pins of a chip/system/board and/or by observing the variation of the electromagnetic radiation nearby the chip or system.

[0036] By way of example, and not limitation, computer-readable storage media may include volatile and non-volatile memory, removable and non-removable media implemented in any method or technology for storage of information such as computer-readable instructions, data structures, program modules or other data. Examples of computer-readable storage media include volatile memory such as random access memories (RAM, DRAM, SRAM); nonvolatile memory such as flash memory, various read-only-memories (ROM, PROM, EPROM, EEPROM), phase change memory, magnetic and ferromagnetic/ferroelectric memories (MRAM, FeRAM), and magnetic and optical storage devices (hard drives, magnetic tape, CDs, DVDs). As used herein, in no case does the term "storage media" consist of transitory propagating signals.

[0037] Although the subject matter has been described in language specific to structural features and/or acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as examples of implementing the claims and other equivalent features and acts that would be recognized by one skilled in the art are intended to be within the scope of the claims.