Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SYSTEMS AND METHODS FOR SPACER FOR POWER MODULE FOR INVERTER FOR ELECTRIC VEHICLE
Document Type and Number:
WIPO Patent Application WO/2024/069408
Kind Code:
A1
Abstract:
A system includes: an inverter configured to convert DC power from a battery to AC power to drive a motor, wherein the inverter includes: a power module for an inverter for an electric vehicle, the power module comprising: a first substrate having an outer layer and an inner layer; a first electrically conductive spacer coupled to the inner layer of the first substrate; a first semiconductor die coupled to the first electrically conductive spacer; and a second substrate having an outer layer and an inner layer, the first semiconductor die coupled to the inner layer of the second substrate.

Inventors:
CHOI EDWARD (BB)
Application Number:
PCT/IB2023/059522
Publication Date:
April 04, 2024
Filing Date:
September 26, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
DELPHI TECH IP LTD (BB)
International Classes:
H01L23/373; H01L25/07
Foreign References:
US20160126157A12016-05-05
US20180167013A12018-06-14
Download PDF:
Claims:
Attorney Docket No.: 00318-0176-00304 What is claimed is: 1. A system comprising: an inverter configured to convert DC power from a battery to AC power to drive a motor, wherein the inverter includes: a power module for an inverter for an electric vehicle, the power module comprising: a first substrate having an outer layer and an inner layer; a first electrically conductive spacer coupled to the inner layer of the first substrate; a first semiconductor die coupled to the first electrically conductive spacer; and a second substrate having an outer layer and an inner layer, the first semiconductor die coupled to the inner layer of the second substrate. 2. The system of claim 1, further comprising: the battery configured to supply the DC power to the inverter; and the motor configured to receive the AC power from the inverter to drive the motor. 3. A power module, the power module comprising: a first substrate having an outer layer and an inner layer; a first electrically conductive spacer coupled to the inner layer of the first substrate; Attorney Docket No.: 00318-0176-00304 a first semiconductor die coupled to the first electrically conductive spacer; and a second substrate having an outer layer and an inner layer, the first semiconductor die coupled to the inner layer of the second substrate. 4. The power module of claim 3, wherein: the first substrate further includes a middle layer between the inner layer and the outer layer, the middle layer includes a ceramic, and the outer layer and the inner layer of the first substrate include a metal. 5. The power module of claim 3, further comprising: a lead frame connector coupled to the inner layer of the first substrate. 6. The power module of claim 3, wherein the first semiconductor die has a same surface area as the first electrically conductive spacer. 7. The power module of claim 3, further comprising: a second electrically conductive spacer coupled to the inner layer of the second substrate; and a second semiconductor die coupled to the second electrically conductive spacer and the inner layer of the first substrate. 8. The power module of claim 7, wherein the first electrically conductive spacer is coupled to a first region of the inner layer of the first substrate, and the Attorney Docket No.: 00318-0176-00304 second semiconductor die is coupled to a second region of the inner layer of the first substrate, wherein the first region is electrically separated from the second region. 9. The power module of claim 3, wherein: the second substrate further includes a middle layer between the inner layer and the outer layer, the middle layer includes a ceramic, and the outer layer and the inner layer of the second substrate include a metal. 10. The power module of claim 9, wherein the ceramic includes silicon nitride. 11. The power module of claim 3, wherein: the first semiconductor die includes a drain connection, and the drain connection is coupled to the inner layer of the second substrate. 12. The power module of claim 3, wherein: the first semiconductor die includes a source connection, and the source connection is coupled to the first electrically conductive spacer. 13. The power module of claim 3, wherein the power module does not include a wire bond, ribbon, or clip. 14. The power module of claim 3, wherein: Attorney Docket No.: 00318-0176-00304 the first electrically conductive spacer is directly coupled to the inner layer of the first substrate, and the first semiconductor die is directly coupled to the first electrically conductive spacer and directly coupled to the inner layer of the second substrate. 15. An inverter comprising the power module of claim 3. 16. A vehicle comprising the inverter of claim 15. 17. A power module, comprising: a first substrate; a second substrate; a semiconductor die disposed between the first substrate and the second substrate; and one or more electrically conductive spacers disposed between the semiconductor die and one or more of the first substrate and the second substrate. 18. The power module of claim 17, wherein the one or more electrically conductive spacers includes a first electrically conductive spacer disposed between the semiconductor die and the first substrate. 19. The power module of claim 18, wherein the one or more electrically conductive spacers includes a second electrically conductive spacer disposed between the semiconductor die and the second substrate. Attorney Docket No.: 00318-0176-00304 20. The power module of claim 17, wherein the one or more electrically conductive spacers is configured to complete a current path in the power module between the semiconductor die and one or more of the first substrate and the second substrate and provide a distance between the semiconductor die and one or more of the first substrate and the second substrate to meet a dielectric requirement of the power module.
Description:
Attorney Docket No.: 00318-0176-00304 SYSTEMS AND METHODS FOR SPACER FOR POWER MODULE FOR INVERTER FOR ELECTRIC VEHICLE CROSS-REFERENCE TO RELATED APPLICATION(S) [0001] This application claims the benefit of priority to U.S. Provisional Patent Application No.63/377,486, filed September 28, 2022, U.S. Provisional Patent Application No.63/377,501, filed September 28, 2022, U.S. Provisional Patent Application No.63/377,512, filed September 28, 2022, U.S. Provisional Patent Application No.63/378,601, filed October 6, 2022, and U.S. Nonprovisional Patent Application No.18/162,031, filed January 31, 2023, the entireties of which are incorporated by reference herein. TECHNICAL FIELD [0002] Various embodiments of the present disclosure relate generally to a power module for an inverter for an electric vehicle, and more specifically, to a power module including an electrically conductive spacer. BACKGROUND [0003] Inverters, such as those used to drive a motor in an electric vehicle, for example, are responsible for converting High Voltage Direct Current (HVDC) into Alternating Current (AC) to drive the motor. In an inverter, a power module may include devices that generate a large amount of heat. The layout and design of the power module affects the operation of the devices and the thermal characteristics of the power module. Incorrect operation of the devices or overheating of the power module may compromise the operation of the inverter. Attorney Docket No.: 00318-0176-00304 [0004] The present disclosure is directed to overcoming one or more of these above-referenced challenges. SUMMARY OF THE DISCLOSURE [0005] In some aspects, the techniques described herein relate to a system including: an inverter configured to convert DC power from a battery to AC power to drive a motor, wherein the inverter includes: a power module for an inverter for an electric vehicle, the power module including: a first substrate having an outer layer and an inner layer; a first electrically conductive spacer coupled to the inner layer of the first substrate; a first semiconductor die coupled to the first electrically conductive spacer; and a second substrate having an outer layer and an inner layer, the first semiconductor die coupled to the inner layer of the second substrate. [0006] In some aspects, the techniques described herein relate to a system, further including: the battery configured to supply the DC power to the inverter; and the motor configured to receive the AC power from the inverter to drive the motor. [0007] In some aspects, the techniques described herein relate to a power module, the power module including: a first substrate having an outer layer and an inner layer; a first electrically conductive spacer coupled to the inner layer of the first substrate; a first semiconductor die coupled to the first electrically conductive spacer; and a second substrate having an outer layer and an inner layer, the first semiconductor die coupled to the inner layer of the second substrate. [0008] In some aspects, the techniques described herein relate to a power module, wherein: the first substrate further includes a middle layer between the inner layer and the outer layer, the middle layer includes a ceramic, and the outer layer and the inner layer of the first substrate include a metal. Attorney Docket No.: 00318-0176-00304 [0009] In some aspects, the techniques described herein relate to a power module, further including: a lead frame connector coupled to the inner layer of the first substrate. [0010] In some aspects, the techniques described herein relate to a power module, wherein the first semiconductor die has a same surface area as the first electrically conductive spacer. [0011] In some aspects, the techniques described herein relate to a power module, further including: a second electrically conductive spacer coupled to the inner layer of the second substrate; and a second semiconductor die coupled to the second electrically conductive spacer and the inner layer of the first substrate. [0012] In some aspects, the techniques described herein relate to a power module, wherein the first electrically conductive spacer is coupled to a first region of the inner layer of the first substrate, and the second semiconductor die is coupled to a second region of the inner layer of the first substrate, wherein the first region is electrically separated from the second region. [0013] In some aspects, the techniques described herein relate to a power module, wherein: the second substrate further includes a middle layer between the inner layer and the outer layer, the middle layer includes a ceramic, and the outer layer and the inner layer of the second substrate include a metal. [0014] In some aspects, the techniques described herein relate to a power module, wherein the ceramic includes silicon nitride. [0015] In some aspects, the techniques described herein relate to a power module, wherein: the first semiconductor die includes a drain connection, and the drain connection is coupled to the inner layer of the second substrate. Attorney Docket No.: 00318-0176-00304 [0016] In some aspects, the techniques described herein relate to a power module, wherein: the first semiconductor die includes a source connection, and the source connection is coupled to the first electrically conductive spacer. [0017] In some aspects, the techniques described herein relate to a power module, wherein the power module does not include a wire bond, ribbon, or clip. [0018] In some aspects, the techniques described herein relate to a power module, wherein: the first electrically conductive spacer is directly coupled to the inner layer of the first substrate, and the first semiconductor die is directly coupled to the first electrically conductive spacer and directly coupled to the inner layer of the second substrate. [0019] In some aspects, the techniques described herein relate to an inverter including the power module. [0020] In some aspects, the techniques described herein relate to a vehicle including the inverter. [0021] In some aspects, the techniques described herein relate to a power module, including: a first substrate; a second substrate; a semiconductor die disposed between the first substrate and the second substrate; and one or more electrically conductive spacers disposed between the semiconductor die and one or more of the first substrate and the second substrate. [0022] In some aspects, the techniques described herein relate to a power module, wherein the one or more electrically conductive spacers includes a first electrically conductive spacer disposed between the semiconductor die and the first substrate. [0023] In some aspects, the techniques described herein relate to a power module, wherein the one or more electrically conductive spacers includes a second Attorney Docket No.: 00318-0176-00304 electrically conductive spacer disposed between the semiconductor die and the second substrate. [0024] In some aspects, the techniques described herein relate to a power module, wherein the one or more electrically conductive spacers is configured to complete a current path in the power module between the semiconductor die and one or more of the first substrate and the second substrate and provide a distance between the semiconductor die and one or more of the first substrate and the second substrate to meet a dielectric requirement of the power module. [0025] Additional objects and advantages of the disclosed embodiments will be set forth in part in the description that follows, and in part will be apparent from the description, or may be learned by practice of the disclosed embodiments. The objects and advantages of the disclosed embodiments will be realized and attained by means of the elements and combinations particularly pointed out in the appended claims. [0026] It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the disclosed embodiments, as claimed. BRIEF DESCRIPTION OF THE DRAWINGS [0027] The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate various exemplary embodiments and together with the description, serve to explain the principles of the disclosed embodiments. [0028] FIG.1 depicts an exemplary system infrastructure for a vehicle including a combined inverter and converter, according to one or more embodiments. Attorney Docket No.: 00318-0176-00304 [0029] FIG.2 depicts an exemplary system infrastructure for the combined inverter and converter of FIG.1 with a point-of-use switch controller, according to one or more embodiments. [0030] FIG.3 depicts an exemplary system infrastructure for the controller of FIG.2, according to one or more embodiments. [0031] FIG.4 depicts an exemplary system infrastructure for the point-of-use switch controller of FIG.2, according to one or more embodiments. [0032] FIG.5 depicts an exemplary system infrastructure for the upper power module of FIG.4, according to one or more embodiments. [0033] FIG.6 depicts a perspective view of an exemplary architecture for a power module including electrically conductive spacers, according to one or more embodiments. [0034] FIG.7 depicts a cross-section view of an exemplary architecture for a power module including electrically conductive spacers, according to one or more embodiments. [0035] FIG.8 depicts a top view of an exemplary architecture for a power module including electrically conductive spacers, according to one or more embodiments. [0036] FIG.9A, FIG.9B, and FIG.9C depict a cross-section view of exemplary architectures for a die and an electrically conductive spacer, according to one or more embodiments. DETAILED DESCRIPTION OF EMBODIMENTS [0037] Both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the features, as claimed. As used herein, the terms “comprises,” “comprising,” “has,” Attorney Docket No.: 00318-0176-00304 “having,” “includes,” “including,” or other variations thereof, are intended to cover a non-exclusive inclusion such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements, but may include other elements not expressly listed or inherent to such a process, method, article, or apparatus. In this disclosure, unless stated otherwise, relative terms, such as, for example, “about,” “substantially,” and “approximately” are used to indicate a possible variation of ±10% in the stated value. In this disclosure, unless stated otherwise, any numeric value may include a possible variation of ±10% in the stated value. [0038] The terminology used below may be interpreted in its broadest reasonable manner, even though it is being used in conjunction with a detailed description of certain specific examples of the present disclosure. Indeed, certain terms may even be emphasized below; however, any terminology intended to be interpreted in any restricted manner will be overtly and specifically defined as such in this Detailed Description section. For example, in the context of the disclosure, the switching devices may be described as switches or devices, but may refer to any device for controlling the flow of power in an electrical circuit. For example, switches may be metal–oxide–semiconductor field-effect transistors (MOSFETs), bipolar junction transistors (BJTs), insulated-gate bipolar transistors (IGBTs), or relays, for example, or any combination thereof, but are not limited thereto. [0039] Various embodiments of the present disclosure relate generally to a power module for an inverter for an electric vehicle, and more specifically, to a power module including an electrically conductive spacer. [0040] Inverters, such as those used to drive a motor in an electric vehicle, for example, are responsible for converting High Voltage Direct Current (HVDC) into Alternating Current (AC) to drive the motor. A three phase inverter may include a Attorney Docket No.: 00318-0176-00304 bridge with six power device switches (for example, power transistors such as IGBT or MOSFET) that are controlled by Pulse Width Modulation (PWM) signals generated by a controller. An inverter may include three half-H bridge switches to control the phase voltage, upper and lower gate drivers to control the switches, a PWM controller, and glue logic between the PWM controller and the gate drivers. The PWM controller may generate signals to define the intended states of the system. The gate drivers may send the signals from the PWM controller to the half-H bridge switches. The half-H bridge switches may drive the phase voltage. The inverter may include an isolation barrier between low voltage and high voltage planes. Signals may pass from the PWM controller to the half-H bridge switches by passing across the isolation barrier, which may employ optical, transformer-based, or capacitance-based isolation. PWM signals may be distorted when passing through the glue logic, which may include resistive, capacitive, or other types of filtering. PWM signals may be distorted when passing through the gate driver, due to the galvanic isolation barrier and other delays within the gate driver. PWM signals may be distorted when the signals processed by the half-H switch via the gate driver output. [0041] Gate drivers may tolerate common-mode transients that occur during field-effect transistor (FET) switching and when one side of the floating high voltage terminal is shorted to ground or subject to an electro-static discharge. These voltage transients may result in fast edges, which may create bursts of common-mode current through the galvanic isolation. A gate driver may need to demonstrate common-mode transient immunity (CMTI) in order to be effective and safe. [0042] Gate drivers may have a high-voltage domain in common to the voltage plane of an associated FET. Further, high-voltage planes may be supplied by Attorney Docket No.: 00318-0176-00304 a flyback converter that may be isolated through a transformer from the low-voltage plane. The high-voltage domain supply may be used to power circuits which source and sink gate current to drive the FET and which may detect FET faults so the faults can be acted upon and/or communicated to the low-voltage domain. Gate drivers may include a galvanic channel dedicated to FET commands, and one or more bidirectional or unidirectional galvanic channels dedicated to FET communications. [0043] High current switching transients may create strong electro-magnetic (EM) fields that may couple into nearby metal traces. The magnitude and frequency of coupled currents may depend upon the layout of the FET packaging solution and the direction and length of metal traces between the FET and the control integrated circuit (IC). For example, typical values for coupled currents may be up to 1A at AC frequencies up to 100MHz. Typically, within a circuit, the gate driver IC may be placed far enough away from the FET that high EM fields do not couple directly into the internal metal traces within the gate driver IC. The gate driver is placed a distance from EM fields such that induced currents within the circuitry are below levels that will cause malfunction of the gate driver, or a metal shield is placed between the gate driver and the source of EM fields to protect the gate driver circuitry. The output terminals of the gate driver that connect to the FET are exposed to the EM fields at the point where the output terminals are no longer covered by a shield. The gate driver switches large currents (such as 5A to 15A, for example) through these exposed terminals. The switched large currents are generally greater in magnitude than the EM-induced currents. The gate driver is able to overdrive the induced currents to maintain control of the FETs. The high side of the gate drivers and the FET may share a common ground and a gate control signal trace, both of which may be susceptible to coupled currents. Attorney Docket No.: 00318-0176-00304 [0044] Gate drivers may turn on low-resistance switches to source and sink gate currents. Series resistors may sometimes be added to limit gate current. Switched gate currents may be larger than coupled currents in order to maintain control of their respective FETs. [0045] Gate drivers may be able to sense FET operating voltages or currents in order to provide feedback and react to faults. Over-current faults may typically be detected by sensing the FET drain to source voltage and comparing the sensed voltage to a reference value. Sensed voltages may be heavily filtered to reject coupled currents. Filtering may slow down the response to fault conditions, resulting in delays in response. For example, the rate of current increase due to a low resistance short circuit may reach damaging levels prior to being detected by the heavily filtered drain to source voltage detection strategy. The resulting short circuit may damage the FET or the vehicle, prior to being detected and shut off. [0046] According to one or more embodiments, a FET driver circuit may provide rapid over-current detection by either shunt current sensing or by diverting a fraction of the load current through a parallel FET that may have a current sensing circuit. Utilizing either strategy may require a “point-of-use IC” where sensing circuitry is in close proximity to the FET. Even if a point-of-use IC and a remote controller are resistant to EM fields, communication between the point-of-use IC and remote controller remains susceptible to induced currents. Point-of-use ICs have been implemented in low EM field applications, such as smart FETs for automotive applications. However, point-of-use ICs have not been used in high EM field applications. A high EM field may be a field (i) that induces a current within an IC that is in excess of an operating current of the IC and leads to malfunction, or (ii) that induces a differential voltage within an IC which is in excess of the operating Attorney Docket No.: 00318-0176-00304 differential voltage and leads to malfunction. A high EM field may be a field that is greater than approximately 10A or approximately 100V, for example. [0047] Half-bridge topology selection for power modules may operate with lower loop inductance, less ringing, and voltage overshoot than other topologies. Using silicon carbide metal–oxide–semiconductor field-effect transistors (SiC MOSFETs), results in reduced the switching times relative to, for example, some silicon insulated gate bipolar transistors (Si IGBT), and the reduced inductance of a half bridge module may be pursued to take advantage of that capability. [0048] Half bridge circuits may be used in power electronics to apply pulse width modulated voltage in controlling the current applied to inductive loads such as motors. In such a circuit, the source and its related circuits may most naturally be on the opposing substrates for the two switches in the half bridge. That is, in a half bridge circuit, the source of the upper switch and drain of the lower switch may share a common connection with the load, and the source and drain may be on opposite sides of a bare die that are used in power applications. For high voltage, dual side cooled applications, the source and the drain may be cooled at their interconnects, through an insulating substrate, with the drain being on one substrate and the source being on the other. [0049] Each power device in the bridge may have circuits referenced to the source connection. However, having the sources on opposing substrates in a dual side cooled package may cause difficulties for assembly. For example, bottom-up assembly for dual side cooled modules may be compromised, as each substrate and its source referenced circuit must be assembled separately and then brought together. In addition, the die associated with the lowers would be inverted from those of the uppers, but the source and drain interconnects may have different Attorney Docket No.: 00318-0176-00304 voltage standoff requirements, causing differences at the interconnect, which could cause issues with co-planarity during assembly. Such difficulties during assembly may lead to lower yields and higher costs. In addition, some devices may face issues of efficiency related to paths for heat and current. [0050] Power modules may be considered key components within traction inverters to control both the performance and efficiency of overall driving systems. The die interconnection with other components in the power module packaging may be an important area for performance and reliability of the power module. Some designs may include power module packaging designs with limited performance due to usage of wire bonds that require single side cooling. This may result in poor thermal performance and limited power capability of the power module. Power modules that incorporate wire bonds, ribbon connections, or clip connections within the power module may have limited capability for thermal performance due to poor thermal characteristics caused by single side cooling. These connection methods may cause critical failures and reduced long-term reliability due to weak connection integrity. [0051] One or more embodiments may provide a power module suitable for double side cooling. One or more embodiments may provide a power module including a layered structure with two or more substrates. One or more embodiments may provide a power module with no wire bonds, ribbons, or clips. One or more embodiments may provide a power module with increased performance due to double side cooling, and with one or more spacers to complete a current path in the power module and provide enough distance between a die and a substrate to meet a dielectric requirement of the power module. Attorney Docket No.: 00318-0176-00304 [0052] FIG.1 depicts an exemplary system infrastructure for a vehicle including a combined inverter and converter, according to one or more embodiments. In the context of this disclosure, the combined inverter and converter may be referred to as an inverter. As shown in FIG.1, electric vehicle 100 may include an inverter 110, a motor 190, and a battery 195. The inverter 110 may include components to receive electrical power from an external source and output electrical power to charge battery 195 of electric vehicle 100. The inverter 110 may convert DC power from battery 195 in electric vehicle 100 to AC power, to drive motor 190 of the electric vehicle 100, for example, but the embodiments are not limited thereto. The inverter 110 may be bidirectional, and may convert DC power to AC power, or convert AC power to DC power, such as during regenerative braking, for example. Inverter 110 may be a three-phase inverter, a single-phase inverter, or a multi-phase inverter. [0053] FIG.2 depicts an exemplary system infrastructure for the inverter 110 of FIG.1 with a point-of-use switch controller, according to one or more embodiments. Electric vehicle 100 may include inverter 110, motor 190, and battery 195. Inverter 110 may include an inverter controller 300 (shown in FIG.3) to control the inverter 110. Inverter 110 may include a low voltage upper phase controller 120 separated from a high voltage upper phase controller 130 by a galvanic isolator 150, and an upper phase power module 140. Upper phase power module 140 may include a point-of-use upper phase controller 142 and upper phase switches 144. Inverter 110 may include a low voltage lower phase controller 125 separated from a high voltage lower phase controller 135 by galvanic isolator 150, and a lower phase power module 145. Lower phase power module 145 may include a point-of-use lower phase controller 146 and lower phase switches 148. Upper phase switches Attorney Docket No.: 00318-0176-00304 144 and lower phase switches 148 may be connected to motor 190 and battery 195. Galvanic isolator 150 may be one or more of optical, transformer-based, or capacitance-based isolation. Galvanic isolator 150 may be one or more capacitors with a value from approximately 20fF to approximately 100fF, with a breakdown voltage from approximately 6kV to approximately 12kV, for example. Galvanic isolator 150 may include a pair of capacitors, where one capacitor of the pair carries a complementary data signal from the other capacitor of the pair to create a differential signal for common-mode noise rejection. Galvanic isolator 150 may include more than one capacitor in series. Galvanic isolator 150 may include one capacitor located on a first IC, or may include a first capacitor located on a first IC and a second capacitor located on a second IC that communicates with the first IC. [0054] Inverter 110 may include a low voltage area, where voltages are generally less than 5V, for example, and a high voltage area, where voltages may exceed 500V, for example. The low voltage area may be separated from the high voltage area by galvanic isolator 150. Inverter controller 300 may be in the low voltage area of inverter 110, and may send signals to and receive signals from low voltage upper phase controller 120. Low voltage upper phase controller 120 may be in the low voltage area of inverter 110, and may send signals to and receive signals from high voltage upper phase controller 130. Low voltage upper phase controller 120 may send signals to and receive signals from low voltage lower phase controller 125. High voltage upper phase controller 130 may be in the high voltage area of inverter 110. Accordingly, signals between low voltage upper phase controller 120 and high voltage upper phase controller 130 pass through galvanic isolator 150. High voltage upper phase controller 130 may send signals to and receive signals from point-of-use upper phase controller 142 in upper phase power module 140. Point-of- Attorney Docket No.: 00318-0176-00304 use upper phase controller 142 may send signals to and receive signals from upper phase switches 144. Upper phase switches 144 may be connected to motor 190 and battery 195. Upper phase switches 144 and lower phase switches 148 may be used to transfer energy from motor 190 to battery 195, from battery 195 to motor 190, from an external source to battery 195, or from battery 195 to an external source, for example. The lower phase system of inverter 110 may be similar to the upper phase system as described above. [0055] FIG.3 depicts an exemplary system infrastructure for inverter controller 300 of FIG.2, according to one or more embodiments. Inverter controller 300 may include one or more controllers. [0056] The inverter controller 300 may include a set of instructions that can be executed to cause the inverter controller 300 to perform any one or more of the methods or computer based functions disclosed herein. The inverter controller 300 may operate as a standalone device or may be connected, e.g., using a network, to other computer systems or peripheral devices. [0057] In a networked deployment, the inverter controller 300 may operate in the capacity of a server or as a client in a server-client user network environment, or as a peer computer system in a peer-to-peer (or distributed) network environment. The inverter controller 300 can also be implemented as or incorporated into various devices, such as a personal computer (PC), a tablet PC, a set-top box (STB), a personal digital assistant (PDA), a mobile device, a palmtop computer, a laptop computer, a desktop computer, a communications device, a wireless telephone, a land-line telephone, a control system, a camera, a scanner, a facsimile machine, a printer, a pager, a personal trusted device, a web appliance, a network router, switch or bridge, or any other machine capable of executing a set of instructions (sequential Attorney Docket No.: 00318-0176-00304 or otherwise) that specify actions to be taken by that machine. In a particular implementation, the inverter controller 300 can be implemented using electronic devices that provide voice, video, or data communication. Further, while the inverter controller 300 is illustrated as a single system, the term “system” shall also be taken to include any collection of systems or sub-systems that individually or jointly execute a set, or multiple sets, of instructions to perform one or more computer functions. [0058] As shown in FIG.3, the inverter controller 300 may include a processor 302, e.g., a central processing unit (CPU), a graphics processing unit (GPU), or both. The processor 302 may be a component in a variety of systems. For example, the processor 302 may be part of a standard inverter. The processor 302 may be one or more general processors, digital signal processors, application specific integrated circuits, field programmable gate arrays, servers, networks, digital circuits, analog circuits, combinations thereof, or other now known or later developed devices for analyzing and processing data. The processor 302 may implement a software program, such as code generated manually (i.e., programmed). [0059] The inverter controller 300 may include a memory 304 that can communicate via a bus 308. The memory 304 may be a main memory, a static memory, or a dynamic memory. The memory 304 may include, but is not limited to computer readable storage media such as various types of volatile and non-volatile storage media, including but not limited to random access memory, read-only memory, programmable read-only memory, electrically programmable read-only memory, electrically erasable read-only memory, flash memory, magnetic tape or disk, optical media and the like. In one implementation, the memory 304 includes a cache or random-access memory for the processor 302. In alternative Attorney Docket No.: 00318-0176-00304 implementations, the memory 304 is separate from the processor 302, such as a cache memory of a processor, the system memory, or other memory. The memory 304 may be an external storage device or database for storing data. Examples include a hard drive, compact disc (“CD”), digital video disc (“DVD”), memory card, memory stick, floppy disc, universal serial bus (“USB”) memory device, or any other device operative to store data. The memory 304 is operable to store instructions executable by the processor 302. The functions, acts or tasks illustrated in the figures or described herein may be performed by the processor 302 executing the instructions stored in the memory 304. The functions, acts or tasks are independent of the particular type of instructions set, storage media, processor or processing strategy and may be performed by software, hardware, integrated circuits, firm-ware, micro-code and the like, operating alone or in combination. Likewise, processing strategies may include multiprocessing, multitasking, parallel processing and the like. [0060] As shown, the inverter controller 300 may further include a display 310, such as a liquid crystal display (LCD), an organic light emitting diode (OLED), a flat panel display, a solid-state display, a cathode ray tube (CRT), a projector, a printer or other now known or later developed display device for outputting determined information. The display 310 may act as an interface for the user to see the functioning of the processor 302, or specifically as an interface with the software stored in the memory 304 or in the drive unit 306. [0061] Additionally or alternatively, the inverter controller 300 may include an input device 312 configured to allow a user to interact with any of the components of inverter controller 300. The input device 312 may be a number pad, a keyboard, or a cursor control device, such as a mouse, or a joystick, touch screen display, remote control, or any other device operative to interact with the inverter controller 300. Attorney Docket No.: 00318-0176-00304 [0062] The inverter controller 300 may also or alternatively include drive unit 306 implemented as a disk or optical drive. The drive unit 306 may include a computer-readable medium 322 in which one or more sets of instructions 324, e.g. software, can be embedded. Further, the instructions 324 may embody one or more of the methods or logic as described herein. The instructions 324 may reside completely or partially within the memory 304 and/or within the processor 302 during execution by the inverter controller 300. The memory 304 and the processor 302 also may include computer-readable media as discussed above. [0063] In some systems, a computer-readable medium 322 includes instructions 324 or receives and executes instructions 324 responsive to a propagated signal so that a device connected to a network 370 can communicate voice, video, audio, images, or any other data over the network 370. Further, the instructions 324 may be transmitted or received over the network 370 via a communication port or interface 320, and/or using a bus 308. The communication port or interface 320 may be a part of the processor 302 or may be a separate component. The communication port or interface 320 may be created in software or may be a physical connection in hardware. The communication port or interface 320 may be configured to connect with a network 370, external media, the display 310, or any other components in inverter controller 300, or combinations thereof. The connection with the network 370 may be a physical connection, such as a wired Ethernet connection or may be established wirelessly as discussed below. Likewise, the additional connections with other components of the inverter controller 300 may be physical connections or may be established wirelessly. The network 370 may alternatively be directly connected to a bus 308. Attorney Docket No.: 00318-0176-00304 [0064] While the computer-readable medium 322 is shown to be a single medium, the term "computer-readable medium" may include a single medium or multiple media, such as a centralized or distributed database, and/or associated caches and servers that store one or more sets of instructions. The term "computer- readable medium" may also include any medium that is capable of storing, encoding, or carrying a set of instructions for execution by a processor or that cause a computer system to perform any one or more of the methods or operations disclosed herein. The computer-readable medium 322 may be non-transitory, and may be tangible. [0065] The computer-readable medium 322 can include a solid-state memory such as a memory card or other package that houses one or more non- volatile read-only memories. The computer-readable medium 322 can be a random- access memory or other volatile re-writable memory. Additionally or alternatively, the computer-readable medium 322 can include a magneto-optical or optical medium, such as a disk or tapes or other storage device to capture carrier wave signals such as a signal communicated over a transmission medium. A digital file attachment to an e-mail or other self-contained information archive or set of archives may be considered a distribution medium that is a tangible storage medium. Accordingly, the disclosure is considered to include any one or more of a computer- readable medium or a distribution medium and other equivalents and successor media, in which data or instructions may be stored. [0066] In an alternative implementation, dedicated hardware implementations, such as application specific integrated circuits, programmable logic arrays and other hardware devices, can be constructed to implement one or more of the methods described herein. Applications that may include the apparatus and Attorney Docket No.: 00318-0176-00304 systems of various implementations can broadly include a variety of electronic and computer systems. One or more implementations described herein may implement functions using two or more specific interconnected hardware modules or devices with related control and data signals that can be communicated between and through the modules, or as portions of an application-specific integrated circuit. Accordingly, the present system encompasses software, firmware, and hardware implementations. [0067] The inverter controller 300 may be connected to a network 370. The network 370 may define one or more networks including wired or wireless networks. The wireless network may be a cellular telephone network, an 802.11, 802.16, 802.20, or WiMAX network. Further, such networks may include a public network, such as the Internet, a private network, such as an intranet, or combinations thereof, and may utilize a variety of networking protocols now available or later developed including, but not limited to TCP/IP based networking protocols. The network 370 may include wide area networks (WAN), such as the Internet, local area networks (LAN), campus area networks, metropolitan area networks, a direct connection such as through a Universal Serial Bus (USB) port, or any other networks that may allow for data communication. The network 370 may be configured to couple one computing device to another computing device to enable communication of data between the devices. The network 370 may generally be enabled to employ any form of machine-readable media for communicating information from one device to another. The network 370 may include communication methods by which information may travel between computing devices. The network 370 may be divided into sub-networks. The sub-networks may allow access to all of the other components connected thereto or the sub-networks may restrict access between the Attorney Docket No.: 00318-0176-00304 components. The network 370 may be regarded as a public or private network connection and may include, for example, a virtual private network or an encryption or other security mechanism employed over the public Internet, or the like. [0068] In accordance with various implementations of the present disclosure, the methods described herein may be implemented by software programs executable by a computer system. Further, in an exemplary, non-limited implementation, implementations can include distributed processing, component or object distributed processing, and parallel processing. Alternatively, virtual computer system processing can be constructed to implement one or more of the methods or functionality as described herein. [0069] Although the present specification describes components and functions that may be implemented in particular implementations with reference to particular standards and protocols, the disclosure is not limited to such standards and protocols. For example, standards for Internet and other packet switched network transmission (e.g., TCP/IP, UDP/IP, HTML, HTTP) represent examples of the state of the art. Such standards are periodically superseded by faster or more efficient equivalents having essentially the same functions. Accordingly, replacement standards and protocols having the same or similar functions as those disclosed herein are considered equivalents thereof. [0070] It will be understood that the operations of methods discussed are performed in one embodiment by an appropriate processor (or processors) of a processing (i.e., computer) system executing instructions (computer-readable code) stored in storage. It will also be understood that the disclosure is not limited to any particular implementation or programming technique and that the disclosure may be implemented using any appropriate techniques for implementing the functionality Attorney Docket No.: 00318-0176-00304 described herein. The disclosure is not limited to any particular programming language or operating system. [0071] FIG.4 depicts an exemplary system infrastructure for the point-of-use switch controller of FIG.2, according to one or more embodiments. For a three- phase inverter, each of the upper phase and the lower phase may include three phases correlating with phases A, B, and C. For example, upper phase power module 140 may include upper phase power module 140A for upper phase A, upper phase power module 140B for upper phase B, and upper phase power module 140C for upper phase C. Upper phase power module 140A may include point-of-use upper phase A controller 142A and upper phase A switches 144A. Upper phase power module 140B may include point-of-use upper phase B controller 142B and upper phase B switches 144B. Upper phase power module 140C may include point-of-use upper phase C controller 142C and upper phase C switches 144C. Each of the upper phase A switches 144A, upper phase B switches 144B, and upper phase C switches 144C may be connected to motor 190 and battery 195. FIG.4 depicts details of the upper phase power module 140. Although not shown, the lower phase power module 145 may include a similar structure as the upper phase power module 140 for lower phases A, B, and C. [0072] FIG.5 depicts an exemplary system infrastructure for the upper power module of FIG.4, according to one or more embodiments. For example, FIG. 5 provides additional details of upper phase power module 140A. Although not shown, upper phase power module 140B, upper phase power module 140C, and respective lower phase power modules of lower phase power module 145 may include a similar structure as the upper phase power module 140A shown in FIG.5. Moreover, the terms upper, lower, north, and south used in the disclosure are merely Attorney Docket No.: 00318-0176-00304 for reference, do not limit the elements to a particular orientation, and are generally interchangeable throughout. For example, the upper phase power module 140 could be referred to a lower phase power module, a north phase power module, a south phase power module, a first phase power module, or a second phase power module. [0073] Upper phase power module 140A may include point-of-use upper phase A controller 142A and upper phase A switches 144A. Upper phase A switches 144A may include one or more groups of switches. As shown in FIG.5, upper phase A switches 144A may include upper phase A north switches 144A-N and upper phase A south switches 144A-S. Point-of-use upper phase A controller 142A may include one or more memories, controllers, or sensors. For example, point-of-use upper phase A controller 142A may include a communication manager 405, a functional safety controller 410, a testing interface and controller 415, a north thermal sensor 420A, a south thermal sensor 420B, a self-test controller 425, a command manager 430, a waveform adjuster 435, a memory 440, north switches control and diagnostics controller 450N, and south switches control and diagnostics controller 450S. Point-of-use upper phase A controller 142A may include more or less components than those shown in FIG.5. For example, point-of-use upper phase A controller 142A may include more or less than two switch control and diagnostics controllers, and may include more than two thermal sensors. [0074] Communication manager 405 may control inter-controller communications to and from point-of-use upper phase A controller 142A and/or may control intra-controller communications between components of point-of-use upper phase A controller 142A. Functional safety controller 410 may control safety functions of point-of-use upper phase A controller 142A. Testing interface and controller 415 may control testing functions of point-of-use upper phase A controller Attorney Docket No.: 00318-0176-00304 142A, such as end-of-line testing in manufacturing, for example. North thermal sensor 420A may sense a temperature at a first location in point-of-use upper phase A controller 142A, and south thermal sensor 420B may sense a temperature at a second location in point-of-use upper phase A controller 142A. Self-test controller 425 may control a self-test function of point-of-use upper phase A controller 142A, such as during an initialization of the point-of-use upper phase A controller 142A following a power on event of inverter 110, for example. Command manager 430 may control commands received from communication manager 405 issued to the north switches control and diagnostics controller 450N and south switches control and diagnostics controller 450S. Waveform adjuster 435 may control a waveform timing and shape of commands received from communication manager 405 issued to the north switches control and diagnostics controller 450N and south switches control and diagnostics controller 450S. Memory 440 may include one or more volatile and non-volatile storage media for operation of point-of-use upper phase A controller 142A. North switches control and diagnostics controller 450N may send one or more signals to north switches 144A-N to control an operation of north switches 144A-N, and may receive one or more signals from north switches 144A-N that provide information about north switches 144A-N. South switches control and diagnostics controller 450S may send one or more signals to south switches 144A-S to control an operation of south switches 144A-S, and may receive one or more signals from south switches 144A-S that provide information about south switches 144A-S. As stated above, the terms north and south are merely used for reference, and north switches control and diagnostics controller 450N may send one or more signals to south switches 144A-S, and south switches control and diagnostics controller 450S may send one or more signals to south switches 144A-N. Attorney Docket No.: 00318-0176-00304 [0075] FIG.6 depicts a perspective view of an exemplary architecture for a power module 600 including an electrically conductive spacer 608, according to one or more embodiments. As discussed above, one or more embodiments may provide a power module 600 suitable for double side cooling. One or more embodiments may provide a power module 600 including a layered structure with two or more substrates. One or more embodiments may provide a power module 600 with no wire bonds, ribbons, or clips. One or more embodiments may provide a power module 600 with increased performance due to double side cooling, and with one or more electrically conductive spacers 608 to complete a current path in the power module and provide enough distance between a die 610 and a substrate to meet a dielectric requirement of the power module 600. The electrically conductive spacer 608 may be formed of copper, for example, or another electrically and/or thermally conductive material. [0076] Power module 600 may include a first substrate 602. The first substrate 602 may include an upper layer 602U and connections for lead frame connectors 604 and signal connectors 606. For example, the lead frame connectors 604 may include connectors for a positive DC supply voltage, a negative DC supply voltage, and an AC output voltage. For example, the signal connectors 606 may include connectors for communication with the power module 600 (e.g. gate signals and temperature sensing signals). [0077] Upper layer 602U of the first substrate 602 may be separated into a negative voltage region (left side of FIG.6) and a positive voltage region (right side of FIG.6). Upper layer 602U may be coupled to four electrically conductive spacers 608 (located underneath dies 610 on the right side of FIG.6) and four dies 610 (located under electrically conductive spacers 608 on the left side of FIG.6). The Attorney Docket No.: 00318-0176-00304 dies 610 and electrically conductive spacers 608 connected to the upper layer 602U of the first substrate 602 may be directly coupled to the upper layer 602U of the first substrate 602 by a solder or sinter material, for example. [0078] The dies 610 connected to the upper layer 602U of the first substrate 602 may be coplanar with each other. The electrically conductive spacers 608 connected to the upper layer 602U of the first substrate 602 may be coplanar with each other. The four dies 610 connected to the upper layer 602U of the first substrate 602 may have four electrically conductive spacers 608, each spacer coupled to each respective die. The four electrically conductive spacers 608 connected to the upper layer 602U of first substrate 602 may be coupled to four respective dies 610. [0079] FIG.7 depicts a cross-section view of an exemplary architecture for a power module 600 including electrically conductive spacer 608, according to one or more embodiments. A power module 600 according to one or more embodiments may provide a high current interconnect between a first substrate 602 and second substrate 603 for a dual-side-cooled power module. However, while some embodiments herein are referred to as “dual-side cooled”, it is contemplated that the devices disclosed herein may be used in “single-side cooled” applications or combined active/passive cooling configurations. [0080] As shown in FIG.7, first substrate 602 may include a middle insulation layer (e.g., a ceramic, such as silicon nitride (Si3N4), and labeled as first substrate 602), and two exterior metallization layers (e.g., a copper layer such as direct bond copper (DBC) or active metal brazing (AMB) may be employed) provided as upper layer 602U and lower layer 602L. Upper layer 602U and lower layer 602L may also be referred to as an inner layer and outer layer, respectively, of substrate Attorney Docket No.: 00318-0176-00304 602. Similarly to first substrate 602, second substrate 603 may include a middle insulation layer (e.g., a ceramic, such as silicon nitride (Si 3 N 4 ), and labeled as second substrate 603), and two exterior metallization layers (e.g., a copper layer such as direct bond copper (DBC) or active metal brazing (AMB) may be employed) provided as upper layer 603U and lower layer 603L. Conversely from first substrate 602, upper layer 603U and lower layer 603L may also be referred to as an outer layer and inner layer, respectively, of substrate 603. [0081] The power module 600 may have one or more stacks of a semiconductor die 610 and an electrically conductive spacer 608 connecting the lower layer 603L of second substrate 603 and upper layer 602U of first substrate 602. As shown in FIG.6, a first type of stacks may include the die 610 being attached to the lower layer 603L of second substrate 603 and the electrically conductive spacer 608 being connected to the upper layer 602U of first substrate 602. The power module 600 may include four of the first type of stacks, for example. The power module 600 may include a second type of stacks of die 610 and electrically conductive spacer 608, where the die 610 is coupled to the upper layer 602U of first substrate 602 and the electrically conductive spacer 608 is connected to the lower layer 603L of second substrate 603. The power module 600 may include four of the second type of stacks, for example. [0082] The semiconductor (e.g., silicon carbide (SiC)) die 610 of the power module 600 may have source or drain connections to the upper layer 602U of first substrate 602 or the lower layer 603L of second substrate 603 as needed. The die 610 may be attached to the electrically conductive spacer 608. Other components depicted in FIG.7 may include a lead frame connectors 604 (from battery 195, for example), and signal connectors 606 (from high voltage upper phase controller 130, Attorney Docket No.: 00318-0176-00304 for example). The lead frame connectors 604 may be coplanar with the one or more sets of connected die 610 and electrically conductive spacer 608. The lead frame connectors 604 may be connected to both the upper layer 602U of first substrate 602 and the lower layer 603L of second substrate 603. The assembly may be over- molded with a dielectric material (not shown). The upper layer 602U of first substrate 602 may be connected to the electrically conductive spacer 608 by a solder or sinter material at connection 620. The lower layer 603L of second substrate 603 may be connected to the die 610 by a solder or sinter material at connection 621. [0083] The electrically conductive spacer 608 may provide a path for heat to flow between first substrate 602 and second substrate 603. The die 610 and electrically conductive spacer 608 may each have respective surface areas that overlap one another completely while being connected, where the surface areas of each have the same dimensions. The size and height of the electrically conductive spacer 608 may be dependent on thermal, electrical isolation, and manufacturing requirements. The spacers may provide mating components of the first substrate 602 and second substrate 603 of power module 600 with better co-planarity, which may increase the reliability of the power module 600. [0084] FIG.8 depicts a top view of an exemplary architecture for a power module 800 including electrically conductive spacer 808, according to one or more embodiments. Power module 800 may include a first substrate 802. The first substrate 802 may include an upper layer 802U and connections for lead frame connectors 804 and signal connectors 806. For example, the lead frame connectors 804 may include connectors for a positive DC supply voltage, a negative DC supply voltage, and an AC output voltage. For example, the signal connectors 806 may Attorney Docket No.: 00318-0176-00304 include connectors for communication with the power module 800 (e.g. gate signals and temperature sensing signals). [0085] Upper layer 802U of the first substrate 802 may be separated into a negative voltage region (left side of FIG.8) and a positive voltage region (right side of FIG.8). Upper layer 802U may be coupled to two electrically conductive spacers 808 (located underneath dies 810 on the right side of FIG.8) and two dies 810 (located under electrically conductive spacers 808 on the left side of FIG.8). The dies 810 and electrically conductive spacers 808 connected to the upper layer 802U of the first substrate 802 may be directly coupled to the upper layer 802U of the first substrate 802 by a solder or sinter material, for example. [0086] The dies 810 connected to the upper layer 802U of the first substrate 802 may be coplanar with each other. The electrically conductive spacers 808 connected to the upper layer 802U of the first substrate 802 may be coplanar with each other. The two dies 810 connected to the upper layer 802U of the first substrate 802 may have two electrically conductive spacers 808, each spacer coupled to each respective die. The two electrically conductive spacers 808 connected to the upper layer 802U of first substrate 802 may be coupled to two respective dies 810. [0087] FIG.9A, FIG.9B, and FIG.9C depict a cross-section view of exemplary architectures for a die and an electrically conductive spacer, according to one or more embodiments. As shown in FIG.9A, a die 910 may be connected to a top of an electrically conductive spacer 908. As shown in FIG.9B, a die 910 may be connected to a bottom of an electrically conductive spacer 908. As shown in FIG.9B, a die 910 may be connected to a top of an electrically conductive spacer 908 and a bottom of an electrically conductive spacer 908. Attorney Docket No.: 00318-0176-00304 [0088] One or more embodiments may provide a power module suitable for double side cooling. One or more embodiments may provide a power module including a layered structure with two or more substrates. One or more embodiments may provide a power module with no wire bonds, ribbons, or clips. One or more embodiments may provide a power module with increased performance due to double side cooling, and with one or more spacers to complete a current path in the power module and provide enough distance between a die and a substrate to meet a dielectric requirement of the power module. [0089] Other embodiments of the disclosure will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.