Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
TRUSTED SYSTEM CLOCK
Document Type and Number:
WIPO Patent Application WO/2004/061631
Kind Code:
A1
Abstract:
Methods, apparatus and computer readable medium are described that attempt increase trust in a system time provided by a system clock. In some embodiments, a detector detects activities that may be associated with attacks against the system clock. Based upon whether the detector detects a possible attack against the system clock, the computing device may determine whether or not to trust the system time provided by the system clock.

Inventors:
POISNER DAVID
Application Number:
PCT/US2003/039566
Publication Date:
July 22, 2004
Filing Date:
December 11, 2003
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INTEL CORP (US)
International Classes:
G06F1/14; G06F21/00; (IPC1-7): G06F1/00; G06F1/14
Foreign References:
US6393126B12002-05-21
EP1229424A22002-08-07
US5500897A1996-03-19
US5489095A1996-02-06
Other References:
LOOI M H ET AL: "A NOTE ON SUPPLYING A TRUSTED CLOCK VIA A SECURE DEVICE", COMPUTERS & SECURITY, ELSEVIER SCIENCE PUBLISHERS. AMSTERDAM, NL, vol. 13, no. 7, 1994, pages 611 - 613, XP000485122, ISSN: 0167-4048
Attorney, Agent or Firm:
Mallie, Michael J. (12400 Wilshire Boulevard 7th Floo, Los Angeles CA, US)
Download PDF:
Claims:
What is claimed is :
1. For use with a system clock that keeps a system time, a method comprising detecting a possible attack against the system clock, and updating a status store to indicate a possible attack against the system clock.
2. The method of claim 1 further comprising detecting a possible attack against the system clock in response to determining that the system clock is being updated at an inappropriate rate.
3. The method claim 1 further comprising updating the system time in response to system timer interrupts, and detecting a possible attack against the system clock in response to detecting an attempt to change a rate at which the system timer interrupts are generated.
4. The method of claim 1 further comprising updating the system time in response to system timer interrupts, and detecting a possible attack against the system clock in response to detecting one or more accesses to an interface of the system timer that may alter a rate at which the system timer issues the system timer interrupts.
5. The method of claim 1 further comprising updating the system time in response to system timer interrupts issued by a system timer, and detecting a possible attack against the real time clock in response to detecting a frequency of an oscillator associated with the system timer has a predetermined relationship to a predetermined range.
6. The method of claim 1 further comprising activating a bit of the status store in response to detecting a possible attack against the system clock, and preventing untrusted software from deactivating the bit of the status store.
7. The method of claim 1 further comprising updating a count of a counter of the status store in response to detecting a possible attack against the system clock, and preventing untrusted software from altering the count of the counter.
8. The method of claim 1 further comprising updating the system time in response to system timer interrupts issued by a system timer, and determining that a possible attack has not occurred in response to determining that an adjustment to a rate at which the system timer issues the system timer interrupts has a predetermined relationship to a predetermined range.
9. The method of claim 1 further comprising updating the system time in response to system timer interrupts issued by a system timer, and determining that a possible attack has occurred in response to determining that more than a predetermined number of adjustments have been made to a rate at which the system timer issues the system timer interrupts.
10. A chipset comprising a status store to indicate whether a possible attack against a system clock was detected, and a detector to detect a possible attack against the system clock and to update the status store based upon whether a possible attack against system clock was detected.
11. The chipset of claim 10 further comprising a system timer to generate an interrupt, wherein the detector detects a possible attack against the system clock in response to determining that the system clock is being updated at an inappropriate rate.
12. The chipset of claim 10 further comprising an update store to indicate whether an update to the system clock is pending, wherein the detector detects a possible attack against the system clock based the update store.
13. The chipset of claim 12 wherein the update store is located in a security enhanced space that prevents untrusted code from updating the update store.
14. The chipset of claim 10 wherein the status store comprises a bit that untrusted code is prevented from deactivating and trusted code of a security enhanced environment is permitted to deactivate, and the detector activates the bit of the status store in response to detecting a possible attack against the system clock.
15. The chipset of claim 10 wherein the status store comprises a counter that untrusted code is prevented from updating and that trusted code of a security enhanced environment is permitted to deactivate, and the detector updates a count of the counter in response to detecting a possible attack against the system clock.
16. The chipset of claim 10 further comprising a system timer lock that in response to being activated prevents a rate at which the system timer generates the system timer events from being altered.
17. The chipset of claim 16 wherein the system time lock is located in a security enhanced space that prevents untrusted code from altering state of the system timer lock.
18. A computing device comprising memory to store a interrupt service routine for system timer interrupts, a system timer to generate system timer interrupts that invoke execution of the interrupt service routine, a processor to update a system time of a system clock in response executing the interrupt service routine, and a detector to detect a possible attack against the system clock.
19. The computing device of claim 18 further comprising a status store to indicate whether a possible attack against the system clock was detected, wherein the detector updates the status store to indicate a possible attack against the system clock.
20. The computing device of claim 18 further comprising a bit to indicate whether a possible attack against the system clock was detected, wherein the detector activates the bit to indicate a possible attack against the system clock.
21. The computing device of claim 20 wherein the bit is located in a security enhanced space that prevents untrusted code from altering contents of the bit.
22. The computing device of claim 18 further comprising an external oscillator to provide the system timer with an oscillating signal, wherein the system timer generates the system timer events at a first rate that is based upon a frequency of the oscillating signal, and the detector detects a possible attack against the system clock in response to determining that the frequency of the oscillating signal has a predetermined relationship to a predetermined range.
23. The computing device of claim 18 further comprising a system timer lock that in response to being activated prevents a rate at which the system timer generates the system timer events from being altered.
24. The computing device of claim 23 wherein the system time lock is located in a security enhanced space that prevents untrusted code from altering state of the system timer lock.
25. The computing device of claim 18 wherein the interrupt service routine comprises a system clock nub invokes updates of one or more system time clocks.
26. A machinereadable medium comprising a plurality of instructions that in response to being executed result in a computing device determining that an attack against a system clock of the computing device has been detected, and responding to the attack against the system clock.
27. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device responding to the attack by requesting an interested party to confirm that a system time of the system clock is correct.
28. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device responding to the attack by preventing access to timesensitive data.
29. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device responding to the attack by preventing timesensitive operations.
30. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device determining that an attack has been detected based upon whether a bit of a status store has been activated.
31. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device determining that an attack has been detected based upon whether a counter of a status store has an expected count value.
32. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device determining that an attack has been detected based upon a status store and a trust policy.
33. The machinereadable medium of claim 26 wherein the plurality of instructions further result in the computing device determining that an attack has been detected in response to determining that more than a predetermined number of adjustments have been made to a rate of a system timer used to drive the system clock.
34. An apparatus comprising an update store to indicate whether an update to a system clock is pending, and detection logic to detect a possible attack against the system clock based upon the update store and one or more system timer interrupts used to invoke an update of the system clock.
35. The apparatus of claim 34 wherein the detection logic determines that a possible attack against the system clock has occurred if a system timer interrupt is received while the update store indicates an update to the system clock is pending.
36. The apparatus of claim 34 wherein the update store comprises a bit that may be activated to indicate an update is pending and that may be deactivated to indicate that no update is pending, and the detection logic determines that a possible attack against the system clock has occurred if a system timer interrupt is received while the bit of the update store is active.
37. The apparatus of claim 36 wherein the update store is located in a security enhanced space that prevents untrusted code from altering contents of the update store and that permits trusted code to alter contents of the update store.
38. The apparatus of claim 34 wherein the update store comprises a count value indicative of a number of updates that are pending, and the detection logic determines that a possible attack against the system clock has occurred if the count value has predetermined relationship to a predetermined value.
39. The apparatus of claim 38 wherein the update store is located in a security enhanced space that prevents untrusted code from altering contents of the update store and that permits trusted code to alter contents of the update store.
Description:
TRUSTED SYSTEM CLOCK BACKGROUND [0001] An operating system may include a system clock to provide a system time for measuring small increments of time (e. g. 1 millisecond increments). The system clock may update the system clock in response to a periodic interrupt generated by a system timer such as an Intel 8254 event timer, an Intel High Performance Event Timer (HPET), or a real time clock event timer. The operating system may use the system time to time-stamp files, to generate periodic interrupts, to generate time-based one-shot interrupts, to schdule processes, etc.

Generally, the system clock may keep a system time while a computing device is operating, but typically is unable to keep a system time once the computing device is powered off or placed in a sleep state. The operating system therefore may use a reference clock to initialize the system time of the system clock at system start- up and at system wake-up. Further, the system clock tends to drift away from the correct time. Accordingly, the operating system may use a reference clock to periodically update the system time of the system clock.

[0002] One such reference clock is a hardware real time clock (RTC). A computing device typically includes an RTC and a battery to power the RTC when the computing device is powered down. Due to the battery power, the RTC is able to maintain a real time or a wall time even when the computing device is powered off or placed in a sleep state, and generally is capable of keeping time more accurately than the system clock. Besides providing an interface for obtaining the wall time, the RTC further provides an interface such as, for example, one or more registers which may be used to set or change the time of the RTC. As is known by those skilled in the art, wall time refers to actual real time (e. g. 12: 01 PM, Friday, December 4,2002) which may comprising, for example, the current seconds, minutes, hours, day of the week, day of the month, month, and year. Wall time derives its name from the time provided by a conventional clock that hangs on a wall and is commonly used to differentiate from CPU time which represents the number of seconds a processor spent executing a process. Due to multi-tasking and multi-processor systems, the CPU time to executed a process may vary drastically from the wall time to execute the process.

[0003] The computing device may use the system clock and/or the RTC clock to enforce policies for time-sensitive data. In particular, the computing device may provide time-based access restrictions upon data. For example, the computing device may prevent reading an email message after a period of time (e. g. a month) has elapsed from transmission. The computing device may also prevent reading of source code maintained in escrow until a particular date has arrived. As yet another example, the computing device may prevent assigning a date and/or time to a financial transaction that is earlier than the current date and/or time.

However, for these time-based access restrictions to be effective, the computing device must trust the system clock is resistant to attacks that may alter the system time to the advantage of an attacker.

BRIEF DESCRIPTION OF THE DRAWINGS [0004] The invention described herein is illustrated by way of example and not by way of limitation in the accompanying figures. For simplicity and clarity of illustration, elements illustrated in the figures are not necessarily drawn to scale.

For example, the dimensions of some elements may be exaggerated relative to other elements for clarity. Further, where considered appropriate, reference numerals have been repeated among the figures to indicate corresponding or analogous elements.

[0005] FIG. 1 illustrates an embodiment of a computing device.

[0006] FIG. 2 illustrates an embodiment of a detector of the computing device of FIG. 1 that detects possible attacks against a system clock.

[0007] FIG. 3 illustrates an embodiment of a security enhanced (SE) environment that may be established by the computing device of FIG. 1.

[0008] FIG. 4 illustrates an example embodiment of a method for responding to a possible attack of the system clock.

DETAILED DESCRIPTION [0009] The following description describes techniques for protecting system time of a system clock from being changed in order to gain unauthorized access to time-sensitive data and/or to perform unauthorized time-sensitive operations. In the following description, numerous specific details such as logic implementations, opcodes, means to specify operands, resource partitioning/sharing/duplication implementations, types and interrelationships of system components, and logic partitioning/integration choices are set forth in order to provide a more thorough understanding of the present invention. It will be appreciated, however, by one skilled in the art that the invention may be practiced without such specific details.

In other instances, control structures, gate level circuits and full instruction sequences have not been shown in detail in order not to obscure the invention.

Those of ordinary skill in the art, with the included descriptions, will be able to implement appropriate functionality without undue experimentation.

[0010] References in the specification to"one embodiment", "an embodiment",<BR> "an example embodiment", etc. , indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic.

Moreover, such phrases are not necessarily referring to the same embodiment.

Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

[0011] An example embodiment of a computing device 100 is shown in FIG. 1.

The computing device 100 may comprise one or more processors 102 coupled to a chipset 104 via a processor bus 106. The chipset 104 may comprise one or more integrated circuit packages or chips that couple the processors 102 to system memory 108, a token 110, firmware 112 and/or other)/0 devices 114 of the computing device 100 (e. g. a mouse, keyboard, disk drive, video controller, etc.).

[0012] The processors 102 may support execution of a secure enter (SENTER) instruction to initiate creation of a security enhanced (SE) environment such as, for example, the example SE environment of FIG. 3. The processors 102 may further support a secure exit (SEXIT) instruction to initiate dismantling of a SE environment. In one embodiment, the processor 102 may issue bus messages on processor bus 106 in association with execution of the SENTER, SEXIT, and other instructions. In other embodiments, the processors 102 may further comprise a memory controller (not shown) to access system memory 108.

[0013] The processors 102 may further support one or more operating modes such as, for example, a real mode, a protected mode, a virtual real mode, and a virtual machine extension mode (VMX mode). Further, the processors 102 may support one or more privilege levels or rings in each of the supported operating modes. In general, the operating modes and privilege levels of a processor 102 define the instructions available for execution and the effect of executing such instructions. More specifically, a processor 102 may be permitted to execute certain privileged instructions only if the processor 102 is in an appropriate mode and/or privilege level.

[0014] The firmware 112 may comprises Basic Input/Output System routines (BIOS). The BIOS may provide low-level routines that the processors 102 may execute during system start-up to initialize components of the computing device 100 and to initiate execution of an operating system. The token 110 may comprise one or more cryptographic keys and one or more platform configuration registers (PCR registers) to record and report metrics. The token 110 may support a PCR quote operation that returns a quote or contents of an identified PCR register. The token 110 may also support a PCR extend operation that records a received metric in an identified PCR register. In one embodiment, the token 110 may comprise a Trusted Platform Module (TPM) as described in detail in the Trusted Computing Platform Alliance (TCPA) Main Specification, Version 1. 1 a, 1 December 2001 or a variant thereof.

[0015] The chipset 104 may comprise one or more chips or integrated circuits packages that interface the processors 102 to components of the computing device 100 such as, for example, system memory 108, the token 110, and the other 1/0 devices 114 of the computing device 100. In one embodiment, the chipset 104 comprises a memory controller 116. However, in other embodiments, the processors 102 may comprise all or a portion of the memory controller 116.

The memory controller 116 may provide an interface for other components of the computing device 100 to access the system memory 108. Further, the memory controller 116 of the chipset 104 and/or processors 102 may define certain regions of the memory 108 as security enhanced (SE) memory 118.

[0016] In one embodiment, the processors 102 may only access SE memory 118 when in an appropriate operating mode (e. g. protected mode) and privilege level (e. g. OP). Moreover, the SE memory 118 may comprise a trusted system clock 120 to maintain a system time. The trusted system clock 120 may comprise an interrupt service routine that is executed by the processors 102 in response to a system timer interrupt. The interrupt service routine may increment the system time of the trusted system clock 120 based upon the rate at which the system timer interrupts are generated. For example, if the system timer interrupts are generated at a rate of one system timer interrupt per a millisecond, the interrupt service routine may increment the system time of the trusted system clock 120 by one millisecond for each generated system timer interrupt. The computing device 100 may use the system time of the trusted system clock 120 to time-stamp files, to generate periodic interrupts, to generate time-based one-shot interrupts, to schedule processes, etc. Further, the computing device may use the trusted system clock to enforce policies for time-sensitive data. In particular, the computing device may enforce time-based access restrictions to data. For example, the computing device may prevent reading an email message after a period of time (e. g. a month) has elapsed from transmission. The computing device may also prevent reading of source code maintained in escrow until a particular date has arrived. As yet another example, the computing device may prevent assigning a date and/or time to a financial transaction that is earlier than the current date and/or time. However, for these time-based access restrictions to be effective, the computing device must trust the trusted system clock is resistant to attacks that may alter its system time to the advantage of an attacker.

[0017] The chipset 104 may also support standard 1/0 operations on 1/0 buses such as peripheral component interconnect (PCI), accelerated graphics port (AGP), universal serial bus (USB), low pin count (LPC) bus, or any other kind of l/O bus (not shown). A token interface 122 may be used to connect chipset 104 with a token 110 that comprises one or more platform configuration registers (PCR). In one embodiment, token interface 122 may be an LPC bus (Low Pin Count (LPC) Interface Specification, Intel Corporation, rev. 1.0, 29 December 1997).

[0018] The chipset 104 may further comprise a real time clock (RTC) 124 to keep a wall time comprising, for example, seconds, minutes, hours, day of the week, day of the month, month, and year. The RTC 124 may further receive power from a battery 126 so that the RTC 124 may keep the wall time even when the computing device 100 is in a powered-down state (e. g. powered off, sleep state, etc. ). The RTC 124 may further update its wall time once every second based upon an oscillating signal provided by an external oscillator 128. For example, the oscillator 128 may provide an oscillating signal having a frequency of 32.768 kilo-Hertz, and the RTC 124 may divide this oscillating signal to obtain an update signal having frequency of 1 Hertz which is used to update the wall time of the RTC 124.

[0019] The chipset 104 may further comprise a system timer 130 to generate the system timer interrupt used to drive the trusted system clock 120 at a programmable rate. To this end, the system timer 130 may comprise an Intel 8254 event timer, an Intel High Performance Event Timer (HPET), or a real time clock event timer that may be programmed to generate the system timer interrupt at a particular rate. In one embodiment, the system timer 130 may comprise a counter that may be programmed with a count value, and the system timer 130 may update (e. g. decrement by two) the count value for each cycle of an oscillating signal provided by an oscillator 132. Further, the system timer 130 may toggle a system timer interrupt (e. g. IRQO) between an activated state and a deactivated state each time the count value has a predetermined relationship (e. g. equal) to a predetermined value (e. g. 0). The system timer 130 may further reload the count value after toggling the system timer interrupt. Accordingly, the system timer 130 may generate a periodic system timer interrupt having a rate or frequency that is defined by the count value and the frequency of the oscillator 132. The system timer 130 may further comprise an interface 134 to program the rate of the system timer interrupt and to obtain the rate of the system timer interrupt. In one embodiment, the interface 134 may comprise one or more registers which the processors 102 may read from in order to obtain the count value and therefore the rate of the system timer interrupt and which the processors 102 may write a count value in order to set the rate of the system timer interrupt. In another embodiment, the processors 102 may provide the interface 134 with commands or messages via the processor bus 106 to obtain the rate of the system timer interrupt and/or to program the rate of the system timer interrupt.

[0020] The chipset 104 may also comprise a system timer lock 136. The system timer lock 136 when activated may prevent the rate of the system timer 130 from being altered. For example, the system timer lock 136 may prevent writes, commands, and/or messages that may alter the rate from reaching the interface 134 or may cause the interface 134 to ignore such. writes, commands, and/or messages. The lock 136 may be located in a security enhanced (SE) space (not shown) of the chipset 104. In one embodiment, the processors 102 may only alter contents of the SE space by executing one or more privileged instructions. An SE environment, therefore, may prevent processors 102 from altering the contents of the lock 136 via untrusted code by assigning execution of untrusted code to processor rings that are unable to successfully execute such privileged instructions.

[0021] The status store 138 may comprise one or more bits that may be used to store an indication of whether a possible system clock attack has been detected. For example, the status store 138 may comprise a single bit that may be activated to indicate that a possible system clock attack has been detected, and that may be deactivated to indicate that a possible system clock attack has not been detected. In another embodiment, the status store 138 may comprise a counter comprising a plurality of bits (e. g. 32 bits) to store a count. A change in the count value may be used to indicate a possible system clock attack. In yet another embodiment, the status store 138 may comprise a plurality of bits or counters that may be used to not only identify that a possible system clock attack was detected but may also indicate the type of system clock attack that was detected. The status store 138 may be further located in the SE space of the chipset 104 to prevent untrusted code from altering the contents of the status store 138.

[0022] The detector 140 of the chipset 104 may detect one or more ways an attacker may launch an attack against the trusted system clock 120 and may report whether a possible system clock attack has occurred. One way an attacker may attack the trusted system clock 120 is to alter via the interface 134 the rate at which the system timer 130 generates system timer interrupts. Even though one embodiment comprises a lock 136 to prevent untrusted code from changing the rate of the system timer 130, the detector 140 may still detect attempts to alter the rate via the interface 134. For example, in response to detecting that data was written to registers of the system timer interface 134 that are used to program the rate of the system timer 130, the detector 140 may update the status store 138 to indicate that a possible system clock attack has occurred. Similarly, the detector 140 may update the status store 138 to indicate a possible system clock attack in response to detecting that the interface 134 has received one or more commands or messages that may cause the system timer 130 to alter its rate of issuing system timer interrupts. In yet another embodiment, the detector 140 may determine that such accesses to the interface 134 are not system clock attacks if the lock 136 is deactivated, thus leaving the interface 134 unlocked.

[0023] Another way an attacker may attack the trusted system clock 120 is to increase or decrease the frequency of the oscillating signal of the oscillator 132 or to remove the oscillating signal from the system timer 130. An attacker may increase the frequency of the oscillating signal to make the trusted system clock 120 run fast and to indicate a system time that is ahead of the correct wall time.

Similarly, an attacker may decrease the frequency of the oscillating signal to make the system clock 120 run slow and to indicate a system time that is behind the correct wall time. Further, an attacker may remove the oscillating signal or decrease the oscillating signal to zero HZ to stop the system clock 120 from updating its system time. In one embodiment, the detector 140 may update the status store 138 to indicate a possible system clock attack in response to detecting that the oscillating signal of the oscillator 132 is not present. In another embodiment, the detector 140 may update the status store 138 to indicate a possible system clock attack in response to detecting that the frequency of the oscillating signal has a predetermined relationship to a predetermined range (e. g. less than a value, greater than a value, and/or not between two values). To this end, the detector 140 may comprise a free running oscillator which provides a reference oscillating signal from which the detector 140 may determine whether the frequency of the oscillating signal provided by the oscillator 132 has the predetermined relationship to the predetermined range.

[0024] Yet another way an attacker may attack the trusted system clock 120 is to prevent the processors 102 from updating the system time of the trusted system clock 120 in response to each system timer interrupt, thus effectively making the trusted system clock 120 run slow or stop. To this end, the detector 140 may comprise logic that detects whether the trusted system clock 120 is updated in response to each system timer interrupt. An embodiment of such logic is shown in FIG. 2. As illustrated, the detector 140 may comprise an update store 200 to indicate whether an update of the trusted system clock 120 is pending.

Moreover, the update store 200 may be located in SE space of the chipset 104 to permit trusted code to change the state of the update store 200 and to prevent untrusted code from changing the state of the update store 200. The detector 140 may further comprise detection logic 202 to detect a possible system clock attack based upon the update store 200 and generated system timer interrupts.

[0025] In one embodiment, the update store 200 may comprise a single bit that may be activated to indicate that a update is pending and that may be deactivated to indicate that no update is pending. The detector 140 may activate the update store 200 in response to each generated system timer interrupt to indicate that an update of the trusted system clock 120 is pending. Further, an interrupt service routine of the trusted system clock 120 may deactivate the update store 200 after updating the system time of the trusted system clock 120 to indicate that the update is complete. The detection logic 202 may then determine that a possible system clock attack has occurred in response to a system timer interrupt being issued while the update store 200 indicates that an update is still pending.

[0026] In another embodiment, the update store 200 may comprise a counter having a count value that indicates the number of system timer interrupts that have been generated since the last update of the system clock 120. The detector 140 may increment the counter of the update store 200 in response to each generated system timer interrupt to indicate the number of pending system clock updates. Further, an interrupt service routine of the trusted system clock 120 may obtain the count value from the update store 200 in response to a system timer interrupt, and may update the system time of the trusted system clock 120 based upon the obtained count value. After updating the trusted system clock 120, the interrupt service routine may further update the count value accordingly. For example, the interrupt service routine may decrement the counter by the number of system timer interrupts that were serviced by the update of the trusted system clock 120. The detection logic 202 may then determine that a possible system clock attack has occurred in response a system timer interrupt being issued while the count value of the update store 200 has a predetermined relationship (e. g. exceeds) a predetermined number (e. g. 5) of pending system clock updates.

[0027] An embodiment of an SE environment 300 is shown in FIG. 3. The SE environment 300 may be initiated in response to various events such as, for example, system start-up, an application request, an operating system request, etc. As shown, the SE environment 300 may comprise a trusted virtual machine kernel or monitor 302, one or more standard virtual machines (standard VMs) 304, and one or more trusted virtual machines (trusted VMs) 306. In one embodiment, the monitor 302 of the operating environment 300 executes in the protected mode at the most privileged processor ring (e. g. OP) to manage security and provide barriers between the virtual machines 304,306.

[0028] The standard VM 304 may comprise an operating system 308 that executes at the most privileged processor ring of the VMX mode (e. g. OD), and one or more applications 310 that execute at a lower privileged processor ring of the VMX mode (e. g. 3D). Since the processor ring in which the monitor 302 executes is more privileged than the processor ring in which the operating system 308 executes, the operating system 308 does not have unfettered control of the computing device 100 but instead is subject to the control and restraints of the monitor 302. In particular, the monitor 302 may prevent untrusted code such as, the operating system 308 and the applications 310 from directly accessing the SE memory 118 and the token 110. Further, the monitor 302 may prevent untrusted code from directly altering the rate of the system timer 130, and may also prevent untrusted code from altering the status store 138 and the update store 200.

[0029] The monitor 302 may perform one or more measurements of the trusted kernel 312 such as a cryptographic hash (e. g. Message Digest 5 (MD5), Secure Hash Algorithm 1 (SHA-1), etc. ) of the kernel code to obtain one or more metrics, may cause the token 110 to extend a PCR register with the metrics of the kernel 312, and may record the metrics in an associated PCR log stored in SE memory 118. Further, the monitor 302 may establish the trusted VM 306 in SE memory 118 and launch the trusted kernel 312 in the established trusted VM 306.

[0030] Similarly, the trusted kernel 312 may take one or more measurements of an applet or application 314 such as a cryptographic hash of the applet code to obtain one or more metrics. The trusted kernel 312 via the monitor 302 may then cause the token 110 to extend a PCR register with the metrics of the applet 314.

The trusted kernel 312 may further record the metrics in an associated PCR log stored in SE memory 118. Further, the trusted kernel 312 may launch the trusted applet 314 in the established trusted VM 306 of the SE memory 118.

[0031] The trusted kernel 312 may further comprise a trusted system clock 120. As indicated above, the trusted system clock 120 may comprise an interrupt service routine which is executed by the processors 102 in response to a system timer interrupt. The trusted system clock 120 may increment its system time by an amount that is based upon the rate at which the system timer 130 periodically generates the system timer interrupt. It should be appreciated that the trusted system clock 120 may be located in another trusted module of the SE environment 300. For example, the monitor 302 may include the trusted system clock 120. In another embodiment, the trusted system clock 120 may comprise a system clock nub 316 located in the monitor 302. The processors 102 may execute the system clock nub 316 in response to the system timer interrupts.

Further, the system clock nub 316 may generate one or more interrupts, signals, and/or messages which cause the processors 102 to execute code of the trusted kernel 312 that updates the system time of the trusted system clock 120. The one or more interrupts, signals, and/or messages of system clock nub 316 may further cause the processors 102 to executed code of the operating system 308 that updates an untrusted system clock 318 of the untrusted operating system 308.

[0032] In response to initiating the SE environment 300 of FIG. 3, the computing device 100 may further record metrics of the monitor 302 and hardware components of the computing device 100 in a PCR register of the token 110. For example, the processor 102 may obtain hardware identifiers such as, for example, processor family, processor version, processor microcode version, chipset version, and token version of the processors 102, chipset 104, and token 110. The processor 102 may then record the obtained hardware identifiers in one or more PCR register.

[0033] A example method of responding to a possible attack against the trusted system clock 120 is shown in FIG. 4. In block 400, the detector 140 may detect that a possible system clock attack has occurred. For example, the detector 140 may determine that a possible system clock attack has occurred in response to determining that the frequency of the oscillator 132 has a predetermined relationship to a predetermined range, that the system timer interface 134 has been accessed in a manner that may have changed the rate at which the system timer 130 issues system timer interrupts, and/or that the number of pending updates to the trusted system clock 120 has a predetermined relationship to a predetermined number of pending updates. The detector 140 in block 402 may update the status store 138 to indicate a possible system clock attack. In one embodiment, the detector 140 may indicate a possible system clock attack by activating a bit of the status store 138. In another embodiment, the detector 140 may indicate a possible system clock attack by updating (e. g. incrementing, decrementing, setting, resetting) a count value of the status store 138.

[0034] The monitor 302 in block 404 may determine whether a system clock attack has occurred based upon the status store 138. In one embodiment, the monitor 302 may determine that a system clock attack has occurred in response to a bit of the status store 138 being active. In another embodiment, the monitor 302 may determine that a system clock attack has occurred in response a count value of the status store 138 having a predetermined relationship (e. g. not equal) to an expected count value. For example, the monitor 302 may maintain an expected count value that is retained through system resets, system power downs, or SE environment tear downs. The monitor 302 may compare the count value of the status store 138 with the expected count value to determine whether the detector 140 has detected one or more possible system clock attacks since the monitor 302 last updated its expected count value.

[0035] In addition to the status store 138, the monitor 302 may also determine whether a system clock attack has occurred based upon a trust policy. The trust policy may permit certain types of adjustments or changes to the system time of the trusted system clock 120 that are otherwise flagged by the detector 140 as possible system clock attacks. For example, the status store 138 may indicate that the rate of the system timer 130 was changed via the interface 134. However, the trust policy may allow the processors 102 to increase or decrease the rate of the system timer 130 by no more than a predetermined amount without it being defined as a system clock attack. While the trust policy may allow the rate of the system timer 130 to be adjusted, the trust policy may define such an adjustment as a system clock attack if more than a predetermined number of adjustments (e. g. 1,2) are made via the interface 134 during a predetermined interval (e. g. per day, per week, per system reset/power down).

[0036] In block 406, the monitor 302 may respond to the detected system clock attack. In one embodiment, the monitor 302 may respond based upon a trust policy. In one embodiment, the trust policy may indicate that the SE environment 300 does not contain time-sensitive data and/or is not performing time-sensitive operations. Accordingly, the monitor 302 may simply ignore the possible system clock attack. In another embodiment, the policy may indicate that the monitor 302 is to reset the computing device 100 or tear down the SE environment 300 in response to detecting certain types of system clock attacks such as, for example, detecting that the frequency of the oscillating signal has a predetermined relationship to a predetermined range or that the rate of the system timer 130 has a predetermined relationship to a predetermined range. In another embodiment, the monitor 302 may provide an interested party an opportunity to verify and/or change the system time of the trusted system clock 120. For example, the monitor 302 may provide a user of the computer device 100 and/or the owner of the time- sensitive data with the system time of the trusted system clock 120 and may ask the user and/or owner to verify that the system time is correct and/or to update the system time to the correct wall time.

[0037] The monitor 302 in block 408 may update the status store 138 to remove the indication of a possible system status attack. In one embodiment, the monitor 302 may deactivate a bit of the status store 138 in order to clear the indication of a possible RTC attack. In another embodiment, the monitor 302 may update its expected count value and/or a count value of the status store 138 such that the expected count value and the count value of the status store 138 have a relationship that indicates that no system clock attack has been detected.

[0038] The computing device 100 may perform all or a subset of the example method of FIG. 4 in response to executing instructions of a machine readable medium such as, for example, read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; and/or electrical, optical, acoustical or other form of propagated signals such as, for example, carrier waves, infrared signals, digital signals, analog signals. Furthermore, while the example method of FIG. 4 is illustrated as a sequence of operations, the computing device 100 in some embodiments may perform various illustrated operations of the method in parallel or in a different order.

[0039] While certain features of the invention have been described with reference to example embodiments, the description is not intended to be construed in a limiting sense. Various modifications of the example embodiments, as well as other embodiments of the invention, which are apparent to persons skilled in the art to which the invention pertains are deemed to lie within the spirit and scope of the invention.