Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
TUNABLE HIGH-CHI DIBLOCK COPOLYMERS CONSISTING OF ALTERNATING COPOLYMER SEGMENTS FOR DIRECTED SELF-ASSEMBLY AND APPLICATION THEREOF
Document Type and Number:
WIPO Patent Application WO/2022/129154
Kind Code:
A1
Abstract:
The disclosed subject matter relates to a block polymer comprising structure (1): (A)-(C)-(B), wherein (A) is a first polymer block segment comprising a block segment selected from structure (1a), structure (1b), and a mixture of structures (1a) an (1b), (C) is a spacer moiety comprising structure (1c); and wherein B) is a second polymer block segment comprising repeat units derived from either an alkyl 2-methylenealkanoate, a lactone; a cyclic carbonate, an oxirane, or an oxetane. The disclose matter also pertains to a composition of said block polymer in a spin casting solvent and using this solution in a process of directed self-assembly.

More Like This:
WO/2013/057918NOVEL COPOLYMER
JP5615918New copolymer
JPS6213404COUPLER AND ITS USE
Inventors:
BASKARAN DURAIRAJ (US)
RAHMAN MD S (US)
BOBADE SACHIN (US)
NG EDWARD W (US)
MONREAL VICTOR (US)
JEONG EUNJEONG (US)
Application Number:
PCT/EP2021/085861
Publication Date:
June 23, 2022
Filing Date:
December 15, 2021
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MERCK PATENT GMBH (DE)
International Classes:
C08F297/02; B82Y40/00; C08F212/08; C08F212/32; C08G63/00; C08L53/00; C09D153/00; G03F7/00
Foreign References:
US20170145250A12017-05-25
US20160244557A12016-08-25
Other References:
CHEVALIER X ET AL: "Improvements of self-assembly properties via homopolymer addition or block-copolymer blends", PROCEEDINGS OF SPIE, IEEE, US, vol. 9049, 27 March 2014 (2014-03-27), pages 90490T - 90490T, XP060030887, ISBN: 978-1-62841-730-2, DOI: 10.1117/12.2046329
"HANDBOOK OF THIN-FILM DEPOSITION PROCESSES AND TECHNIQUES", NOYES PUBLICATIONS / WILLIAM ANDREW PUBLISHING, article "Principles, Methods, Equipment and Applications"
CHEMICAL REVIEW, vol. 110, 2010, pages 11 - 131
WERNER KERNKLAUS K. SCHUEGRAF: "Deposition Technologies and Applications: Introduction and Overview", NOYES PUBLICATIONS / WILLIAM ANDREW PUBLISHING, pages: 11,43
Attorney, Agent or Firm:
RIPPEL, Hans Christoph (DE)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A block copolymer comprising structure (1)

(A)-(C)-(B) (1); wherein (A) is a first polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) and (lb), wherein Ar, Ara, An, and Are are each independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units; wherein the structures (lai), (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures (lai), (la2) and (la3); and, wherein the M„ of (A) ranges from about 5,000 to about 100,000 g/mole,

Ara (lai) Arb (la2) Are (la3); wherein (C) is a spacer moiety comprising structure (1c), wherein Arc and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage,

(B) is a second polymer block segment comprising repeat units derived from either an alkyl 2- methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2), a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol; and

43 said block copolymer comprising structure (1) has a Mw ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20.

2. The block copolymer of claim 1, which has a polydispersity ranging from 1.00 to about 1. 12.

3. The block copolymer of claim 1 or 2, which has a polydispersity ranging from 1.00 to about 1.10.

4. The block copolymer of any one of claims 1 to 3, wherein (A) comprises a block copolymer segment of structure (la).

5. The block copolymer of any one of claims 1 to 4, wherein (A) comprises a diblock copolymer segment of structure (lb).

6. The block copolymer of any one of claims 1 to 5, wherein (A) comprises a mixture of diblock copolymer segment of structures (la) and (lb).

7. The block copolymer of any one of claims 1 to 6, wherein said block copolymer undergoes selfassembly and forms a fingerprint pattern on a conventional neutral layer covered substrate.

8. The block copolymer of any one of claims 1 to 6, wherein said block copolymer forms L/S directed self-assembly and affects either line multiplication or line rectification, using either graphoepitaxy or chemoepitaxy and wherein the L/S directly patterns on a substrate containing, as part of the this L/S pattern, conventional neutral layer surface areas.

9. The block copolymer of claims 7 or 8, wherein said conventional neutral layer is one derived from a brush random copolymer of styrene and methyl methacrylate.

10. The block copolymer of anyone of claims 1 to 9, wherein (A) consists of structure (la).

11. The block copolymer of anyone of claims 1 to 9, wherein (A) consists of structure (lb).

12. The block copolymer of anyone of claims 1 to 9, wherein (A) consists of a mixture of structure (la) and (lb).

13. The block copolymer of anyone of claims 1 to 12, wherein (C) consists of structure (1c).

14. The block copolymer of any one of claim 1 to 12, wherein (C) comprises structure ( 1c 1) wherein Rs is an unsubstituted C-l to C-3 alkylene moiety or C-l to C-3 alkylene moiety substituted with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, Arc, and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage;

15. The block copolymer of any one of claim 1 to 12 and 14, wherein (C) consists of structure (lei).

44

16. The block copolymer of any one of claims 1 to 15, wherein at least one of Arc or Ara is substituted with at least one moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

17. The block copolymer of any one of claims 1 to 15 , wherein Arc and Ara are not substituted with a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

18. The block copolymer of any one of claims 1 to 15, wherein Arc and Ara are each unsubstituted.

19. The block copolymer of any one of claims 1 to 15, wherein at least one Arc or Ara are is unsubstituted.

20. The block copolymer of any one of claims 1 to 15, wherein at least one of Arc is substituted with a C-

1 to C-8 alkyl.

21. The block copolymer of any one of claims 1 to 20, wherein (B) is a polymer block segment whose repeat units are derived from an alkyl 2-methylenealkanoate.

22. The block copolymer of any one of claims 1 to 21, wherein (B) is a polymer block segment whose repeat units are derived from an alkyl methacrylate.

23. The block copolymer of any one of claims 1 to 20, wherein (B) is a polymer block segment whose repeat units are derived from a lactone.

24. The block copolymer of any one of claims 1 to 20, wherein (B) is a polymer block segment whose repeat units are derived from a cyclic carbonate.

25. The block copolymer of any one of claims 1 to 20, wherein (B) is a polymer block segment whose repeat units are derived from an oxirane.

26. The block copolymer of any one of claims 1 to 20, wherein (B) is a polymer block segment whose repeat units are derived from an oxetane. 1. The block copolymer of any one of claims 1 to 4, 7 to 9, 10, 13 to 22, which has structure (2), wherein Raik is a C-l to C-8 alkyl, Rsi Ri, R2, and R3, are independently selected from H or a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, R; is a C-l to C-4 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, and xl, x, and y are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene -oxy);

28. The block copolymer of claim 1 , wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

29. The block copolymer of claim 27 , wherein R4 is H.

30. The block copolymer of claim 27 , wherein R4 is a C-l to C-8 alkyl.

31. The polymer of any one of claims 1 to 3, 5, 7 to 9, 11, 13, 16 to 22, which has structure (3), wherein

Raik is a C-l to C-8 alkyl, Ri, Ria, R2, Rza, R3, and FC;I. are independently selected from H or a C-l to C-8 alkyl,

R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, FC is a C-l to C-4 alkyl, x2, x3, x4 and y are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

32. The block copolymer of claim 31 , wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

33. The block copolymer of claim 31 , wherein R4 is H.

34. The block copolymer of claim 31, wherein R4 is a C-l to C-8 alkyl.

35. The polymer of any one of claims 1 to 4, 5, 6, 12, 13, 16 to 22, which has structure (4), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, FC Ria. R3, and R3a, are independently selected from H or a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, ; is a C-l to C-4 alkyl, x, xl, x2, x3, x4 and y are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl- alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy- alkylene), and a C-l to C-4 (alkylene-oxy);

36. The block copolymer of claim 35, wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

37. The block copolymer of claim 35, wherein R4 is H.

38. The block copolymer of claim 35, wherein R4 is a C-l to C-8 alkyl.

39. The block polymer of any one of claims 1 to 4, 7 to 9, 10, 13 to 20, and 23 which has structure (5), wherein Raik is a C-l to C-8 alkyl, Rsi Ri, FC and R3, are independently selected from H or a C-l to C-8 alkyl,

R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, RLI is an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-8 alkyl, Rcap is a C-l to C-8 alkyl, and xl, x, andyl are independently the number ofthe respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene -oxy);

40. The block copolymer of claim 39, wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

41. The block copolymer of claim 39, wherein R4 is H.

42. The block copolymer of claim 39, wherein R4 is a C-l to C-8 alkyl.

43. The block polymer of any one of claims 1 to 3, 5, 7 to 9, 11, 13, 16 to 20, and 23 which has structure

(6), wherein ,ik is a C-l to C-8 alkyl, Ri, Ria, R2, R2a, R3, and R3a, are independently selected from H or a C-l to C-8 alkyl, Riis selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, RLI is an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-8 alkyl, Rcap is a C-l to C-8 alkyl, x2, x3, x4, andyl are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

44. The block copolymer of claim 43, wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

45. The block copolymer of claim 43 , wherein R4 is H.

46. The block copolymer of claim 43, wherein R4 is a C-l to C-8 alkyl.

47. The block polymer of any one of claims 1 to 4, 5, 6, 12, 13, 16 to 20, and 23, which has structure (7), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, R2, FCa. R3, and FC;|. are independently selected from H, and a C-l to

C-8 alkyl, Riis selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage, RLI is an unsubstituted C-l to C-4 alkylene or a C-l to C- 4 alkylene moiety substituted with at least one C-l to C-4 alkyl, xl, x, x2, x3, x4 and yl are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alky lene (alkylene-oxy-C(=O)- alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene);

48. The block copolymer of claim 47, wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

49. The block copolymer of claim 47, wherein R4 is H.

50. The block copolymer of claim 47, wherein R4 is a C-l to C-8 alkyl

51. The block polymer of any one of claims 1 to 4, 7 to 9, 11 to 20 and 23 which has structure (8), wherein

Raik is a C-l to C-8 alkyl, Rsi, Ri, FC and R3, are independently selected from H or a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, RLI and Ru are independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, Rcap is a C-l to C-8 alkyl, and xl, x, andyl are independently the number ofthe respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy).

52. The block copolymer of claim 51 , wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

53. The block copolymer of claim 51 , wherein R4 is H.

54. The block copolymer of claim 51, wherein R4 is a C-l to C-8 alkyl.

55. The block polymer of any one of claims 1 to 4, 5, 6, 11 to 20, and 23, which has structure (9), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, R2, Rza, R3, and FC;I. are independently selected from H or a C-l to C-8 alkyl, R4 is a C-5 to C- 18 perfluoroalkyl pendant group comprising at least one ether linkage, RLI and RL2 are independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, Rcap is a C-l to C-8 alkyl, and x, xl, x2, and yl are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C- 1 to C-4 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

56. The block copolymer of claim 55, wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

57. The block copolymer of claim 55, wherein R4 is H.

58. The block copolymer of claim 55, wherein R4 is a C-l to C-8 alkyl.

59. The block polymer of any one of claims 1 to 4, 5, 6, 11 to 20, and 23, which has structure (10), wherein

Raik is a C-l to C-8 alkyl, Rsi,Ri, Ria, R2, R23, R3, and R3a, are independently selected from H, and a C-l to C-8 alkyl, R4is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RLI and RL2 are independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, xl, x, x2, x3, x4 andyl are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene);

60. The block copolymer of claim 59, wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

61. The block copolymer of claim 59, wherein R4 is H.

62. The block copolymer of claim 59, wherein R4 is a C-l to C-8 alkyl.

63. The block copolymer of any one of claims 1 to 4, 7 to 9, 10, 13 to 20, 22 and 23, which has structure

(11), wherein Raik is a C-l to C-8 alkyl, Rsi, Ri, FC and R3, are independently selected from H or a C-l to C-8 alkyl, F is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL3 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C- 3 linear alkylene moiety substituted with at least one C- 1 to C-4 alkyl, ICapi is a C- 1 to C-8 alkyl or a C-2 to C- 8 carbonylalkyl, and xl, x, and y2 are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene -oxy);

64. The block copolymer of claim 63, wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

65. The block copolymer of claim 63 , wherein R4 is H.

66. The block copolymer of claim 63, wherein R4 is a C-l to C-8 alkyl.

67. The block copolymer of any one of claims 1 to 3, 5, 7 to 9, 11, 13, 16 to 20, 22 and 23 „ which has structure (12), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, R2, Rza, R3, and R3a, are independently selected from H or a C-l to C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, RL3 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C-3 linear alkylene moiety substituted with at least one C-l to C-4 alkyl, Rcapi is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, and x, xl, x2, and y2 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

68. The block copolymer of claim 67, wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

69. The block copolymer of claim 67, wherein R4 is H.

70. The block copolymer of claim 67, wherein R4 is a C-l to C-8 alkyl.

71. The block copolymer of any one of claims 1 to 4, 5, 6, 12, 13, 16 to 20, 22 and 23 which has structure

(13), wherein Raik is a C-l to C-8 alkyl, Rsi, Ri, Ria, R2, R23, R3, and R3a, are independently selected from H, and a C- 1 to C-8 alkyl, R4 is selected from the group consisting of H, a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C-3 linear alkylene moiety substituted with at least one C-l to C-4 alkyl, RL3 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C-3 linear alkylene moiety substituted with at least one C-l to C-4 alkyl, Rcapi is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, x2, x3, x4 and y2 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene);

72. The block copolymer of claim 71 , wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

73. The block copolymer of claim 71 , wherein R4 is H.

74. The block copolymer of claim 71, wherein R4 is a C-l to C-8 alkyl.

75. The block copolymer of any one of claims 1 to 4, 7 to 9, 10, 13 to 20 and 24, which has structure

(14), wherein ,ik is a C-l to C-8 alkyl, Rsi Ri, R2, and R3, are independently selected from H or a C-l to C-8 alkyl, R4is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL4 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C- 3 linear alkylene moiety substituted with at least one C- 1 to C-4 alkyl, Rcap2 is a C- 1 to C-8 alkyl, Rcapi is a C- 1 to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, and y3 are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

76. The block copolymer of claim 75, wherein R.4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

77. The block copolymer of claim 75, wherein R4 is H.

78. The block copolymer of claim 75, wherein R4 is a C-l to C-8 alkyl.

79. The block copolymer of any one claims 1 to 3, 5, 7 to 9, 11, 13, 16 to 20, and 24 which has structure

(15), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, R2, Rza, R3, and FCa. are independently selected from H or a C- 1 to C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, RL4 is a C-2 to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R^ is a C- 1 to C-8 alkyl or a C-2 to C-8 carbonylalkyl, x2, x3, x4 and y3 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

80. The block copolymer of claim 79, wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

81. The block copolymer of claim 79, wherein R4 is H.

82. The block copolymer of claim 79, wherein R4 is a C-l to C-8 alkyl.

83. The block copolymer of any one of claims 1 to 4, 5, 6, 12, 13, 16 to 20 and 24 which has structure

(16), wherein Raik is a C-l to C-8 alkyl, Rsi, Ri, Ria, R2, Ria. R3, and 'a. are independently selected from H, and a C- 1 to C-8 alkyl, R4 is selected from the group consisting of H, a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL4 is an unsubstituted C-2 to C-4 alkylene or a C- 2 to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, RcaP2 is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, x2, x3, x4 and y3 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene);

52

84. The block copolymer of claim 83, wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

85. The block copolymer of claim 83 , wherein R4 is H.

86. The block copolymer of claim 83, wherein R4 is a C-l to C-8 alkyl.

87. The block copolymer of any one of claims 1 to 4, 7 to 9, 11 to 20 and 24, which has structure (17), wherein Raik is a C-l to C-8 alkyl, Rsi Ri, FC and R3, are independently selected from H or a C-l to C-8 alkyl,

R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, Ru and RL4 are independently an unsubstituted C- 1 to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R^p? is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, x 1 , x, and y3 are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene -oxy);

88. The block copolymer of claim 87, wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

89. The block copolymer of claim 87, wherein R4 is H.

90. The block copolymer of claim 87, wherein R4 is a C-l to C-8 alkyl.

91. The block copolymer of any one of claims 1 to 4, 5, 6, 11 to 20, and 24, which has structure (18), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, R2, Rza, R3, and R3a, are independently selected from H or a C-l to

C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, Ru and RL4 are independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R^ is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, x2, x3, x4 and y3 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy);

92. The block copolymer of claim 91 , wherein R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage.

93. The block copolymer of claim 91 , wherein R4 is H.

94. The block copolymer of claim 91, wherein R4 is a C-l to C-8 alkyl.

95. The block copolymer of any one of claims 1 to 4, 5, 6, 11 to 20, and 24, which has structure (19), wherein Raik is a C-l to C-8 alkyl, Ri, Ria, R2, FCa. R3, and FCa. are independently selected from H, and a C-l to

C-8 alkyl, Ru and Ruarc independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C- 1 to C-4 alkyl, R4 is selected from the group consisting of H, a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL4 is an unsubstituted C-2 to C-4 alkylene or a C-2 to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R^ is a C- 1 to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, x2, x3, x4 and y3 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alky lene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene);

96. The block copolymer of claim 95, wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

97. The block copolymer of claim 95, wherein R4 is H.

98. The block copolymer of claim 95, wherein R4 is a C-l to C-8 alkyl.

99. A composition comprising at least one block copolymer of any one of claims 1 to 98 and a solvent.

100. The composition of claim 99 further comprising a second different block copolymer.

101. The composition of any one of claims 99 to 100, wherein said second different block copolymer has a poly dispersity ranging from 1.0 to about 1.1, and a Mw ranging from about 30,000 g/mole to about 100,000 g/mole.

102. The composition of any one of claims 99 to 101, wherein said second block copolymer is a block copolymer of styrene or a substituted styrene monomer, with a comonomer selected from the group consisting of an alkyl 2-methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2) , a lactone; a cyclic carbonate, an oxirane, and an oxetane.

103. The composition of claim 100, wherein said second different block copolymer is a block copolymer of unsubstituted styrene or a substituted styrene monomer, with an alkyl 2-methylenealkanoate.

104. The composition of claim 100 or 104, wherein said second different block copolymer is a block copolymer of styrene with methyl methacrylate.

105. The composition of Claim 99 further comprising a homopolymer.

106. The composition of claim 99 wherein it comprises at least two different block copolymers having structure (1),

(A)-(C)-(B) (1); wherein (A) is a first polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) an (lb), wherein Ar, Ara, An, and Are are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units; wherein the structures (lai), (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures (lai), (la2) and (la3); and, wherein the Mw of (A) ranges from about 5,000 to about 100,000 g/mole,

Ara (lai) Arb (la2) Are (la3); wherein (C) is a spacer moiety comprising structure (1c), wherein Arc and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage,

(B) is a second polymer block segment comprising repeat units derived from either an alkyl 2- methylenealkanoate, a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol; wherein said block copolymer comprising structure (1) has a Mw ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20; wherein further said composition is one wherein, at least one said block copolymer has either Arc, Ara, or both substituted with at least one moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, and at least one said block copolymer in said composition in one in which neither Arc and Ara has as a substituent a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

107. The composition of claim 106 wherein said mixture is one of a first and second block copolymer of structure (1), wherein said first block copolymer has either Arc, Ara, or both substituted with at least one moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage and wherein (A) consist of either structure (la), structure (lb) or a mixture of structure (la) and (lb), and said second block copolymer is one in which (A) consist of structure (lb) and in which neither Arc, nor Ara, are substituted with a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

108. The composition of claim 107, wherein said first block copolymer is one wherein (A) consists of structure (la).

109. The composition of claim 107, wherein said first block copolymer is one wherein (A) consists of structure (lb).

110. The composition of claim 107, wherein said first block copolymer is one wherein (A) consist of a mixture of structures (la) and (lb).

111. The composition of claim 99 comprising at least two different block copolymers having structure (1),

(A)-(C)-(B) (1); wherein (A) is a first polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) an (lb), wherein Ar, Ara, Art,, and Are are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units;

56 wherein the structures (lai), (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures (lai), (la2) and (la3); and, wherein the M„ of (A) ranges from about 5,000 to about 100,000 g/mole,

Ara (lai) Arb (la2) Are (la3); wherein (C) is a spacer moiety comprising structure (1c), wherein Arc and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage,

(B) is a second polymer block segment comprising repeat units derived from either an alkyl 2- methylenealkanoate, a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol; wherein said block copolymer comprising structure (1) has a Mw ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20; and further wherein, at least one said block copolymer in said composition is one wherein (A) consists of structure (la), at least one said block copolymer in said composition is one wherein (A) consists of either structure (lb), or a mixture of structures (la) and (lb).

112. The composition of claim 111, wherein said composition is one wherein, at least one said block copolymer in said composition is one wherein (A) consists of structure (la), at least one said block copolymer in said composition is one wherein (A) has structure (lb).

113. The composition of claim 111, wherein said composition is one wherein, at least one said block copolymer in said composition is one wherein (A) has structure (la), and at least one said block copolymer in said composition is one wherein (A) has a mixture of structures (la) and (lb).

114. The composition of claim 113 wherein said mixture is one of a first and second block copolymer, wherein said first block copolymer is one wherein (A) consists of structure (la) and said second block copolymer in said composition is one wherein (A) consist of either structure (lb), or a mixture of structures (la) and (lb).

115. The composition of claim 114, wherein said composition is one wherein, said first block copolymer is one wherein (A) has structure (la), and said second block copolymer is one wherein (A) has structure (lb).

116. The composition of claim 114, wherein said composition is one wherein, said first block copolymer is one wherein (A) has structure (la), and said second block copolymer is one wherein (A) has is a mixture of structures (la) and (lb).

117. A method of vertically orienting first and second block copolymer domains over an unpattemed substrate using a layer of a block copolymer composition having a periodicity of Lo comprising the steps of: a) forming a coating layer of a block copolymer from the composition of any one of claims 99 to 116 on said unpattemed substrate; and, b) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpattemed substrate.

118. The method of claim 117, wherein said unpattemed substrate is one which is an unpattemed conventional neutral layer.

119. A method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times Lo and aligning the domains with the pattern, using a coating comprised of a block copolymer having a periodicity of Lo comprising the steps of: al) forming a coating layer of the composition of any one of claims 99 to 116 on said first topographical substrate, wherein the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and, bl) annealing the block copolymer layer to generate first and second block copolymer domains, vertically oriented on said first patterned substrate, and confined within the recessed region.

120. The method of claim 119, wherein said first patterned substrate is one which has at the bottom of said recessed region a layer of a conventional neutral layer.

121. A method of vertically orienting, first and second block copolymer domains with a periodicity of Lo over a second patterned substrate having a topographical pattern with the height of topography larger than 0.7

58 times Lo and a pitch P 1 where the pitch P 1 is a non-zero positive integer multiplied by Lo, and aligning the domains with the pattern comprising the steps of: a2) forming a coating layer of a block copolymer with the composition of any one of claims 99 to 116 on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and, b2) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch Pl the of topographical pattern.

122. The method of claim 121, wherein said second patterned substrate is one which has at the bottom of said recessed region a layer of a conventional neutral layer.

123. A method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattem having a pitch P2, where the pitch P2 is a non-zero positive integer multiplied by Lo and aligning the domains comprising the steps of: a3) forming a coating layer of the composition of any one of claims 99 to 116 on the substrate having a surface chemical prepattem; and, b3) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattem having a pitch P2.

124. The method of claim 122, wherein said surface chemical prepattem having a pitch P2 is one in which one of the elements having pitch P2 is a region of conventional neutral layer.

125. The use of a block copolymer according to any one of claims 1 to 98 or a composition according to any one of claims 99 to 116 for forming patterns in processes comprising directed self-assembly of block copolymers.

59

Description:
TUNABLE HIGH-CHI DIBLOCK COPOLYMERS CONSISTING OF ALTERNATING COPOLYMER SEGMENTS FOR DIRECTED SELF-ASSEMBLY AND APPLICATION THEREOF

FIELD

[0001] The disclosed subject matter pertains to a novel block copolymer, compositions comprising a novel block copolymer and processes using the inventive composition for producing perpendicular domains (e.g., lamellae, cylinders etc.) formed by self-assembly (SA) or directed self-assembly (DSA) of the novel block copolymers (BCPs). The processes are useful for fabrication of electronic devices.

BACKGROUND

[0002] In conventional lithography approaches, ultraviolet (UV) radiation may be used to expose through a mask onto a photoresist layer coated on a substrate or layered substrate. Positive or negative photoresists are useful, and these can also contain a refractory element such as silicon to enable dry development with conventional integrated circuit (IC) plasma processing. In a positive photoresist, UV radiation transmitted through a mask causes a photochemical reaction in the photoresist such that the exposed regions are removed with a developer solution or by conventional IC plasma processing. Conversely, in negative photoresists, UV radiation transmitted through a mask causes the regions exposed to radiation to become less removable with a developer solution or by conventional IC plasma processing. An integrated circuit feature, such as a gate, via or interconnect, is then etched into the substrate or layered substrate, and the remaining photoresist is removed. When using conventional lithographic exposure processes, the dimensions of features of the integrated circuit feature are limited. Further reduction in pattern dimensions are difficult to achieve with radiation exposure due to limitations related to aberrations, focus, proximity effects, minimum achievable exposure wavelengths and maximum achievable numerical apertures. Directed self-assembly is a promising approach which has been of interest in overcoming some of the drawbacks of conventional lithography as outlined above.

[0003] Specifically, directed self-assembly of block copolymers is a method useful for generating very small patterned features for the manufacture of microelectronic devices in which the critical dimensions (CD) of features usually on the order of nano scale ranging in feature size from 10 nm to 50 nm can be achieved. Achieving feature sizes below 10 nm using conventional approaches for directed self-assembly of block copolymers is challenging. Directed self-assembly methods such as those based on graphoepitaxy and chemical epitaxy of block copolymers are desirable for extending the resolution capabilities of lithographic technology. [0004] These techniques can be employed to either enhance conventional lithographic techniques by enabling the generation of pattern with higher resolution and/ or improving CD control for EUV, e-beam, deep UV or immersion lithography. The directed self-assembly block copolymer comprises a block of etch resistant polymeric unit and a block of highly etchable polymeric unit, which when coated, aligned and etched on a substrate give regions of high-resolution patterns.

[0005] Known examples of block copolymers suitable for directed self-assembly are ones capable of microphase separation and comprising a block rich in carbon (such as styrene or containing some other element like Si, Ge, and Ti) which is resistant to plasma etch, and a block which is highly plasma etchable or removable, which can provide a high resolution pattern definition. Examples of highly etchable blocks can comprise monomers which are rich in oxygen and which do not contain refractory elements and can form blocks which are highly etchable, such as methyl methacrylate. The plasma etching gases used in the etching process of defining the self-assembly pattern typically are those used in processes to make integrated circuits (IC). In this manner very fine patterns can be created on typical IC substrates compared to conventional lithographic techniques, thus achieving pattern multiplication.

[0006] In the graphoepitaxy directed self-assembly method, the block copolymers self-organizes on a substrate that is pre-patterned with conventional lithography (Ultraviolet, Deep UV, and e-beam, Extreme UV (EUV) exposure source) to form topographical features such as a line/space (L/S) or contact hole (CH) pattern. In an example of L/S directed self-assembly array, the block copolymer can form self-aligned lamellar regions with a sub-lithographic pitch in the trenches between sidewalls of pre-pattern, thus enhancing pattern resolution by subdividing the space in the trench between the topographical lines into finer patterns. Similarly, features such as contact holes can be made denser by using graphoepitaxy in which a suitable block copolymer arranges itself by directed self-assembly within an array of pre-patterned holes or pre-patterned posts defined by conventional lithography, thus forming a denser array of regions of etchable and etch resistant domains which when etched give rise to a denser array of contact holes. In addition, block copolymers can form a single and smaller etchable domain at the center of prepattem hole with proper dimension and provide potential shrink and rectification of the hole in prepattem. Consequently, graphoepitaxy has the potential to offer both pattern rectification and pattern multiplication.

[0007] In chemoepitaxy DSA methods, the self-assembly of the block copolymer occurs on a surface that has regions of differing chemical affinity but no or very slight topography to guide the self-assembly process. For example, the chemical prepattem could be fabricated using lithography (UV, Deep UV, e-beam, EUV) and nanofabrication process to create surfaces of different chemical affinity in a line and space (L/S) pattern. These areas may present little to no topographical difference but do present a surface chemical pattern to direct selfassembly of block copolymer domains. This technique allows precise placement of these block copolymer domains of higher spatial frequency than the spatial frequency of the prepattem. The aligned block copolymer domains can be subsequently pattern transferred into an underlying substrate after plasma or wet etch processing. In addition, Chemical epitaxy has the advantage that the block copolymer self-assembly can rectify variations in the surface chemistry, dimensions, and roughness of the underlying chemical pattern to yield improved line-edge roughness and CD control in the final self-assembled block copolymer domain pattern. Other types of paterns such as contact holes (CH) arrays could also be generated or rectified using chemoepitaxy.

[0008] The ability of a BCP to phase separate depends on the Flory Huggins interaction parameter (x). PS-b- PMMA (poly(styrene-block-methyl methacrylate) is the most promising candidate for directed self-assembly (DSA) applications. However, the minimum half-pitch of PS-b-PMMA is limited to about 10 nm because of lower interaction chi parameter (x) between PS and PMMA. To enable further feature miniaturization, a block copolymer with a larger interaction parameter between two blocks (higher chi) is highly desirable.

[0009] For lithography applications, orientation of the block copolymer domains perpendicular to the substrate is desirable. For a conventional block copolymer such as PS-b-PMMA in which both blocks have similar surface energies at the BCP-air interface, this can be achieved by coating and thermally annealing the block copolymer on a layer of non-preferential or neutral material that is grafted or cross-linked at the polymer- substrate interface. Due to larger difference in the interaction parameter between the domains of higher- /block copolymers, it is important to control both BCP-air and BCP-substrate interactions. Many orientation control strategies for generating perpendicularly oriented BCP domains have been implemented with higher- / BCPs. For example, solvent vapor annealing has been used for orientation control of polystyrene-b-polyethylene oxide (PS-b-PEO), polystyrene-b-polydimethylsiloxane (PS-b-PDMS), polystyrene-b-poly(2 -vinyl pyridine) (PS-b- P2VP), polylactide-b-poly(trimethylsilylstyrene) PLA-b-PTMSS and PDMS-b-PHOST. Introducing a solvent vapor chamber and kinetics of solvent vapor annealing may complicate DSA processing. Alternatively, the combination of neutral underlayers and topcoat materials has been applied to PS-b-P2VP, PS-b-PTMSS and PLA-b-PTMSS to achieve perpendicular orientation of the polymer domains. However, the additional topcoat materials may increase the process cost and complexity. Directing self-assembly (DSA) of polystyrene-b- polymethylmethacrylate (PS-b-PMMA) block copolymer is widely used as next generation lithography patterning. Microphase separation of diblock copolymer is used for feature size control in lithography. The widely used diblock copolymer such as PS-b-PMMA having chi parameter of ~ 0.04 can produce feature sizes from 23 nm and above in thin film morphology with appropriate underlayer or prepattem for DSA application. However, if one wants to reduce the feature sizes lower than 23 nm, higher-chi block copolymer is required as the critical molecular weight of phase separation can be reduced much lower and can have small feature sizes of sub 20 nm. The difficulty in orientating block domains perpendicular to the substrate is huge for high-chi block copolymer. It requires developing new underlayers and top-coat for assembling high-chi block copolymer.

SUMMARY

[0010] Thus, there is a need for novel tunable high-chi block copolymer whose chi (/) parameters (/ >0.04) is subtly altered so that it can orient in conventional underlayers for PS-b-PMMA DSA without a top-coat. To fulfill this need we have developed a series of new high-chi block copolymer and blends thereof whose chi parameter can be tuned depending on the comonomer concentration that can assemble on the underlayers that works for current 1st generation PS-b-PMMA without the need for specially underlayers specially tuned for high chi block block-copolymers. This is important as the industry does not need to change several parameters and apply this new high-chi system for DSA application. As a non-limiting example of these new high Chi block copolymers they are ones in which the non-polar high etch resistant polystyrene like block segment in PS-b-PMMA was modified using alternating copolymerization with diphenylethylene in the diblock copolymer synthesis prior to reaction with MMA or other monomers which can form the polar etchable block forming a series of new tunable high-chi diblock copolymer that can microphase separate into domain sizes much smaller than 20 nm. Interestingly, these new tunable high-chi block copolymers can be used directly for DSA on existing neutral underlayers and pinning materials used for non-high chi block copolymers such as PS-b-PMMA. This was done, for instance, as a non-limiting example, by copolymerizing diphenylethylene (DPE) derivatives of this monomers forming under anionic polymerization only an alternating copolymer segment in the block copolymer. This new non-polar copolymer segment, PS-Alt-DPE copolymer, was placed either at the beginning or at the end of the non-polar living chain which were then used to grow polar block such as PMMA or other derivatives in living anionic polymerization. The additional advantages of DPE and its derivatives is that these increase the T g , etch resistivity and to improve pattern transfer when this copolymer segment is incorporated into a diblock copolymer. Consequently, in addition to tuning the chi parameter, and flexibility of compatible underlayers, etch selectivity or resistivity can be improved via increasing the polymer’s T g , Ohnishi Parameter or Ring Parameter by increasing the DPE or DPE derivative content.

Applications that require tuning of etch resistance require high T g styrenic polymers that were obtained by alternative copolymerization with sterically hindered DPE type monomers. The polystyrenic copolymer segment described in this invention provides higher T g depending of the comonomer composition and increased etch resistance through an increase of the Ring Parameter, R to exhibit modulation in solubility as well as etch resistivity. As the synthesis is accomplished via living anionic copolymerization, the described diblock copolymer exhibits a narrow molecular weight distribution (Mw/Mn < 1.20). With PS-Alt-DPE copolymer segment’s sequence control in the anionic polymerization, the diblock copolymer is evenly distributed high-chi monomer unites at the interface of the segregated block copolymer and works with normal underlayers that are suitable for PS-b-PMMA DSA.

[0011] As a summary, this invention pertains to a block polymer comprising structure (1), compositions comprising this block copolymer, and a process of using these compositions in directed self-assembly.

(A)-(C)-(B) (1)

[0012] In structure (1), (A) is a polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) and (lb), wherein Ar, Ar a , Art,, and Ar e are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units.

[0013] Structures (lai), (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures (lai), (la2) and (la3). Further, the M w of (A) ranges from about 5,000 to about 100,000 g/mole.

[0014] In Structure (1), (C) is a spacer moiety comprising structure (1c), wherein Ar c and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

[0015] In Structure (1), (B) is a second polymer block segment comprising repeat units derived from an alkyl 2-methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2), a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol; and said block copolymer comprising structure (1) has a M w ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20.

BRIEF DESCRIPTION OF THE FIGURES

[0016] The accompanying drawings, which are included to provide a further understanding of the disclosed subject matter and are incorporated in and constitute a part of this specification, illustrate embodiments of the disclosed subject matter and together with the description serve to explain the principles of the disclosed subject matter .

[0017] FIG. 1 : FP of PS-b-P(S-Alt-DPE)-b-PMMA with 10, 20 and 30 mol% of DPE on styrene and MMA copolymer brush underlayer (Neutral Underlayer Synthesis Example 1). 1FOV SEM images, Process conditions: Neutral Underlayer Synthesis Example 1 : 230°C /4 hours (N2); EBR 2min, spin dry, 110°C /Imin, FT=30nm. (a) DPE 10 mol%, Lo = 21.9 nm, (b) DPE 20 mol%, Lo = 18.8 nm and (c) DPE 30 mol%, Lo = 21.27 nm.

[0018] FIG. 2: Collapsed free sub 20 nm L o FP with PS-b-P(S-Alt-DPE)-b-PMMA. 1FOV SEM images of PS-b-P(S-Alt-DPE)-b-PMMA with 20 mol% DPE, Lo =19 nm. Process conditions: Solution of Neutral Underlayer Synthesis Example 1: 230°C /4 hours (N2); EBR 2min, spin dry, 110°C /Imin, FT=30nm. (a) FP before etching, (b) after 15 sec O2/N2 etch and (c) cross-section SEM image after etching.

[0019] FIG. 3 : FP orientation differences of perpendicular vs parallel depending on the sequence distribution of SDPE in the PS-b-P(S-Alt-DPE)-b-PMMA vs P((S-Alt-DPE)(S-co-DPE))-DPE-b-PMMA with 10 % and 30 % DPE composition in the block copolymer segment on styrene and MMA copolymer brush underlayer (PGME solution of Neutral Underlayer Synthesis Example 1) 1FOV SEM images, Process conditions: PGMEA solution of Neutral Underlayer Synthesis Example 1: 230°C/4 hours (N2); EBR 2min, spin dry, 110°C/lmin, FT=30nm. (a) DPE 10 mol%, (b) DPE 30 mol% shows parallel assembly, No FP observed.

[0020] FIG. 4: SEM study showing that P(S-Alt-DPE)(S-co-DPE))-DPE-b-S)-b-PMMA which shows no orientation as such can be oriented with perfluoroether tag at the junction of P((S-Alt-DPE)-DPE(C8FE)-b- PMMA 2FOV SEM images, Process conditions: PGMEA solution of Neutral Underlayer Synthesis Example 1: 250°C/30 min (N2) ; EBR 2min, spin dry, 110°C/lmin, FT=30nm. (a) DPE 37 mol% shows PS post assembly, dry, 110°C/lmin, FT=30nm. (a) DPE 34 mol% shows 17 nm Lo;

[0021] FIG. 5: FP of P((S-Alt-DPE)(S-co-DPE)-DPE(C8FE)-b-PLA 1FOV SEM images, Process conditions: PGMEA solution of PMMA-OH: 170°C/ 5 min (air) ; EBR 2min, spin dry, 110°C/lmin, FT=30nm. (a) DPE 34 mol% shows 17 nm Lo.

[0022] FIG. 6: SEM study which shows P((S-Alt-DPE)-b-PMMA which does not show FP as such could be blended with regular PS-b-PMMA to achieve 20 nm Lo 1FOV SEM images, Process conditions: PGMEA solution of Neutral Underlayer Synthesis Example 1 : 250°C/ 30 min (N2) ; EBR 2min, spin dry, 110°C /Imin, FT=30nm. (a) Lo = 20.7 from a blend of example 9 P((S-Alt-DPE)(S-co-DPE))-DPE-b-PMMA with PS-b- PMMA at 50:50 wt%. (b)after 20 sec O2 etch shows no line collapsed.

[0023] FIG. 7: Graph showing the advantages of the PS-Alt-DPE copolymer segment in the novel block copolymers showing the change of T g for the new PS-Alt-DPE copolymer segment depending of the composition of 1,1 -diphenylethylene (DPE).

[0024] FIG. 8: Graph Enhanced etch resistance of new PS-Alt-DPE copolymer segment showing ~16 % higher resistivity for oxygen plasma, measured on a film (36nm thickness) over silicon substrate.

DETAILED DESCRIPTION

[0025] It is to be understood that both the foregoing general description and the following detailed description are illustrative and explanatory and are not restrictive of the subject matter as claimed. In this application, the use of the singular includes the plural, the word “a” or “an” means “at least one,” and the use of “or” means “and/or,” unless specifically stated otherwise. Furthermore, the use of the term “including,” as well as other forms such as “includes” and “included,” is not limiting. Also, terms such as “element” or “component” encompass both elements and components comprising one unit and elements or components that comprise more than one unit, unless specifically stated otherwise. As used herein, the conjunction “and” is intended to be inclusive and the conjunction “or” is not intended to be exclusive unless otherwise indicated. For example, the phrase “or, alternatively” is intended to be exclusive. As used herein, the term “and/or” refers to any combination of the foregoing elements including using a single element.

[0026] The section headings used herein are for organizational purposes and are not to be construed as limiting the subject matter described. All documents, or portions of documents, cited in this application, including, but not limited to, patents, patent applications, articles, books, and treatises, are hereby expressly incorporated herein by reference in their entirety for any purpose. In the event that one or more of the incorporated literature references and similar materials defines a term in a manner that contradicts the definition of that term in this application, this application controls.

[0027] Unless otherwise indicated, “alkyl” refers to hydrocarbon groups which can be linear, branched (e.g., methyl, ethyl, propyl, isopropyl, tert-butyl and the like), cyclic (e.g., cyclohexyl, cyclopropyl, cyclopentyl and the like) or multicyclic (e.g., norbomyl, adamantly and the like). These alkyl moieties may be substituted or unsubstituted as described below. The term “alkyl” refers to such moieties with C-l to C-8 carbons. It is understood that for structural reasons linear alkyls start with C-l, while branched alkyls and cyclic alkyls start with C-3 and multicyclic alkyls start with C-5. Moreover, it is further understood that moieties derived from alkyls described below, such as alkyloxy and perfluoroalkyl, have the same carbon number ranges unless otherwise indicated. If the length of the alkyl group is specified as other than described above, the above described definition of alkyl still stands with respect to it encompassing all types of alkyl moieties as described above and that the structural consideration with regards to minimum number of carbons for a given type of alkyl group still apply-

[0028] Alkyloxy (a.k.a. Alkoxy) refers to an alkyl group on which is attached through an oxy (-O-) moiety (e.g. methoxy, ethoxy, propoxy, butoxy, 1,2-isopropoxy, cyclopentyloxy cyclohexyloxy and the like). These alkyloxy moieties may be substituted or unsubstituted as described below.

[0029] Halo or halide refers to a halogen, F, Cl, Br or I which is linked by one bond to an organic moiety.

[0030] The term alkyl 2-methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2), refers to alkyl esters of a 2- methylenealkanoate, wherein said 2-methylenealkanoate may incorporate up to 11 carbon atoms, and said alkyl in alkyl 2-methylenealkanoate may incorporate up to 8 carbon atoms, selected from methyl, linear alkyls, branched alkyls and cyclic alkyls. The following structures give a general structure for such alkyl 2- methylenealkanoate, where Ralk a and Ralkb independently are selected from C-l to C-8 alkyl groups and show non-limiting examples of alkyl 2-methylenealkanoates falling within this scope.

[0031] As used herein the term lactone encompasses both mono-lactones (e.g., caprolactone) and di-lactones (e.g., lactide).

[0032] Haloalkyl refers to a linear, cyclic or branched saturated alkyl group such as defined above in which at least one of the hydrogens has been replaced by a halide selected from the group of F, Cl, Br, I or mixture of these if more than one halo moiety is present. Fluoroalkyls are a specific subgroup of these moieties.

[0033] Perfluoroalkyl refers to a linear, cyclic or branched saturated alkyl group as defined above in which the hydrogens have all been replaced by fluorine e.g., trifluoromethyl, pefluoroethyl, perfluoroisopropyl, perfluorocyclohexyl and the like).

[0034] Perfluoroalkyloxy or perfluoroalkoxy refers to a fluoroalkyl group, as defined above, on which is attached through an oxy (-O-) moiety and are completed fluorinated (a.k.a. perfluorinated).

[0035] The term “a moiety comprising a C-5 to C-18 perfluoroalkyl with least one ether linkage,” refers to a perfluoroether moiety in which at least one ether functional group is present between two perfluorinated carbons in a moiety comprising 5 to 18 perfluorinated carbons present as either as CF3, CF2, CF, or mixtures of these which can either be free of non-fluorinated carbons or contain, as the attachment point, a C-l to C-4 alkylene moiety (e.g. -CH2-, -CFfi-CFfi-and -CH2-CH2-CH2-, -CH2-CH2— CH2-CH2-). Specific, non-limiting examples of “a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage, are shown in structures (If) to (If 19).

[0036] The term “alkylene” refers to hydrocarbon groups which can be linear, branched or cyclic which has two or more attachment points (e.g., of two attachment points: methylene, ethylene, 1,2-isopropylene, a 1,4- cyclohexylene and the like; of three attachment points 1,1,1-subsituted methane, 1,1, 2-subsituted ethane, 1,2,4- subsituted cyclohexane and the like). Here again, when designating a possible range of carbons, such as C-l to C-20, as a non-limiting example, this range encompasses linear alkylenes starting with C-l but only designates branched alkylenes, or cycloalkylene starting with C-3. These alkylene moieties may be substituted or unsubstituted as described below.

[0037] The term “aryl” or “aromatic groups” refers to such groups which contain 6 to 24 carbon atoms including phenyl, tolyl, xylyl, naphthyl, anthracyl, biphenyls, bis-phenyls, tris-phenyls and the like. These aryl groups may further be substituted with any of the appropriate substituents, e.g. , alkyl, alkoxy, acyl or aryl groups mentioned hereinabove.

[0038] The term “arylene” refers to an aromatic hydrocarbon moiety which has two or more attachment points (e.g, 2-5), this moiety may be a single benzene moiety (e.g., two attachment points 1 ,4-phenylene, 1,3-phenylene and 1,2-phenylene; three attachment points 1,2,4-subsituted benzene, 1,3,5 -substituted benzene and the like), a polycyclic aromatic moiety with two attachment points such derived from naphthalene, anthracene, pyrene and the like, or a multiple benzene rings in a chain which have two attachment point (e.g., biphenylene). In those instances where the aromatic moiety is a fused aromatic ring, these may be called fused ring arylenes, and more specifically named, for instance, naphthalenylene, anthracenylene, pyrenylene, and the like. Fused ring arylenes may be substituted or unsubstituted as described below, additionally these fused ring arylenes may also contain a hydrocarbon substituent which has two attachment sites on the fused ring forming an additional aliphatic or unsaturated ring forming by attachment to the fused ring a ring having 5 to 10 carbon atoms.

[0039] The term “arene” encompasses aromatic hydrocarbon moieties comprising 1 ring or 2 to 8 carbon based aromatic rings fused together.

[0040] In a chemical structure herein, when a letter parameter (e.g. x, x2, x3, x4, y, yl, y2, y3 etc.) is designated as representing “the number of repeat units,” this term indicates that this describes a structure or part of a structure which is polymeric in nature

[0041] The term “heteroarene” refers to an arene which contains 1 or more frivalent or divalent heteroatoms respectively in such a way as to retain its aromaticity. Examples of such hetero atoms are N, O, P, and S. As nonlimiting examples, such heteroarenes may contain from 1 to 3 such hetero atoms.

[0042] Unless otherwise indicated in the text, the term “substituted” when referring to an aryl, alkyl, alkyloxy, fluoroalkyl, fluoroalkyloxy, fused aromatic ring, arene, heteroarene refers to one of these moieties which also contain with one or more substituents, selected from the group of unsubstituted alkyl, substituted alkyl, unsubstituted aryl, alkyloxyaryl (alkyl-O-aryl-), dialkyloxyaryl ((alkyl-O-)2-aryl), haloaryl, alkyloxy, alkylaryl, haloalkyl, halide, hydroxyl, cyano, nitro, acetyl, alkylcarbonyl, formyl, ethenyl (CH2=CH-), phenylethenyl (Ph- CH=CH-), arylethenyl (Aryl-CH=CH), and substituents comprising ethenylenearylene moieties (e.g., Ar(- CH=CH-Ar-) Z where z is 1 -3. Specific, non- limiting examples of substituted aryl and substituted aryl ethenyl substituent are as follows where “ ' /w* ” represents the point of attachment: [0043] Otherwise, substituted aryl, and substituted ethenyls, where the substituent is selected from any of the above substituents. Similarly, the term “unsubstituted” refers to these same moieties, wherein no substituents apart from hydrogen is present. As used herein, unless otherwise indicated, aryl refers to an aromatic moiety with one attachment point (e.g., phenyl, anthracyl, naphthyl and the like). Apart from the attachment point, aryl group may be substituted with alkyl groups, aryl groups or halides (e.g., F, Cl, I, Br). Arylene refers to an aromatic moiety with more than 1 attachment point. Apart from the attachment points, arylene group may be substituted with alkyl groups, or halides (e.g., F, Cl, I, Br) or aryl groups. Alkyl refers to a linear, branched or cyclic alkane moiety with one attachment point (e.g., methyl, ethyl, propyl, n-butyl, tert-butyl, cyclohexyl and the like). Apart from the attachment point, alkyl groups may be substituted with alkyl groups, aryl groups or halides (e.g., F, Cl, I, Br). Alkylene refers to a linear, branched or cyclic alkane moiety with more than one attachment point. Apart from the attachment point, alkylene groups may be substituted with alkyl groups, aryl groups, or halides (e.g., F, Cl, I, Br).

[0044] The term “-b-“ refers to “-block-“ and designates monomer repeat units that form block copolymer. The term “P” refers to “poly” when in front of a monomer acronym it designates the polymerized monomer (e.g., PS, designates poly(styrene) because S is the defined acronym for styrene).

[0045] In one embodiment the invention pertains to a block polymer comprising structure (1).

(A)-(C)-(B) (1)

[0046] In structure (1), (A) is a polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) and (lb), wherein Ar, Ar a , Art,, and Ar e , are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units.

[0047] Structures (lai) (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures (lai) (la2), and (la3). Further, the M w of (A) ranges from about 5,000 to about 100,000 g/mole. Ar

Ar a (lai) Ar b (la2) Ar e (la2)

[0048] In structure (1), (C) is a spacer moiety comprising structure (1c), wherein Ar c and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

[0049] In structure (1), (B) is a second polymer block segment comprising repeat units derived from an alkyl 2-methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2), a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol.

[0050] The block copolymer comprising structure (1) has a M w ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20.

[0051] In another embodiment the above described inventive block copolymers has a polydispersity ranging from 1.00 to about 1. 12. In another aspect of this embodiment it has a polydispersity ranging from 1.00 to about 1. 10. In another aspect of this embodiment it has a polydispersity ranging from 1.00 to about 1.08.

[0052] In another aspect of the above described the inventive block copolymer it is a block copolymer which can undergo self-assembly and form a finger print pattern on a standard neutral layer substrate such as derived from a random brush copolymer of styrene and methyl methacrylate, which acts a neutral layer for standard DSA block copolymer, such as block copolymers of styrene and methyl methacrylate.

[0053] In another aspect of the above described inventive block copolymer it is a block copolymer which can form L/S directed self-assembly, affecting either line multiplication or line rectification, using graphoepitaxy or chemoepitaxy L/S directly patterns on a substrate containing, as part of the this L/S directing pattern, conventional neutral layer surfaces derived from a standard neutral layer. In one aspect of this embodiment this neutral layer can be a brush random copolymer of styrene and methyl methacrylate, which acts a neutral layer for conventional DSA block copolymer such as block copolymers of styrene and methyl methacrylate which have a chi parameter of 0.04 or less.

[0054] In another embodiment of the above described inventive block copolymers (A) comprises a block copolymer segment of structure (la). In another aspect of this embodiment (A) consists of structure (la).

[0055] In another embodiment of the above described inventive block copolymers (A) comprises structure (lb). In another aspect of this embodiment (A) consists of structure (lb). [0056] In another embodiment of the above described inventive block copolymers (A) comprises a mixture of structure (la) and (lb). In another aspect of this embodiment (A) consists of a mixture of structures (la) and

(lb).

[0057] In another embodiment of the above described inventive block copolymers (C) comprises structure

(lc). In another aspect of this embodiment (C) consists of structure (1c).

In another embodiment of the above described inventive block copolymers it is one wherein (C) comprises structure (lei) wherein Rs an unsubstituted C-l to C-3 alkylene moiety or C-l to C-3 alkylene moiety substituted with at least one substituent selected from the group consisting of consisting a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage, Ar c , and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment, at least one of Ar c or Ara is substituted with at least one moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment Ar c and Ara are not substituted with a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment, Ar c and Ara are unsubstituted. In another aspect of this embodiment, at least one Ar c or Ara are is unsubstituted. In another aspect of this embodiment, at least one of Ar c is substituted with a C-l to C-8 alkyl. In another aspect of this embodiment (C) consists of structure (lei).

[0058] In another embodiment of the above described inventive block copolymers it is one wherein (B) is a polymer block segment whose repeat units are derived from an alkyl 2-methylenealkanoate as described above. In another aspect of this embodiment is it derived from an alkyl methacrylate. In another aspect of this embodiment is it selected from methyl methacrylate, ethyl methacrylate, butyl methacrylate.

[0059] In another embodiment of the above described inventive block copolymers it is one wherein (B) is a polymer block segment whose repeat units are derived from a lactone. In one aspect of this embodiment said lactone is selected from a mono lactone or a di -lactone. In another aspect of this embodiment said mono lactone is a 5 or 8 membered ring mono-lactone (e.g. y-butyrolactone (GBL), s-caprolactonc. and the like). In another aspect of this embodiment said lactone is a di-lactone (e.g. Lactide and the like). In another aspect said lactone is lactide.

[0060] In another embodiment of the above described inventive block copolymers it is one wherein (B) is a polymer block segment whose repeat units are derived from a cyclic carbonate. In one aspect of this embodiment said cyclic carbonate in one which has a 5 or 6 membered. In one aspect of this embodiment said cyclic carbonate is a 5 or 8 membered ring cyclic carbonate which is unsubstituted or substituted with at least one substituent selected from a C-l to C-8 alkyl, a C-4 to C-8 alkylene alkyl, a C-l to C-8 alkylene hydroxy, and an aryl, in another aspect of this embodiment it is a 5 to 6 membered ring cyclic carbonate. In one aspect of this embodiment said cyclic carbonate is selected from 1 ,2 -propylene carbonate, ethylene carbonate, butylene carbonate, styrene carbonate and propylene carbonate. In another aspect of this embodiment it is selected from 1,2-propylene carbonate and ethylene carbonate.

[0061] In another embodiment of the above described inventive block copolymers it is one wherein (B) is a polymer block segment whose repeat units are derived from an oxirane. In another aspect of this embodiment said oxirane may be ethylene oxide or an ethylene oxide derivative which is substituted with at least one substituent selected from with at least one substituent selected from a C-l to C-8 alkyl, a C-4 to C-8 alkylenealkyl, a C-l to C-8 alkylene hydroxy, an aryl.

[0062] In another embodiment of the above described inventive block copolymers it is one wherein (B) is a polymer block segment whose repeat units are derived from an oxetane

[0063] In another embodiment of the above described inventive block copolymers it is one which has structure (2), which has structure (2), wherein Raik is a C-l to C-8 alkyl, Rsi Ri, FC. and R3, are independently selected from H or a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, Re is a C-l to C-4 alkyl, Riis selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, and xl, x, and y are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). One aspect of this embodiment wherein R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is is a C- 1 to C-8 alkyl.

[0064] In another embodiment of the above described inventive block copolymers it is one which has structure (3), wherein Raik is a C-l to C-8 alkyl, Ri, Ri a , R2, Rza, R3, and R3a, are independently selected from H or a C-l to C-8 alkyl, Riis selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, Re is a C-l to C-4 alkyl, x2, x3, x4 and y are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl- alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy- alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0065] In another embodiment of the above described inventive block copolymers it is one which has structure (4), which has structure (4), wherein R a ik is a C-l to C-8 alkyl, Ri, Ri a , R2, R23, R3, and R3 a , are independently selected from H or a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, Re is a C-l to C-4 alkyl, x, xl, x2, x3, x4 and y are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0066] In another embodiment of the above described inventive block copolymers it is one which has structure (5), which has structure (5), wherein R^k is a C-l to C-8 alkyl, Rsi Ri, FC. and R3, are independently selected from H or a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, R5 is a C-l to C-8 alkyl, RLI is an unsubstituted C- 1 to C-4 alkylene or a C- 1 to C-4 alkylene moiety substituted with at least one C- 1 to C-8 alkyl, Reap is a C-l to C-8 alkyl, and xl, x, and yl are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0067] In another embodiment of the above described inventive block copolymers it is one which has structure (6), wherein Raik is a C-l to C-8 alkyl, Ri, Ri a , R2, Ria. R3, and FC a . are independently selected from H or a C-l to C-8 alkyl, Riis selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, RLI is an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-8 alkyl, R cap is a C-l to C-8 alkyl, x2, x3, x4, andyl are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0068] In another embodiment of the above described inventive block copolymers it is one which has structure (7), which has structure (7), wherein R a ik is a C-l to C-8 alkyl, Ri, Ri a , R2, Ria. R3, and R3 a , are independently selected from H, and a C-l to C-8 alkyl, R4 is selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, RLI is an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, xl, x, x2, x3, x4 and y 1 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl- alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy- alkylene). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0069] In another embodiment of the above described inventive block copolymers it is one which has structure

(8), wherein ,ik is a C-l to C-8 alkyl, Rsi Ri, Ri. and R3, are independently selected from H or a C-l to C-8 alkyl, Rus selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RLI and 12 are independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R cap is a C-l to C-8 alkyl, and xl, x, andyl are independently the number ofthe respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene -oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0070] In another embodiment of the above described inventive block copolymers it is one which has structure

(9), wherein ,ik is a C-l to C-8 alkyl, Ri, Ri a , R2, R2 a , R3, and R3 a , are independently selected from H or a C-l to C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, RLI and Rkzare independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R cap is a C-l to C-8 alkyl, and x, xl, x2, and y 1 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C- 1 to C-4 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl. [0071] In another embodiment of the above described inventive block copolymers it is one which has structure

(10), wherein R a ik is a C-l to C-8 alkyl, Rsi, Ri, Ri a , R?, Ria. R3, and ' a . are independently selected from H, and a C- 1 to C-8 alkyl, R4 is selected from the group consisting of H, a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RLI and o arc independently an unsubstituted C- 1 to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, xl, x, x2, x3, x4 and y 1 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonylalkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy- alkylene). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.. .

[0072] In another embodiment of the above described inventive block copolymers it is one which has structure

(11), wherein ,ik is a C-l to C-8 alkyl, R s i, Ri, Ri. and R3, are independently selected from H or a C-l to C-8 alkyl, Rus selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL3 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C- 3 linear alkylene moiety substituted with at least one C- 1 to C-4 alkyl, Rc api is a C- 1 to C-8 alkyl or a C-2 to C- 8 carbonylalkyl, and xl, x, and y2 are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0073] In another embodiment of the above described inventive block copolymers it is one which has structure

(12), wherein ,ik is a C-l to C-8 alkyl, Ri, Ri a , R?. Ria. R3, and R3 a , are independently selected from H or a C- 1 to C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, RL3 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C-3 linear alkylene moiety substituted with at least one C-l to C-4 alkyl, R capi is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, andx, xl, x2, andy2 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alky lene (alkylene-oxy-C(=O)- alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0074] In another embodiment of the above described inventive block copolymers it is one which has structure (13), wherein R a ik is a C-l to C-8 alkyl, Rsi, Ri, Ri a , R2, R23, R3, and R3 a , are independently selected from H, and a C- 1 to C-8 alkyl, R4 is selected from the group consisting of H, a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C-3 linear alkylene moiety substituted with at least one C-l to C-4 alkyl, RL3 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C-3 linear alkylene moiety substituted with at least one C-l to C-4 alkyl, R capi is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, x2, x3, x4 and y2 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0075] In another embodiment of the above described inventive block copolymers it is one which has structure (14), wherein Raik is a C-l to C-8 alkyl, R s i, Ri, R2, and R3, are independently selected from H or a C-l to C-8 alkyl, Rus selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, RL4 is an unsubstituted C-2 to C-3 linear alkylene or a C-2 to C- 3 linear alkylene moiety substituted with at least one C- 1 to C-4 alkyl, R cap 2 is a C- 1 to C-8 alkyl, R capi is a C- 1 to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, and y3 are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0076] In another embodiment of the above described inventive block copolymers it is one which has structure (15), wherein R a ik is a C-l to C-8 alkyl, Ri, Ri a , R2, R2 a , R3, and R3 a , are independently selected from H or a C- 1 to C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, RL4 is a C-2 to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R^ is a C- 1 to C-8 alkyl or a C-2 to C-8 carbonylalkyl, x2, x3, x4 and y3 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C- 1 to C-4 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0077] In another embodiment of the above described inventive block copolymers it is one which has structure (16), wherein R a it is a C-l to C-8 alkyl, Rsi, Ri, Ri a , R2, Ria. R3, and R3 a , are independently selected from H, and a C- 1 to C-8 alkyl, R4 is selected from the group consisting of H, a C- 1 to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL4 is an unsubstituted C-2 to C-4 alkylene or a C- 2 to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R cap 2 is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, x2, x3, x4 and y3 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C- 1 to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0078] In another embodiment of the above described inventive block copolymers it is one which has structure (17), wherein ,ik is a C-l to C-8 alkyl, Rsi Ri, and R3, are independently selected from H or a C-l to C-8 alkyl, Rus selected from the group consisting of H, a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, 12 and RL4 are independently an unsubstituted C- 1 to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, Rc aP 2 is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, and y3 are independently the number of the respective repeat unit, L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0079] In another embodiment of the above described inventive block copolymers it is one which has structure (18), wherein ,ik is a C-l to C-8 alkyl, Ri, Ri a , R2, R2 a , R3, and R3 a , are independently selected from H or a C- 1 to C-8 alkyl, R4 is a C-5 to C-l 8 perfluoroalkyl pendant group comprising at least one ether linkage, R12 and Ruarc independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C-l to C-4 alkyl, R cap 2 is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, x2, x3, x4 and y3 are independently the number of the respective repeat units, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-4 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety, a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene), and a C-l to C-4 (alkylene-oxy). In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl. [0080] In another embodiment of the above described inventive block copolymers it is one which has structure (19), wherein R a ik is a C-l to C-8 alkyl, Ri, Ri a , R?, R? a , R3, and R3 a , are independently selected from H, and a C-l to C-8 alkyl, Ri? and RLT are independently an unsubstituted C-l to C-4 alkylene or a C-l to C-4 alkylene moiety substituted with at least one C- 1 to C-4 alkyl, R4 is selected from the group consisting of H, a C- 1 to C- 8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, RL4 is an unsubstituted C-2 to C-4 alkylene or a C-2 to C-4 alkylene moiety substituted with at least one C- 1 to C-4 alkyl, Rca P 2 is a C-l to C-8 alkyl or a C-2 to C-8 carbonylalkyl, xl, x, x2, x3, x4 and y3 are independently the number of the respective repeat unit, and L is a linking group selected from the group consisting of a direct valence bond, a C-l to C-8 alkylene spacer, a C-l to C-4 alkyleneoxycarbonyl-alkylene (alkylene-oxy-C(=O)-alkylene) moiety and a C-2 to C-4 alkyleneoxyalkylene spacer (-alkylene-oxy-alkylene) In another aspect of this embodiment R4 is a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage. In another aspect of this embodiment R4 is H. In another aspect of this embodiment R4 is a C-l to C-8 alkyl.

[0081] Another embodiment of this invention is an inventive composition which is comprised of at least one of any of the above described inventive block copolymer of structure (1) and its substructures and a solvent.

[0082] For composition comprising at least novel block copolymer of structure (1) (or substructures described herein), and a solvent or mixture of solvents; suitable solvents dissolving either the novel block copolymer of structure (1) alone or in a composition with other components include standard spin casting solvents such as the non-limiting examples of propylene glycol monomethyl ether acetate (PGMEA), ethoxyethyl propionate, anisole, ethyl lactate, 2-heptanone, cyclohexanone, amyl acetate, n-butyl acetate, n-amyl ketone (MAK), gamma-butyrolactone (GBL), toluene, and the like. In an embodiment, specifically useful casting solvents include propylene glycol monomethyl ether acetate (PGMEA), gamma-butyrolactone (GBL), or a combination of these solvents. The wt% of the novel block copolymer having structure (1) in a solvent may range from about 0.2 to about 10 wt%. In another embodiment the range may be from 0.5 to 10 wt%. In yet another embodiment the range may be 0.5 to 5 wt%. In yet another more specific embodiment the range may be about 0.8 to about 1.2 wt%. In another aspect of this embodiment said novel block copolymer of structure (1) has a polydispersity from 1.0 to about 1.1 and a Mw ranging from about 30,000 g/mole to about 100,000 g/mole.

[0083] The inventive compositions described herein containing at least one block copolymer of structure (1) (or any of its substructures), alone or blended with other polymers as described herein are high chi material (chi>0.04) may be used in directed self-assembly using either graphoepitaxy or chemoepitaxy approaches. Specifically, in graphoepitaxy DSA where most of the novel block copolymer or a blend comprising at least one block copolymer of structure (1) is confined within the recessed region in the topographical prepattem, the domains of this novel composition subdivide the recessed region. Thus, coating of this novel block copolymer composition over a shallow, periodic topographical line and space (L/S) prepattem where the domains of this block copolymer are aligned perpendicular to the substrate at the bottom of the guiding pattern, even when this substrate comprises conventional neutral layer substrate surfaces areas (e.g. brush random copolymer of styrene and methyl methacrylate and the like), normally employed for non-high-chi block copolymers such as a block copolymer of styrene and methyl methacrylate which has a chi parameter of 0.04 or less).. Similarly, these novel compositions may also be used for chemoepitaxy DSA where a film comprising at these novel high chi formulations is coated over a chemical prepattem comprised of preferential and non-preferential regions (such as the non-limiting example of a conventional neutral region) with domains of the inventive block copolymer aligned to the wetting features. Unlike, conventional block polymers, the inventive high chi block formulation containing copolymer of structure (1), do not require the presence of substantially non-preferential regions and therefore provide the wider process latitude with respect to underlayer surface affinity. That is, these novel materials can form domains which orient perpendicular to the substrate during self-assembly for a wider range of underlayer surface property. If the graphoepitaxy or chemoepitaxy guiding pattern described above is a L/S guiding pattern this will result in the domains orienting themselves to form a L/S pattern between these guiding features to affect L/S pattern multiplication. In the same manner an existing contact hole CH prepattem may also be made to undergo pattern multiplication. Instead of pattern rectification these formulations may also be used to affect pattern rectification of existing pattern (e.g. L/S pattern, Contact hole pattern etc.) The high chi Characteristic of formulations comprising the inventive block copolymer of structure (1), can enable more robust SA performance across surfaces with imperfect or non-uniform surface properties, for example. The imperfect or non-uniform surface properties may be the result of damage, contamination, imperfect deposition/grafting conditions, impurities, poor compositional uniformity of the underlayer material, or other reasons.

[0084] In another aspect of this invention the novel composition comprised of the block copolymer having structure (1) is employed in a method for vertically orienting first and second block copolymer domains over an unpattemed substrate using a layer of a block copolymer having a periodicity of Lo comprising steps a) and b); wherein, said substrate is a convention neutral layer such as brush random copolymer of styrene and methyl methacrylate, or some other organic polymer which may act as a conventional neutral layer used for non-high chi polymer conventional block-copolymer such as block copolymers of styrene and methyl methacrylate which have a chi parameter of 0.04 or less). Lo is the natural pitch of an assembled block copolymer and tends to be proportional to the size of the copolymer.

[0085] In one embodiment of this inventive composition , the inventive block copolymer of structure (1), is used as a single block copolymer component in a composition with a solvent for use in a directed self-assembly (DSA) process as a high-chi block copolymer on the existing conventional neutral underlayers and pinning materials used for non-high chi block copolymers such as block polymer of PS-b-PMMA and other non-high- chi block copolymers as describe herein.. In one aspect of this embodiment the directed-self-assembly process is a chemoepitaxy process. In another aspect of this embodiment this directed self-assembly process is a chemoepitaxy process.

[0086] In another aspect of this inventive composition, the block copolymers of structure (1) and its substrates can used as at least one polymer component in a solvent which also contains another type polymer such as a homopolymer or a block polymer which is not of structure (1) for directed self-assembly (DSA) as a high-chi block material on the conventional neutral underlayers and pinning materials used for non-high chi block copolymers such as block polymer of PS-b-PMMA and other non-high block copolymers( as describe herein), to affect perpendicular orientation on this substrate..

[0087] To demonstrate this in the Examples section herein conventional neutral layer were used to affect directed self-assembly. A conventional neutral layer whose polymer synthesis and formulation are describe respectively in “Neutral Underlayer Synthesis Example 1,” and “Preparation of P(S-co-MMA) or PMMA-OH brush solutions.”

[0088] Other types of conventional neutral layers may also be used with the inventive high chi composition described herein to affect perpendicular orientation of block copolymer domains in either graphoepitaxy or chemoepitaxy processing, of the inventive block copolymer of structure (1), apart from random brush copolymer of styrene and methyl methacrylate other type of polymers or inorganic neutral layer substrate surface areas as known in the art may be used in chemoepitaxy or graphoepitaxy employing formulations comprising the inventive block copolymer of structure (1). As non-limiting examples, a substrate which has a coating of high carbon underlayer, or a high carbon polymer underlayer, and a neutral silicon antireflective coating may be used as a conventional neutral layer on a substrate. The high carbon underlayer can have coating thickness of about 20 nm to about 2 microns. In some embodiment the high carbon underlayer is overcoated with a silicon antireflective coating of about 10 nm to about 100 nm. In instances where an orientation of the self-assembled cylinder of the novel block copolymer perpendicular to the substrate is desired a conventional neutral layer may also be employed.

[0089] The inventive block copolymers of structure (1) may be used in formulation containing at least one of these employed also in a variety of other substrates which are used as conventional neutral layers such as those prepared by chemically vapor deposition (CVD), physical vapor deposition (PVD) and atomic layer deposition (ALD). The preparation of substrates using these CVD and PVD is discussed in the following reference: "HANDBOOK OF THIN-FILM DEPOSITION PROCESSES AND TECHNIQUES, Principles, Methods, Equipment and Applications, Second Edition, Edited by Krishna Seshan, Intel Corporation, Santa Clara, California, Copyright © 2002 by Noyes Publications, Library of Congress Catalog Card Number: 2001135178, ISBN: 0-8155-1442-5, Noyes Publications / William Andrew Publishing, 13 Eaton Avenue Norwich, NY 13815 , Chapter 1 title: Deposition Technologies and Applications: Introduction and Overview, page 11-page 43, Chapter 1 Authors: Werner Kern and Klaus K. Schuegraf." The preparation of substrates by ALD is described in the following reference: "Chemical Review 2010, 110, pagel l l-131."

[0090] These conventional neutral layers are used with non-high-chi block copolymer having a chi parameter of 0.04 or less, such as the non-limiting example of block copolymers of styrene and methyl methacrylate.

[0091] Solutions containing the novel block copolymer of structure (1) can further comprise additional components and/or additives selected from the group consisting of: inorganic -containing polymers; additives including small molecules, inorganic-containing molecules, surfactants, photoacid generators, thermal acid generators, quenchers, hardeners, cross-linkers, chain extenders, and the like; and combinations comprising at least one of the foregoing, wherein one or more of the additional components and/or additives co-assemble with the block copolymer to form the block copolymer assembly.

[0092] In another embodiment of this invention, the inventive composition comprising the first block copolymer of structure (1) may further contain a second different block copolymer than block copolymer of structure (1). In this embodiment of the invention the second block copolymer different from the first block copolymer may be present from about 5 to about 50 wt % of total solids. In another aspect of this embodiment said second block copolymer has a poly dispersity ranging from 1.0 to about 1.1, and a Mw ranging from about 30,000 g/mole to about 100,000 g/mole.

[0093] In a further embodiment of the inventive composition, the composition comprises the block copolymer of structure (1) and a second different block copolymer which comprises blocks derived from either ethylenically unsaturated monomers or cyclic monomers as described above for the novel block copolymers. A specific example of a suitable second diblock copolymer containing a high etch resistant block and a highly etchable block would be the block copolymer poly(styrene-b-methylmethacrylate). Typically, the block copolymers suitable for being used in these inventions as an additional component to the inventive block copolymers have a weight-averaged molecular weight (M w ) in the range of about 3,000 to about 500,000 g/mol and a number averaged molecular weight (M n ) of about 1,000 to about 60,000. In another more specific aspect of this embodiment M w ranges from about 30,000 g/mole to about 100,000. Another aspect of this embodiment is that the poly dispersity of said second different block polymer poly dispersity (M w /M n ) (PD) ranges from 1.0 to about 6, or 1.0 to about 2 or 1.0 to about 1.5 or 1.0 to about 1.3, or 1.0 to about 1.2, or 1.0 to about 1.1. In one aspect of this embodiment said second block copolymer is a block copolymer of styrene or a substituted styrene monomer, with a comonomer selected from the group consisting of an alkyl 2-methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2), a lactone; a cyclic carbonate, an oxirane, and an oxetane. Other specific nonlimiting examples of second different block copolymers are poly(styrene-b-methyl methacrylate), poly(styrene- b-butadiene), poly(styrene-b-isoprene), poly(styrene-b-methyl methacrylate), poly(styrene-b-alkenyl aromatics), poly(styrene-b-(ethylene-propylene)), poly(styrene-b-t-butyl (meth)acrylate), poly(styrene-b- tefrahydrofuran), poly(styrene-b-ethyleneoxide), poly(styrene-b-dimethylsiloxane), poly(methyl methacrylate- b-dimethylsiloxane), and poly(methylmethacrylate-b-4-vinylpyridine)). All these polymeric materials share in common the presence of at least one block which has repeat units resistant to plasma etching techniques typically employed in manufacturing IC devices, and at least one block which etches rapidly under these same conditions or that can be removed by chemical or photochemical processes. This allows for the directed selfassembled polymer to pattern transfer onto the substrate to affect via formation. In this embodiment of the invention the second block copolymer may be present from 1 to 20 wt%, or more preferentially from about 5 to about 10 wt % of total solids.

[0094] A further embodiment of this inventive composition is where the composition comprises, in additional to the inventive block copolymers described herein, a homopolymer as an additional component. This homopolymer may be a homopolymer derived from any of the ethylenically unsaturated monomers or cyclic monomer previously described above as suitable precursors for the block of the novel block copolymer having structure (1). Such a homopolymer component may be present in a composition in a level range from about 0.5 to about 10 wt % or more specifically about 1 to about 5 wt % of solids. In one aspect of this embodiment said homopolymer is derived from an ethylenically unsaturated monomers or a cyclic monomer, whose monomer chemical structures are described in more detail herein. Typically, homopolymer suitable for being used in these inventions as an additional component to the inventive block copolymers have a weight-averaged molecular weight (M w ) in the range of about 3,000 to about 500,000 g/mol and a number averaged molecular weight (M n ) of about 1,000 to about 60,000. In another more specific aspect of this embodiment M w ranges from about 30,000 g/mole to about 100,000. Another aspect of this embodiment is that the polydispersity of said homopolymer poly dispersity (M w /M n ) (PD) ranges from 1.0 to about 6, or 1.0 to about 2 or 1.0 to about 1.5 or 1.0 to about 1.3, or 1.0 to about 1.2, or 1.0 to about 1.1.

[0095] Another inventive composition is a first composition comprising at least two different block copolymers having structure (1), as described herein.

(A)-(C)-(B) (1)

[0096] Specifically, wherein in the different block copolymers in this first composition (A) is a first polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) and (lb), wherein Ar, Ar a , An, and Ar e , are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units; wherein the structures (lai) (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures ((lai), (la2) and (la3); and, wherein the M w of (A) ranges from about 5,000 to about 100,000 g/mole,

Ar a (lai) Ar b (la2) Ar e (la3);

[0097] Specifically, wherein in the different block copolymers in this first composition, (C) is a spacer moiety comprising structure (1c), wherein Ar c and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage,

[0098] Specifically, wherein in the different block copolymers in this first composition, (B) is a second polymer block segment comprising repeat units derived from an alkyl 2-methylenealkanoate, a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol; wherein said block copolymer comprising structure (1) has a M w ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20. Further, wherein in the two different block copolymers in this first composition is one wherein at least one said block copolymer in said composition has either Ar c , Ara, or both substituted with at least a moiety which is substituted with at least one moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage, and least one said block copolymer in said composition in which neither Ar c or Ara has as a substituent a moiety which is substituted with at least one moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage.

[0099] In one aspect of said first composition, said mixture is one of a first and second block copolymer, wherein said first block copolymer has either Ar c , Ara, or both substituted with at least one moiety comprising a C-5 to C-18 perfluoroalkyl with at least one ether linkage and wherein (A) consist of either structure (la), structure (lb) or a mixture of structure (la) and (lb), and said second block copolymer is one in which (A) consist of structure (lb) and in which neither Ar c , nor Ara, are substituted with a moiety comprising a C-5 to C- 18 perfluoroalkyl with at least one ether linkage. In one aspect of this embodiment said first block copolymer is one wherein (A) consists of structure (la). In another aspect of this embodiment said first block copolymer is one wherein (A) consists of structure (lb). In another aspect of this embodiment said first block copolymer is one wherein (A) consists of a mixture of structures (la) and (lb).

[0100] Another inventive composition is a second composition comprising of at least two different block copolymers having structure (1).

(A)-(C)-(B) (1);

[0101] Specifically, wherein in the different block copolymers in this second composition, (A) is a first polymer block segment comprising a copolymer block segment selected from structure (la), structure (lb), and a mixture of structures (la) and (lb), wherein Ar, Ar a Ar b and Ar e are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage, x, xl, x2 and x3 and x4 are independently the number of repeat units; wherein the structures (lai) (la2) and (la3), which are present in structure (la), structure (lb) or a mixture of structures (la) and (lb), are present in amounts such that the mole % of structure (lai) ranges from about 3 mole % to about 40 mole %, as measured against to sum of structures ((lai) (la2) and (la3); and, wherein the M w of (A) ranges from about 5,000 to about 100,000 g/mole.

[0102] Specifically, wherein in the different block copolymers in this second composition , (C) is a spacer moiety comprising structure (1c), wherein Ar c and Ara are independently unsubstituted aryl moieties or aryl moieties with at least one substituent selected from the group consisting of a C-l to C-8 alkyl, and a moiety comprising a C-5 to C-l 8 perfluoroalkyl with at least one ether linkage.

[0103] Specifically, wherein in the different block copolymers in this second composition, (B) is a second polymer block segment comprising repeat units derived from either an alkyl 2 -methylenealkanoate, a lactone; a cyclic carbonate, an oxirane, or an oxetane, wherein the Mw of (B) ranges from about 5,000 g/mol to about 100,000 g/mol; wherein said block copolymer comprising structure (1) has a M w ranging from about 10,000 g/mol to about 200,000 g/mol and has a polydispersity of 1.00 to about 1.20. Further, in this second composition, at least one said block copolymer is one wherein (A) consists of structure (la), and at least one block copolymer in said composition is one wherein (A) consist of either structure (lb), or a mixture of structures (la) and (lb).

[0104] In another aspect of this second composition, at least one block copolymer in said composition is one wherein (A) consists of structure (la), and at least one block copolymer in said composition is one wherein (A) consist of a mixture of structures (la) and (lb).

[0105] In another aspect of this second composition, at least one said block copolymer is one wherein (A) has structure (la), and at least one said block copolymer is one wherein (A) has structure (lb).

[0106] In another aspect of this second composition, at least one said block copolymer is one wherein (A) has structure (la), and at least one said block copolymer wherein (A) has a mixture of structures (la) and (lb).

[0107] In another aspect of this second composition, it is a mixture of a first and second block copolymer of structure (1), wherein said first block copolymer is one wherein (A) consists of structure (la), and said second block copolymer in said composition is one wherein (A) consist of either structure (lb), or a mixture of structures (la) and (lb). In another aspect of this embodiment said first block copolymer is one wherein (A) has structure (la) and said second block copolymer is one wherein (A) consist of structure (lb). In another aspect of this embodiment said first block copolymer is one wherein (A) has structure (la) and said second block copolymer is one wherein (A) is a mixture of structure (la) and (lb).

[0108] The present invention relates to forming patterns using the inventive composition in processes comprising directed self-assembly of the novel block copolymer. Any process that comprises the step of directed self-assembly of the novel block copolymer may be used.

[0109] The composition comprising the novel block copolymer may be used to form films by applying the inventive composition a substrate by processes such as spin-casting, dip-coating, doctor blading, spraying, or any other known processes. Films of the block copolymer can have a thickness of 1-1000 nm and more particularly 1-130 nm. It is particularly thicknesses film equal or greater than 25 nm in particular in the thickness range of 25 to 125 nm. Films can be optionally annealed to promote self-assembly and remove defects. Annealing processes include thermal annealing, thermal gradient annealing, solvent vapor annealing, thermal solvent vapor annealing and the like. Thermal annealing can be carried out at a temperature above the glass transition temperature of the block copolymer and below the thermal decomposition temperature of the block copolymer. Thermal annealing may be carried out from a temperature of room temperature to about 300 °C. Thermal annealing can be performed for a period of about 10 sec to about 100 hours, more particularly about 30 seconds to about 1 hour. [0110] The block copolymers of the present invention can form self-assembled domains with various morphologies including lamella, cylinders, and spheres. The size (e.g., width) of these domains may be from about 1 nm to about 100 nm, from about 2 nm to about 30 nm, or more particularly from 3 nm to 20 nm. The present invention further relates to novel processes which employ the novel block copolymer of structure (1). One of the unexpected results imparted by the novel block copolymers of structure (1) is that, when they are coated on a substrate and made to undergo self-assembly, the underlayer does not need to be non-preferential (e.g., neutral). This is due to the larger process latitude (with respect to the underlayer surface affinity) for perpendicular alignment of the novel block copolymer domains imparted by their chi parameter. Another unexpected result, imparted by the novel block copolymers of structure (1), is that they afford block copolymer domains with perpendicular alignment relative to the substrate for much thicker block copolymer films than analogous block copolymers without the novel surface- active junction group. Specifically, ranges from 25 nm to 125 nm are possible.

[oni] As a non-limiting example of how this may be used in pattern transfer, when the novel block copolymer is coated on an underlayer (i.e., substrate) and further processed the block copolymer forms microphase- separated domains that comprise cylindrical microdomains oriented perpendicular to the underlayer surface. This is because neither domain has any preference to associate with the underlayer, and which further provide parallel line/space patterns in the block copolymer assembly. The domains, so oriented, are thermally stable under further processing conditions. Thus, after forming a coating layer of the novel block copolymer on an underlayer and causing it to self-assemble by baking and/or annealing, the domains of the block copolymer will form on and remain perpendicular to the underlayer surface.

[0112] One of the domains may be selectively removed in the presence of the other domain to generate an etched domain pattern. This selective removal may be accomplished by wet or dry processes. In one example wet or plasma etching could be used with optional UV exposure. Wet etching could be with acetic acid. Standard plasma etch process, such as a plasma comprising oxygen may be used; additionally, argon, carbon monoxide, carbon dioxide, CF4, CHF3, may be present in the plasma. In the case of a thermally decomposable polymer block, the selective removal may be accomplished by a thermal bake. In another example, one of the block copolymer domains may be selectively modified after self-assembly to increase etch resistance. For example, etch resistant metal or inorganic species may be introduced by chemical infiltration from solution or vapor. Domains or functional groups may be selectively reacted with reactive compounds such as silanes or silyl chlorides. Alternatively, cycles of atomic layer deposition (e.g., sequential infiltration synthesis) can be used to infiltrate etch-resistant materials into one domain. After modification, wet or dry etch processes may be used to remove the less resistant domain.

[0113] After selective removal process, the resulting etched domain pattern can be further patern transferred in the substrate layers. These self-assembled block copolymer paterns are transferred into the underlying substrate using known techniques. [0114] In some cases, it may be advantageous to invert the tone of the pattern prior to transfer into the substrate or after transfer into a layer of the substrate. This may be accomplished by standard tone-inversion processes such as backfilling an organic pattern with an etch-resistant spin-on dielectric tone-inversion material.

[0115] In the above processes, and the following inventive processes employing the inventive composition comprised of the novel block copolymer of structure (1), other type of substrate may be used. As an example, a substrate which has a coating of high carbon underlayer and a silicon antireflective coating may be used as a substrate. The high carbon underlayer can have coating thickness of about 20 nm to about 2 microns. Over this is coated a silicon antireflective coating of about 10 nm to about 100 nm. In instances where an orientation of the self-assembled cylinder of the novel block copolymer perpendicular to the substrate is desired a neutral layer may be employed.

[0116] This invention may be employed also in a variety of other substrates such as those prepared by chemically vapor deposition (CVD), physical vapor deposition (PVD) and atomic layer deposition (ALD). The preparation of substrates using these CVD and PVD is discussed in the following reference: “HANDBOOK OF THIN-FILM DEPOSITION PROCESSES AND TECHNIQUES, Principles, Methods, Equipment and Applications, Second Edition, Edited by Krishna Seshan, Intel Corporation, Santa Clara, California, Copyright © 2002 by Noyes Publications, Library of Congress Catalog Card Number: 2001135178, ISBN: 0-8155-1442- 5, Noyes Publications / William Andrew Publishing, 13 Eaton Avenue Norwich, NY 13815 , Chapter 1 title: Deposition Technologies and Applications: Introduction and Overview, page 11 -page 43, Chapter 1 Authors: Werner Kern and Klaus K. Schuegraf.” The preparation of substrates by ALD is described in the following reference: “Chemical Review 2010, 110, pagel 11-131.”

[0117] In another embodiment of this invention, the inventive compositions described herein comprising at least one block copolymer having structure ( 1 ) are employed in a method for vertically orienting first and second block copolymer domains over an unpattemed substrate using a layer of a block copolymer having a periodicity of Lo comprising steps a) and b), as follows: a) Forming a coating layer of a block copolymer from the inventive composition on said unpattemed substrate; and b) Annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpattemed substrate.

[0118] In the inventive method comprising steps a) and b), the unpattemed substrate may be selected from the group consisting of a polymer brush layer (e.g. bmsh random copolymer of styrene and methyl methacrylate), a cross-linked polymer layer, a self-assembled monolayer, a layer of anti-reflection coating, a layer deposited by chemically vapor deposition (CVD), a layer of carbon, a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD).

[0119] In one aspect of the inventive method comprising steps a) and b), said unpattemed substrate is one which is an unpattemed conventional neutral layer. [0120] In another embodiment of this invention, the inventive compositions described herein comprised of at least one block copolymer having structure (1) are employed in a method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times Lo and aligning the domains with the pattern, using a coating comprised of a block copolymer having a periodicity of Lo comprising steps al) and bl); as follows: al) Forming a coating layer of the block copolymer with a junction group with the composition of the aforementioned inventive composition comprised of the block copolymer having structure (1) on said first topographical substrate wherein the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and, bl) Annealing the block copolymer layer to generate first and second block copolymer domains, which are vertically oriented on said first patterned substrate, and confined within the recessed region.

[0121] In the method comprising steps al) and bl), the first patterned substrate is a topography-forming material over an underlayer where the topography -forming material may be selected from the group consisting of resist materials, a cross-linked polymer layer, a layer of anti-reflection coating, a layer deposited by chemical vapor deposition (CVD), a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD), and further where the underlayer is selected from the group consisting of a polymer brush layer (e.g. brush random copolymer of styrene and methyl methacrylate), a cross-linked polymer layer, a self-assembled monolayer, a layer of anti-reflection coating, a layer deposited by chemical vapor deposition (CVD), a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD). Furthermore, in this embodiment of the invention where the first patterned substrate is a resist material, it may be selected from the group consisting of i-line photoresists, g-line photoresists 284 nm photoresists, 193 nm photoresist, Extreme Ultraviolet photoresists, and electron beam photoresists. Another embodiment is where the first patterned substrate is an antireflective coating material and is selected from the group consisting of bottom antireflective coatings, silicon antireflective coatings, and titanium antireflective coatings.

[0122] In one aspect of the method comprising steps al) and bl), said first patterned substrate is one which has at the bottom of said recessed region a layer of a conventional neutral layer.

[0123] In another embodiment of this invention, the inventive compositions described herein comprised of at least one block copolymer having structure (1) are employed in a method of vertically orienting, first and second block copolymer domains with a periodicity of Lo over a second patterned substrate having a topographical pattern of which the height of topography is larger than 0.7 times Lo and a pitch Pi where the pitch Pi is a nonzero positive integer multiplied by Lo, and aligning the domains with the pattern, using the novel coating comprised of a block copolymer comprising steps a2) and b2), as follows: a2) Forming a coating layer from block copolymer with a surface-active junction group with aforementioned the composition of the inventive composition comprised of the block copolymer having structure (1) on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and, b2) Annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch Pi the of topographical pattern.

[0124] In the embodiment of the inventive process comprising steps a2) and b2), the first patterned substrate is prepared by treating topographical organic features on top of inorganic layer with plasma which selectively trims the organic features and modifies the exposed surface region of the inorganic layer. In a further embodiment of this embodiment the material of topographical organic features is selected from the group consisting of patterned i-line photoresists, g-line photoresists 248 nm photoresists, 193 nm photoresist, Extreme Ultraviolet photoresists, and electron beam photoresists over a thin underlayer. In a final embodiment of this embodiment the underlayer may be an antireflective coating, or an inorganic layer material is selected from silicon antireflective coatings, or titanium antireflective coatings.

[0125] In one aspect of the method comprising steps a2) and b2) said second patterned substrate is one which has at the bottom of said recessed region a layer of a conventional neutral layer.

[0126] In another embodiment of this invention, the inventive composition comprised of at least one block copolymer having structure (1) is employed in a method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattem having a pitch P 2 where the pitch P 2 is a non-zero positive integer multiplied by Lo and aligning the domains with the prepattem, using a coating comprised of a block copolymer where the block copolymer has a periodicity of Lo comprising steps a3) and b3), as follows: a3) Forming a coating layer of the block copolymer with a surface-active junction group with the inventive composition containing the block copolymer of structure (1) on the substrate having a surface chemical prepattem, b3) Annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattem having a pitch P 2 .

[0127] In another embodiment of the method comprised of step a3) and b3), the substrate having a surface chemical prepattem is comprised of alternating preferential wetting regions and orientation control regions where the sum of the width of preferential wetting regions and the width of the orientation control region is equal to the prepattem pitch P 2 , where the materials used in the orientation control region supports vertical orientation of the block copolymer domains, and the preferential wetting region has a lower interfacial energy to one of the block copolymer domain compared to the other block copolymer domain.

[0128] In another aspect of the method comprising steps a2) and b2), said surface chemical prepattem having a pitch P2 is one in which one of the elements having pitch P2 is a region of conventional neutral layer. [0129] The following specific examples will provide detailed illustrations of the methods of producing and utilizing compositions of the present invention. These examples are not intended, however, to limit or restrict the scope of the invention in any way and should not be construed as providing conditions, parameters or values which must be utilized exclusively in order to practice the present invention.

EXAMPLES

[0130] Reference will now be made to more specific embodiments of the present disclosure and experimental results that provide support for such embodiments. The examples are given below to more fully illustrate the disclosed subject matter and should not be construed as limiting the disclosed subject matter in any way.

[0131] It will be apparent to those skilled in the art that various modifications and variations can be made in the disclosed subject matter and specific examples provided herein without departing from the spirit or scope of the disclosed subject matter. Thus, it is intended that the disclosed subject matter, including the descriptions provided by the following examples, covers the modifications and variations of the disclosed subject matter that come within the scope of any claims and their equivalents.

Synthesis of block copolymers and relevant materials

Instruments and chemicals

[0132] All other chemicals, unless otherwise indicated, were obtained from the Millipore/Sigma Chemical Company (Millipore/Sigma Corp St. Louis, MO, USA) and used as received.

[0133] Unless otherwise indicated spinning and baking of films was done with Laurel WS-650-23B spin coater and a Tokyo Electron Ltd. Clean Track ACT-8. Nordson (300 Nordson Dr. M/S 47 Amherst, OH 44001 U.S.A. MARCH etcher was used for plasma etching of non-Si containing domain. Top down and cross section images were respectively taken on an AMAT (Applied Materials, Inc. 3050 Bowers Avenue P.O. Box 58039 Santa Clara, CA 95054-3299 U.S.A.) NanoSEM 3D and a Hitachi (Hitachi High Technologies America Inc. 10 North Martingale Road, Suite 500 Schaumburg, Illinois 60173-2295) S-5500.

Synthesis of l,l’-diphenylethylene-CsFE

[0134] 3 -Benzoylbenzyl bromide (9.9 g, 35.6 mmol), C8FE-OH (20.8 g, 43.2 mmol) and KOH (2.42 g, 43.2 mmol) in 40 mL of DMF were stirred at RT for overnight. Salt was filtered off by washing ethyl acetate and most solvent was evaporated. Silica column chromatography purification was done by Hex/EA=20: 1. and 17.6 g (73% isolated yield) was obtained as yellowish oil (Scheme 1). nBuLi (1.6M, 18.5 mL, 29.5 mmol) was added through cannula into triphenylphosphonium methylbromide (10.4 g, 29. 1 mmol) in THF at 0°C and this mixture was stirred about 1 hour until solid powder disappeared. At ice bath, C8FE-benzophenone (17.6 g, 26.0 mmol) in THF was added to reaction solution and stirred for 2hrs at room temperature. The reaction mixture was quenched with diluted HC1 aqueous solution and washed with water using ethyl acetate as an organic solvent. After evaporating solvents and it was dried with MgSCfi. Silica column chromatography was carried out with Hex/CHC13=40/l. 16.2 g of colorless oil was isolated as 92.5% yield (Scheme 2).

Scheme 2

BCP Example 1 :

Synthesis of (PS-b-P(S-Alt-DPE))-DPE-b-PMMA block copolymers:

[0135] Styrene and methyl methacrylate and 1,1 ’-diphenylethylene (DPE) monomers were distilled in the presence of dehydrating agents into calibrated ampules and stored under N2. Liquids were transferred into the reactor either via ampule or using stainless steel cannula under N2. Into a dry 1 L round bottom reactor equipped with side arms for connecting ampules, magnetic stir bar, nitrogen/vacuum three-way septum adapter, was added 700 mL dry tetrahydrofuran. The temperature of the reactor was reduced to -78 °C using dry ice-acetone bath. Then, after titrating the impurities, 0.71 mL (1.4 M solution) of s c-biityllithium was added into the reactor. Then 18 g (0. 173 moles) of styrene was added from ampule into the reactor under fast stirring. The reaction solution turned into yellow-orange and the reaction was stirred over 30 minutes. Subsequently, a mixer of 1.80 g (0.00998 moles) of 1,1 ’-diphenylethylene (DPE) and 0.91 g (0.00874 moles) of styrene was added via ampule into the reactor. The orange color of the reaction mixture turned into dark brick-red indicating conversion of styryl lithium active centers to styrene-Alt-DPE adduct carbanion. The dry ice-acetone bath was then removed, and the reaction mixture was stirred at RT for 3 hours. A small amount (2 mL) of the reaction mixture was withdrawn for PS-b-P(S-Alt-DPE) block molecular weight analysis. The temperature of the reactor was reduced to -78 °C using dry ice-acetone bath. Then methyl methacrylate (21.78 g, 0.218 moles) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10 % water, filtered, and dried at 70 °C for 12 h under vacuum giving 40 g of PS-b-P(S-Alt-DPE)-DPE-b-PMMA) (94% yield). Gel permeation chromatography equipped with IOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns showed that the 1 st PS-b-P(S-Alt-DPE) block had M n (GPC) = 22,000 g/mol and M w /M n = 1.10 with respect to PS calibration standards. The diblock copolymer molecular weight obtained from GPC is MnPS-6- P(S-Alt-DPE)-DPE-b-PMMA = 40,000 g/mol and M w /M n = 1.10.

BCP Examples 2-6 [0136] These PS-b-P(S-Alt-DPE)-DPE-b-PMMA block copolymers were synthesized using same procedure as Example 1 with different DPE mol% for target Lo.

BCP Example 7: Synthesis of P((S-Alt-DPE)(S-co-DPE))-DPE-b-PMMA block copolymers:

[0137] Styrene and methyl methacrylate and 1,1’ -diphenylethylene (DPE) monomers were distilled in the presence of dehydrating agents into calibrated ampules and stored under N2. Liquids were transferred into the reactor either via ampule or using stainless steel cannula under N2. Into a dry 1 L round bottom reactor equipped with side arms for connecting ampules, magnetic stir bar, nitrogen/vacuum three-way septum adapter, was added 700 mL dry tetrahydrofuran. The temperature of the reactor was reduced to -78 °C using dry ice-acetone bath. Then, after titrating the impurities, 1 mL (1.4 M solution) of scc-butyllithium was added into the reactor. Then a mixture of 17.63 g (0. 169 moles) of styrene and 3.46 g (0.0192 moles) of 1,1 ’-diphenylethylene (DPE) was added from ampule into the reactor under fast stirring. The reaction solution mixture turned into dark brick- red indicating conversion of styryllithium active centers to styrene-Alt-DPE adduct carbanion. The dry ice- acetone bath was then removed, and the reaction mixture was stirred at RT for 4 hours. A small amount (2 mL) of the reaction mixture was withdrawn for P(S-Alt-DPE)(S-co-DPE)-molecular weight analysis. The temperature of the reactor was then reduced to -78 °C using dry ice-acetone bath. Then methyl methacrylate (23.6 g, 0.0.238 moles) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10 % water, filtered, and dried at 70 °C for 12 h under vacuum giving 42 g of P(S-Alt- DPE) )(S-co-DPE)-DPE-b-PMMA) (94% yield). Gel permeation chromatography equipped with IOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragcl columns showed that the 1 st P((S-Alt-DPE)(S-co-DPE))- block had M n (GPC) = 16,160 g/mol and M„/M n = 1. 14 with respect to PS calibration standards. The diblock copolymer molecular weight obtained from GPC is MnP(S-Alt-DPE)(S-DPE)-DPE-b-PMMA = 36,250 g/mol and M w /M n = 1.2.

BCP Example 8-9

[0138] These P((S-Alt-DPE)(S-co-DPE))-DPE-b-PMMA were synthesized using same procedure with different DPE mol% for target Lo.

BCP Example 10 Synthesis of P((S-Alt-DPE)(S-co-DPE))-DPE(C8FE)-b-PMMA with perfluoroether tag at the junction of block copolymers:

[0139] Styrene and methyl methacrylate and 1,1 ’-diphenylethylene (DPE) monomers were distilled in the presence of dehydrating agents into calibrated ampules and stored under N2. Liquids were transferred into the reactor either via ampule or using stainless steel cannula under N2. Into a dry 1 L round bottom reactor equipped with side arms for connecting ampules, magnetic stir bar, nitrogen/vacuum three-way septum adapter, was added 700 mL dry tefrahydrofuran. The temperature of the reactor was reduced to -78 °C using dry ice-acetone bath. Then, after titrating the impurities, 0.84 mL (1.4 M solution) of scc-butyllithium was added into the reactor. Then a mixture of 10 g (0.151 moles) of styrene and 10 g (0.0.055 moles) of 1,1 ’-diphenylethylene (DPE) was added from ampule into the reactor under fast stirring. The reaction solution mixture turned into dark brick-red indicating conversion of styryllithium active centers to styrene-Alt -DPE adduct carbanion. The dry ice-acetone bath was then removed, and the reaction mixture was stirred at RT for 7 hours. A small amount (2 mL) of the reaction mixture was withdrawn for P((S-Alt-DPE)(S-co-DPE)) block molecular weight analysis. The temperature of the reactor was then reduced to -78 °C using dry ice-acetone bath. Then 0.45 g (0.0015 moles) l . l '-diphcnylcthylcnc-CTFE in 2.5 ml of dry toluene was added via ampule into the reactor. After 2 minutes methyl methacrylate (23.6 g, 0.0.238 moles) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10% water, filtered, and dried at 70 °C for 12 h under vacuum giving 41 g of P((S-Alt-DPE)(S-co-DPE))-DPE(C8FE)-b-PMMA) (94 % yield). Gel permeation chromatography equipped with lOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns showed that the 1 st P((S-Alt-DPE)(S-co-DPE)) block had M n (GPC) = 20,000 g/mol and M w /M n = 1.12 with respect to PS calibration standards. The diblock copolymer molecular weight obtained from GPC is MnP((S-Alt-DPE)(S-co- DPE))-DPE(C8FE)-b-PMMA = 38,450 g/mol and M w /M n = 1.2.

BCP Example 11 Synthesis of P((S-Alt-DPE)(S-co-DPE))-DPE(C8FE)-b-PLA with perfluoroether tag at the junction of block copolymers:

Synthesis of masked macroinitiator:

[0140] In a dried Schlenk flask, THF (74 mL) was added and cooled to -78C for titration with sBuLi. The mixture was warmed to RT. Distilled 1,1 -diphenylethylene, DPE (5.59 g, 0.03 mole) was weighed in a flask. Styrene (6.27 g, 0.06 mole) was degassed and distilled over CaH into the DPE-containing flask. The mixture was degassed using freeze-thaw technique. Tetrahydropyranyl-glycidol (2.82 g, 0.02 mole) was diluted with toluene (3 mL), freeze-thawed, then titrated with sBuLi. About 10% of the S-DPE mixture was transferred to the Schlenk flask by cannula and cooled to 0°C. The mixture was initiated with sBuLi (2.12 mL, 1.4M in cyclohexane) and stirred for 5 minutes. The remaining S-DPE mixture was added slowly, stirred for 15 minutes, then warmed to room temperature and stirred for 1 hour. The titrated THP-glycidol was added by cannula, followed by addition of methylene chloride (37 mL). Perfluoro-3,6,9-trioxadecanoic acid chloride (5. 11 g, 0.01 mole) was added along with triethylamine (1.65 g, 0.01 mole) and dimethylaminopyridine (0.18 g, 1.5 mmole) and the mixture was stirred overnight. The mixture was precipitated into IPA to isolate the THP-protected macroinitiator (13.5 g, 99.2%). GPC analyses: 4,048 g/mol Mn, 4,784 g/mol Mw, 1.18 PDI.

Synthesis of macroinitiator:

[0141] The THP protected macroinitiator (13.5 g, 2.82 mmole) was dissolved in MeOH (13.5 mL), then paratoluenesulfonic acid (1.61 g, 8.47 mmole) was added and the mixture stirred overnight at room temperature. The mixture was precipitated twice in isopropanol. The polymer was collected, and vacuum dried overnight at 70°C as a white solid (10.44 g, 79.0%). GPC analyses: 4,351 g/mol Mn, 4,953 g/mol Mw, 1.14 PDI.

Synthesis of diblock copolymer: [0142] The macroinitiator (2 g, 0.04 mmol), lactide (1.68 g, 0.01 mole), and indium (III) chloride (0.09 g, 0.04 mmole) were added into a Schlenk flask and warmed under vacuum for 2 hours. Dichloromethane (16 mL) was added and the mixture stirred until completely dissolved. Triethylamine (0. 11 mL, 0.08 mmole) was added to initiate the polymerization. The mixture was stirred overnight at room temperature. Acetyl chloride (0. 11 g, 1.4 mmole) and triethylamine (0. 14 g, 1.4 mmole) were added to cap the end hydroxyl group. The mixture was stirred for 1 hour, then precipitated into isopropanol. The solid was collected and dried in a vacuum oven. The polymer was redissolved in THF, then reverse precipitated with cyclohexane. Once the unreacted P((S- Alt-DPE)(S-co-DPE)) block was fractionated off, the polymer was redissolved in THF and precipitated into IPA. The polymer was dried in a vacuum oven as a white powder (2.05 g, 65.4%). GPC analyses: 13,832 g/mol Mn, 15,074 g/mol Mw, 1.09 PDI.

Comparative Example 1 Synthesis of PS-b-PMMA block copolymers:

[0143] P(S-b-MMA) (21K-b-24K) was synthesized using the same procedure as described in previous examples. Briefly, 20 g (0.192 moles) of styrene was polymerized with 0.68 mL (1.4M solution) of sec- butyllithium. Then 0. 196 g (0.0011 moles) of 1,1 ’-diphenylethylene (DPE) in 2.5 mL of dry toluene was added via ampule into the reactor. The orange color of the reaction mixture turned into dark brick-red indicating conversion of styryllithium active centers to delocalized DPE adduct carbanion. After 2 min of stirring, a small amount (2 mL) of the reaction mixture was withdrawn for PS block molecular weight analysis. Then methyl methacrylate (22.85 g, 0.23 moles) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10% water, filtered, and dried at 70°C for 12 h under vacuum giving 40 g of P(S-b-MMA) (94% yield).

[0144] Gel permeation chromatography equipped with lOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns showed that the 1 st PS block had M n (GPC) = 21,000 g/mol and M w /M n = 1.02 with respect to PS calibration standards. The diblock copolymer molecular weight obtained from GPC is Mn.ps-/>-p\ i,\ = 43,000 g/mol and M w /M n = 1.02.

Neutral Underlayer Synthesis Example 1 Synthesis of P(S-co-PMMA) Brush Neutral layer Polymer

[0145] A 2000-ml flask equipped with a condenser, temperature controller, heating mantle and mechanical stirrer were set up. 400 grams (3.84 moles) of styrene(S), 401 grams (4 moles) of methyl methacrylate (MMA), 9.44 grams (0.016 moles) of nitroxide initiator and 534 grams of Anisole were added to the flask. The mechanical stirrer was turned on and set up at about 120 rpm. The reaction solution was then degassed by vigorously bubbling nitrogen through the solution for about 30 minutes at room temperature. After 30 minutes of degassing the heating mantle was turned on and the temperature controller was set at 140 °C, and the stirred reaction mixture was maintained at this temperature for 20 hours. After this time the heating mantle was turned off and the reaction solution was allowed to cool down to about 40 °C. Then the reaction mixture was poured into 13 L of isopropanol stirred with a mechanical stirring during the addition. During this addition, the polymer was precipitated out. The precipitated polymer was collected by filtration. The collected polymer was dried in vacuum oven at 40 °C. About 500 grams of the polymer was obtained. This dried polymer was dissolved in 1500 grams of THF and then filtered through a 0.2 um nylon filter. The filtered solution was then precipitated again into a stirred solution of 13 L methanol, the precipitated polymer collected and dried as before under vacuum at 40 °C. In this manner, 400 grams (48% yields) of the polymer was obtained after dry. The polymer had an M w of about 15k and a poly dispersity (PDI) of 1.5.

Synthesis of PMMA-OH Brush Layer

[0146] In a 100 mL Schlenk flask, AIBN (0.03 g, 0.12 mmole), 4-cyano-4- [(dodecylsulfanylthiocarbonyl)sulfanyl]pentanol (0.45 g, 1.15 mmole) methyl methacrylate (21.65 g, 216 mmole) and methyl isobutyl ketone (11 mL) were added and degassed by freeze-thaw three times. The mixture was stirred at 450 RPM and heated to 80 °C for 5h. The mixture was cooled, diluted with THF and precipitated into 10% isopropanol/hexanes (600 mL). The solid was collected by vacuum filtration and dried in the vacuum oven at 50 °C for overnight. The product was isolated as a pale-yellow solid (19.4 g, 97.3% yield).

End-group Reduction:

[0147] In a 250 mL 3 -neck flask with a reflux condenser under nitrogen, 19.4 g of the RAFT-polymer was dissolved in methyl ethyl ketone (58 g), triethylammonium hypophosphite (1.33 g, 7.94 mmole), AIBN (0. 15 g, 0.92 mmole) were added and heated to reflux for 3h. The mixture was cooled to room temperature, than precipitated into 10% isopropanol/hexanes (600 mL). The polymer was collected by vacuum filtration, dried, then redissolved in THF and precipitated once again in hexanes. The polymer was collected by vacuum filtration and dried in a vacuum oven overnight (16.7 g, 87.5%). GPC: 17,301 g/mole Mn, 19,827 g/mole Mw; 1.15 PDI.

General Procedure for self-assembly of novel BCP’s and Comparative BCP on P(S-co-PMMA-OH) or Brush Neutral layers (Neutral Underlayer Synthesis Example 1 or Synthesis of PMMA-OH) Preparation of P(S-co-MMA) or PMMA-OH brush solutions.

[0148] A solution was made in PGMEA with 0.3 wt% which was filtered through a 0.02 um PTFE filter.

Substrate preparation:

[0149] A silicon wafer was coated with Neutral Underlayer Synthesis Example 1 or 2 (0.3 wt% in PGMEA) at 1500 rpm and the wafers were subsequently each baked at 250 °C for 30 min/N2. Then rinse with PGMEA for 2 minutes, spin dried for 30 seconds, soft bake at 110 °C for 1 min.

Preparation of BCP formulation:

[0150] Coating of BCP: Coated the BCP at 1500 rpm and the wafers were subsequently each soft baked at 110 °C for 1 min then annealed at 250 °C under N2 for 30 min.

All BCP’s formulations were made in PGMEA with 1.25 wt% which was filtered through a 0.02 um PTFE filter.

Etching of polymer samples [0151] Etching of the polymer sample was done with a much etcher under the following conditions: 15 sec O2/N2 etch. FIG’s. 1-6 show the formation of Fingerprint Pattern (FP) self-assembly of our novel tunable high- chi block copolymer comprising P(S-Alt-DPE) copolymer segments and PMMA as polar block segments. When the orientation was parallel on the P(S-co-MMA) brush neutral layer due to either the placement of S- Alt-DPE segment at the beginning or the higher concentration of DPE in the non-polar polystyrene block, these novel tunable high-chi BCP were blended with PS-b-PMMA and achieved the formation of perpendicular assembly on the P(S-co-MMA) brush neutral layer (FIG. 6) .

[0152] FIG. 1 : (a), (b) and (c) show, respectively, the fingerprint pattern (FP) self-assembly observed of PS-b- P(S-Alt-DPE)-b-PMMA with 10, 20 and 30 mol% of DPE on the P(S-co-MMA) brush neutral layer.

[0153] FIG. 2: (a), (b) and (c) show respectively collapses free self-assembled sub 20 nm Lo FP with PS-b- P(S-Alt-DPE)-b-PMMA on the P(S-co-MMA) neutral brush layer which were observed from top down SEM before etching (a), top down SEM after 15 sec O2/N2 etch (b), and cross section SEM after this etch.

[0154] FIG. 3 shows the different extent of formation of perpendicular self-assembly versus parallel selfassembly observed on the P(S-co-MMA) (Neutral Underlayer Synthesis Example 1) neutral brush layer depending on the sequence distribution of SDPE in the P(S-Alt-DPE)-b-PMMA with 10% and 30% DPE. Specifically it compares the self-assembly of a coating of PS-b-P(S-Alt-DPE)-b-PMMA (10 DPE) (BCP Example 3) which shows perpendicular L/S self-assembly vs a coating of P((S-Alt-DPE)(S-co-DPE))-b- PMMA (10 and 30 mole % DPE)(BCP Example 7 and 8). which shows L/S parallel self-assembly with no Fingerprint self-assembly.

[0155] FIG. 4 shows that P((S-Alt-DPE-)(S-co-DPE))-DPE(C8FE)-b-PMMA (BCP example # 10) coated on the P(S-co-MMA) brush neutral layer (Neutral Underlayer Synthesis Example 1) shows self-assembly into posts. This in contrast to the analogous polymer P((S-Alt-DPE)(S-co-DPE))-DPE-b-PMMA (BPC example # 9) which also has 37 mole % DPE, but has no fluororether pendant group at its DEP junction and does not show any self-assembly.

[0156] FIG. 5: shows FP self-assembly of P((S-Alt-DPE)(S-co-DPE))-DPE(C8FE)-b-PLA (BPC Example # 11) on a coating of PMMA-OH (Polymer from Example Synthesis of PMMA-OH dissolved in PGMEA) [0157] FIG. 6: Shows P((S-Alt-DPE)(S-co-DPE))-b-PMMA which does not show FP self-assembly, as such, could be blended with regular PS-b-PMMA to achieve 20 nm Lo. Specifically, this was a 50:50 wt%. blend of BCP example 9P((S-Alt-DPE)(S-co-DPE)-DPE-b-PMMA with BCP Example 12 (PS-b-PMMA at 50:50 wt%. Even after a 20 sec O2 plasma etch to remove the PMMA etchable block copolymer segment, these FP selfassembled lines o did not show any line collapse.

[0158] The inventive tunable high-chi block copolymers have PS-Alt-DPE copolymer segment which are unique and the placement of DPE units can be controlled to improve etch selectivity and patern collapse through increase both the Etch resistance and the glass transition of these novel polymers. The advantage of the PS-Alt-DPE copolymer segment is that it provides 1) tunable chi parameter for the diblock copolymer, and tunable etch resistivity via compositional control of both 1,1 -diphenylethylene and styrene repeat units. (FIG. 7-8). Specifically, FIG. 7 shows the increase of T g for the novel with increasing DPE content which is favorable towards preventing line collapse during plasma etching. FIG. 8 shows the Etch rate comparison for the Plasma O2 etching rate of a film of PS-OH and P(SwDPEi )-OH. which showed that if you have DPE in PS block then etch resistance increased. Specifically, a PS 1st blocks in PS-b-PMMA versus a first P(SDPE) in P(S-co- DPE)-b-PMMAr Example 7, 8 and 9 did not form FP on NLD-127 (PS-PMMA under layer) with DPE loading from 10-40 mol%. However, these polymers could form FP when blending with either a), b) or c) as follows: a) PS-b-PMMA (example-12) b) Blending with any of Example 3 or Example 5. c) Blending with Example 10 or 11.

Table 1: PS-b-P(S-Alt-DPE)-b-PMMA and P(S-Alt-DPE)-b-PMMA systhesis and charaterization data

Mn and PDI were measured by GPC