Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
TWO TRANSISTOR MEMORY CELLS WITH ANGLED TRANSISTORS
Document Type and Number:
WIPO Patent Application WO/2023/249616
Kind Code:
A1
Abstract:
IC devices implementing 2T memory cells with angled transistors, and related assemblies and methods, are disclosed herein. A transistor is referred to as an "angled transistor" if a longitudinal axis of an elongated semiconductor structure of the transistor (e.g., a fin or a nanoribbon) is neither perpendicular nor parallel to any edges of front or back sides of a support structure (e.g., a die) over which the transistor is implemented. 2T memory cells with read and write transistors provided in different planes of an 1C device, stacked substantially over one another, and having either the read transistors or the write transistors being angled transistors provide a promising way to increasing memory cell densities, drive current, and design flexibility in making electrical connections to, or between, various transistor terminals and control lines of memory arrays, thus providing good scalability in the number of 2Ϊ memory cells included in memory arrays.

Inventors:
SHARMA ABHISKEK (US)
SUTHRAM SAGAR (US)
GHANI TAHIR (US)
GOMES WILFRED (US)
MURTHY ANAND (US)
Application Number:
PCT/US2022/034354
Publication Date:
December 28, 2023
Filing Date:
June 21, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INTEL CORP (US)
International Classes:
H01L27/088; H01L27/105
Foreign References:
US20080285325A12008-11-20
US6072713A2000-06-06
US20210166751A12021-06-03
US20090121291A12009-05-14
US20210151437A12021-05-20
Attorney, Agent or Firm:
HARTMANN, Natalya (US)
Download PDF:
Claims:
Claims:

1. An integrated circuit (IC) device, comprising: a support structure; and a memory ceil comprising a first transistor in a first layer over the support structure and a second transistor in a second layer over the support structure, the second transistor coupled to the first transistor, wherein an angle between a projection of a channel region of the first transistor onto the support structure and a projection of a channel region of the second transistor onto the support structure is between about 10 degrees and 80 degrees.

2. The IC device according to claim 1, wherein the second transistor is coupled to the first transistor by having one of a source region and a drain region of the second transistor being coupled to a gate of the first transistor.

3. The IC device according to claim 2, wherein: the memory cell is a first memory ceil, the IC device further includes a second memory cell comprising a first transistor in the first layer over the support structure and a second transistor in the second layer over the support structure, the second transistor of the second memory cell coupled to the first transistor of the second memory cell, and one of a source region and a drain region of the first transistor of the first memory cell is coupled to one of a source region and a drain region of the first transistor of the second memory cell.

4. The IC device according to claim 3, wherein a projection of a channel region of the first transistor of the second memory cell onto the support structure is aligned with the projection of the channel region of the first transistor of the first memory ceil.

5. The IC device according to claim 3, wherein the channel region of the first transistor of the first memory cell and a channel region of the first transistor of the second memory cell are in different portions of a channel structure in the second layer.

6. The IC device according to claim 5, wherein the channel structure is a fin or a nanoribbon.

7. The IC device according to claim 3, further comprising a first memory control line and a second memory control line, wherein a gate of the second transistor of the first memory cell is coupled to the first memory control line and a gate of the second transistor of the second memory cell is coupled to the second memory control line.

8. The IC device according to claim 3, wherein the one of the source region and the drain region of the first transistor of the first memory cell and the one of the source region and the drain region of the first transistor of the second memory cell are coupled to a shared memory control line.

9. The IC device according to claim 3, wherein another one of the source region and the drain region of the first transistor of the first memory cell is coupled to one memory control line and another one of the source region and the drain region of the first transistor of the second memory cell is coupled to another memory control line.

10. The IC device according to claim 3, further wherein another one of the source region and the drain region of the second transistor of the first memory cell is coupled to one memory control line and another one of the source region and the drain region of the second transistor of the second memory cell is coupled to another memory control line.

11. The IC device according to claim 3, wherein: the IC device further includes a third memory ceil comprising a first transistor in the first layer over the support structure and a second transistor in the second layer over the support structure, the second transistor of the third memory cell coupled to the first transistor of the third memory cell, and one of a source region and a drain region of the second transistor of the third memory cell is coupled to another one of the source region and the drain region of the second transistor of the first memory cell.

12. The IC device according to claim 11, further comprising a memory control line, wherein each of a gate of the second transistor of the third memory cell and a gate of the second transistor of the first memory cell is coupled to the memory control line.

13. The IC device according to any one of claims 1-12,. wherein the first layer is between the support structure and the second layer.

14. The IC device according to any one of claims 1-12, wherein the second layer is between the support structure and the first layer.

15. An integrated circuit (IC) device, comprising: a substrate; a first elongated structure and a second elongated structure in a first layer over the substrate; a third elongated structure in a second layer over the substrate; a first transistor having a channel region in a portion of the first elongated structure; a second transistor having a channel region in a portion of the second elongated structure; and a third transistor and a fourth transistor having channel regions in different portions of the third elongated structure, wherein: one of a source region and a drain region of the third transistor is shared with one of a source region and a drain region of the fourth transistor, one of a source region and a drain region of the first transistor is coupled to a gate of the third transistor, and one of a source region and a drain region of the second transistor is coupled to a gate of the fourth transistor.

16. The IC device according to claim 15, wherein the first layer is between the substrate and the second layer.

17. The IC device according to claim 15, wherein the second layer is between the substrate and the first layer.

18. The IC device according to claim 15, further comprising a fifth transistor having a channel region in a further portion of the first elongated structure and a sixth transistor having a channel region in a further portion of the second elongated structure, wherein: another one of the source region and the drain region of the first transistor is coupled to one of a source region and a drain region of the fifth transistor, and another one of the source region and the drain region of the second transistor is coupled to one of a source region and a drain region of the sixth transistor.

19. The IC device according to any one of claims 15-18, wherein: an angle between an edge of the substrate and a projection of each of the first and second elongated structures onto the substrate is either about 0 degrees or about 90 degrees, and an angle between the edge of the substrate and a projection of the third elongated structure onto the substrate is between about 10 degrees and 80 degrees.

2.0. The IC device according to any one of claims 15-18, wherein: an angle between an edge of the substrate and a projection of the third elongated structure onto the substrate is either about 0 degrees or about 90 degrees, and an angle between the edge of the substrate and a projection of each of the first and second elongated structures onto the substrate is between about 10 degrees and 80 degrees.

Description:
TWO TRANSISTOR MEMORY CELLS WITH ANGLED TRANSISTORS

Background

[0001] Memory is important to the performance of modern system-on-a-chip (SoC) technology. Low-power and high-density memory is used in many different computer products and further improvements are always desirable.

Brief Description of the Drawings

[0002] Embodiments will be readily understood by the following detailed description in conjunction with the accompanying drawings. To facilitate this description, like reference numerals designate like structural elements. Embodiments are illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings.

[0003] FIG. 1 provides a perspective view of an example integrated circuit (IC) device implementing a nanoribbon transistor, in accordance with some embodiments.

[0004] FIG. 2 provides a perspective view of an example IC device implementing a fin-based fieldeffect transistor (Fin FET), in accordance with some embodiments.

[0005] FIG. 3 provides a top-down view of an example IC device with an angled transistor, in accordance with some embodiments.

[0006] FIG. 4 provides an electric circuit diagram of a two transistor (2T) memory cell that may be implemented using angled transistors, in accordance with some embodiments.

[0007] FIG. 5 provides an electric circuit diagram of an array of 2T memory cells of FIG. 4, in accordance with some embodiments.

[0008] FIGS. 6A-6D provide schematic illustrations of IC devices with arrays of read transistors and arrays of write transistors of 2T memory cells being implemented in different planes with respect to a support structure, in accordance with some embodiments.

[0009] FIGS. 7A-7C provide different top-down views of an IC device implementing an array of 2T memory cells of FIG. 5 with angled read transistors, in accordance with some embodiments.

[0010] FIGS. 8A-8C provide different top-down views of an IC device implementing an array of 2T memory cells of FIG. 5 with angled write transistors and angled read control lines, in accordance with some embodiments.

[0011] FIGS. 9A-9C provide different top-down views of an IC device implementing an array of 2T memory cells of FIG. 5 with angled write transistors and non-angled read control lines, in accordance with some embodiments.

[0012] FIG. 10 provides top views of a wafer and dies that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein. [0013] FIG. 11 is a cross-sectional side view of an IC package that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein.

[0014] FIG. 12 is a cross-sectional side view of an IC device assembly that may include one or more IC devices implementing 2T memory ceils with angled transistors in accordance with any of the embodiments disclosed herein.

[0015] FIG. 13 is a block diagram of an example computing device that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein.

[0016] FIG. 14 is a block diagram of an example processing device that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein.

Detailed Description

[0017] IC devices implementing 2T memory cells with angled transistors, and related assemblies and methods, are disclosed herein. The devices, assemblies, and methods of this disclosure each have several innovative aspects, no single one of which is solely responsible for all the desirable attributes disclosed herein. Details of one or more implementations of the subject matter described in this specification are set forth in the description below and the accompanying drawings.

[0018] For purposes of illustrating 2T memory cells with angled transistors, proposed herein, it might be useful to first understand phenomena that may come into play in memory arrangements. The following foundational information may be viewed as a basis from which the present disclosure may be properly explained. Such information is offered for purposes of explanation only and, accordingly, should not be construed in any way to limit the broad scope of the present disclosure and its potential applications.

[0019] As the name suggests, a 2T memory cell includes two transistors. Each transistor is typically a field-effect transistor (FET), e.g., a metal-oxide-semiconductor FET (MOSFET), having a source terminal, a drain terminal, and a gate terminal. A FET typically includes a semiconductor channel material, a source region and a drain region provided in the channel material, and a gate that includes at least a gate electrode material and, optionally, may also include a gate insulator. In such a transistor, the gate is provided over a portion of the channel material between the source region and the drain region. The term "source terminal" typically refers to either the source region or a contact to the source region, the term "drain terminal" terminal" typically refers to either the drain region or a contact to the drain region, and the term "gate terminal" typically refers to either the gate or a contact to the gate. Since, as is common in the field of FETs, designations of "source" and "drain" are often interchangeable, source and drain regions/contacts/terminals of a transistor may be referred to as first and second source or drain (S/D) regions/contacts/terminals, where, in some embodiments, the first S/D region/contact/terminal is a source region/contact/terminal and the second S/D region/contact/terminal is a drain region/contact/terminal and, in other embodiments, this designation of source and drain is reversed.

[0020] First and second transistors of a 2T memory cell are commonly referred to as, respectively, a "write transistor" (also known as an "access transistor") and a "read transistor" (also known as a "gain transistor"). In a 2T memory cell, the first S/D terminal of the write transistor is coupled to a gate terminal of the read transistor, the second S/D terminal of the write transistor is coupled to a write bitline (WBL), the gate terminal of the write transistor is coupled to a write wordline (WWL), the first S/D terminal of the read transistor is coupled to a read wordline (RWL), and the second S/D terminal of the read transistor is coupled to a read bitline (RBL). A memory state, or a bit value (e.g., logical "1" or "0") of such a memory cell is represented by charge indicative of the bit value, stored in either intentional or parasitic capacitance in the so-called "storage node" between (e.g., coupled to) the gate terminal of the read transistor and the first S/D terminal of the write transistor. A write transistor is used for programming a bit value in a 2T memory cell (e.g., writing a bit value to the memory cell by storing the charge indicative of the bit value in the storage node). A read transistor is used for reading a 2T memory cell (e.g., determining the bit value that was stored in the memory cell). Since a 2T memory cell can be fabricated with as little as two transistors, it can provide higher density and lower standby power versus other types of memory in the same process technology.

[0021] Conventionally, 2T memory cells have been implemented with planar transistors. However, transistors with non-planar architectures, such as FinFETs (also sometimes referred to as "wrap around gate transistors" or "tri-gate transistors") and nanoribbon/nanowire transistors (also sometimes referred to as "gate all-around (GAA) transistors”), may enable arrangements of 2T memory cells that may be difficult or impossible to realize with planar transistors.

[0022] In a FinFET, an elongated semiconductor structure (e.g., an elongated structure that includes one or more semiconductor materials) shaped as a fin extends away from a base (e.g., from a semiconductor substrate or any suitable support structure). A portion of a fin that is closest to the base may be enclosed by an insulator material. Such an insulator material, typically an oxide, is commonly referred to as a "shallow trench isolation” (STI), and the portion of the fin enclosed by the STI is referred to as a "subfin portion" or simply a "subfin." A gate stack may wrap around an upper portion of the fin (e.g., the portion farthest away from the base). The portion of the fin around which the gate stack wraps is referred to as a "channel region" (or, alternatively, as a "channel portion” or simply a "channel") of a FinFET. A semiconductor material of the channel region is commonly referred to as a "channel material" of the transistor. FinFETs are sometimes referred to as "tri-gate transistors" because, in use, such transistors may form conducting channels on three "sides" of the channel region of the fin. A source region and a drain region are provided in the fin on the opposite sides of the gate stack, forming, respectively, a source and a drain of a FinFET.

[0023] In a nanoribbon transistor, a gate stack may be provided around a portion of an elongated semiconductor structure called "nanoribbon", forming a gate on all sides of the nanoribbon. The "channel" or the "channel region" of a nanoribbon transistor is the portion of the nanoribbon around which the gate stack wraps. Such transistors are sometimes referred to as "GAA transistors” because, in use, such transistors may form conducting channels on all "sides" of the channel region of the nanoribbon. A source region and a drain region are provided in the nanoribbon on each side of the gate stack, forming, respectively, a source and a drain of a nanoribbon transistor. In some settings, the term "nanoribbon" has been used to describe an elongated semiconductor structure that has a substantially rectangular transverse cross-section (e.g., a cross-section in a plane perpendicular to the longitudinal axis of the structure), while the term "nanowire" has been used to describe a similar structure but with a substantially circular or square transverse cross-sections. In the following, a single term "nanoribbon transistor" is used to describe all non-planar transistors where a gate stack wraps around substantially all sides of an elongated semiconductor structure, independent of the shape of the transverse cross-section. Thus, as used herein, the term "nanoribbon transistor" is used to cover transistors with elongated semiconductor structures that have substantially rectangular transverse cross-sections (possibly with rounded corners), transistors with elongated semiconductor structures that have substantially square transverse cross-sections (possibly with rounded corners), transistors with elongated semiconductor structures that have substantially circular or elliptical/oval transverse cross-sections, as well as transistors with elongated semiconductor structures that have any polygonal transverse cross-sections.

[0024] As the foregoing illustrates, both FlnFETs and nanoribbon transistors are built based on elongated semiconductor structures (in the following referred to, simply, as "elongated structures"), e.g., fins or nanoribbons, respectively. A longitudinal axis of such an elongated structures may be defined as an axis that includes a line along the direction of carrier transport between source and drain regions of the transistor. Typically, such an axis is substantially parallel to the support structure on/in which a transistor resides and is one of lines of symmetry for the elongated structure of the transistor (at least for the idealized version of the transistor that does not reflect unintended manufacturing variations that may affect the real-life geometry of the transistor). Conventionally, FlnFETs and nanoribbon transistors are oriented on a support structure so that the direction of their carrier transport, represented, e.g., by the longitudinal axes of their elongated structures (e.g., fins or nanoribbons,, respectively), is parallel to the front and back sides/planes of the support structure and is either perpendicular or parallel to different edges of the support structure, in particular, being either perpendicular or parallel to different edges of the front side or the back side of the support structure. The gates of such transistors are then oriented so that an angle between a projection of a gate of a given transistor onto a plane of the support structure and a projection of the longitudinal axis of an elongated structure onto said plane is 90 degrees (e.g., the gates extend in directions perpendicular to longitudinal axes of elongated structures).

[0025] In contrast to such conventional implementations, embodiments of the present disclosure provide IC devices implementing 2T memory cells with angled transistors. As used herein, a transistor is referred to as an "angled transistor" if the direction of carrier transport of the transistor is neither perpendicular nor parallel to any edges of the front side or the back side of a support structure (e.g., a die) over which the transistor is implemented. In other words, a transistor is referred to as an "angled transistor" if an angle between a projection of the elongated structure of the transistor onto a plane of the support structure and one or more of the edges of the support structure is neither 0 degrees, no 90 degrees, e.g., between about 10 degrees and 80 degrees. For example, FinFETs and nanoribbon transistors are "angled transistors" if, along their length, the fins of the FinFETs and the nanoribbons of the nanoribbon transistors are oriented at an angle between about 10 and 80 degrees (e.g., slanted) with respect to the edges of the support structure on which they are implemented. Since, in geometry, not just one but two angles may be defined among any two lines crossing one another when the angles are defined as measured clockwise or counterclockwise with respect to one of the lines, the two such angles adding together to be 180 degrees, for the angled transistors described herein the angles refer to the smaller of the two angles. When a transistor is implemented as an angled transistor, the gate of the transistor may still be aligned with the edges of the support structure (e.g., be either perpendicular or parallel to the support structure), which means that the gate is non-angled with respect to the edges of the support structure but is angled with respect to the direction of carrier transport of the transistor.

Alternatively, the gate of the transistor may also be angled with respect to the edges of the support structure, as long as it remains angled (e.g., not perpendicular) with respect to the direction of carrier transport of the transistor.

[0026] Embodiments of the present disclosure are based on recognition that providing arrays of 2T memory cells with read and write transistors provided in different planes of an IC device, stacked substantially over one another, and having either the read transistors or the write transistors being angled transistors may provide various advantages compared to conventional implementations of 2T memory arrays. One advantage is that providing read and write transistors in different planes of an IC device, stacked over one another so that a footprint of an array of the read transistors at least partially overlaps with a footprint of an array of the write transistors, allows decreasing the overall footprint of the 2T memory array, compared to memory arrays where all transistors are implemented in the same plane. Another advantage is that angling either the read or the write transistors (or, more generally, angling the read and the write transistors with respect to one another) allows efficient sharing of some of the terminals of the transistors (e.g., having a single terminal serve as a terminal of multiple transistors), further decreasing the overall footprint of the 2T memory array. For example, in some embodiments, the read transistors may be angled, while the write transistors may be non-angled. In such embodiments, the read transistors may be arranged along elongated structures that may cross over several rows, or several columns, of the write transistors. Alternatively, the write transistors may be angled, while the read transistors may be non-angled. In such embodiments, the write transistors may be arranged along elongated structures that may cross over several rows, or several columns, of the read transistors. Angling either the read or the write transistors of a 2T memory array further allows realizing more efficient electrical connectivity between various terminals and memory control lines (e.g., bitlines, wordlines, etc.). Still further, implementing transistors as angled transistors means that, for a given width of an elongated structure of the transistor, the effective cross-section over which the carriers may be transported between source and drain is increased (e.g., the cross-section of the gates in the x-z plane of the example coordinate system illustrated in the present drawings), advantageously resulting in an increased drive current. Conversely, implementing transistors as angled transistors with gates that are angled with respect to the direction of carrier transport of the transistors may advantageously allow reducing the widths of the elongated structures of the transistors, thus reducing the footprints of transistors, while keeping the drive current substantially matched to what it would have been without angling. In sum, 2T memory cells with angled transistors may provide a promising way to increasing densities of memory cells on the limited real estate of semiconductor chips, increasing drive current, providing good scalability in the number of 2T memory cells included in an array, and/or improving design flexibility in making electrical connections to, or between, various transistor terminals and memory control lines of the array.

[0027] In some embodiments, providing read and write transistors in different planes of an IC device may include providing the write transistors on a front side of a support structure, while providing read transistors on a back side, or vice versa. In other embodiments, providing read and write transistors in different planes of an IC device may include providing both read and write transistors over the same side of a support structure but in different layers with respect to the support structure. For example, the read transistors may be front-end of line (FEOL) transistors, while the write transistors may be back-end of line (BEOL) transistors, implemented further away from the support structure than the FEOL transistors, or vice versa.

[0028] Embodiments of the present disclosure are further based on recognition that IC devices implementing 2T memory cells with angled transistors may be optimized even further if transistors are to be operated at relatively low temperatures, where, as used herein, low-temperature operation (or "lower-temperature" operation) refers to operation at temperatures below room temperature, e.g., below 200 Kelvin degrees or lower. Thermal energy is much lower at low temperatures and, consequently, the off-current (loff) of a transistor is much lower and the subthreshold swing is much sharper, compared to room temperature operation. Consequently, if a transistor is operated at low temperatures, its gate length can be shorter than what can be achieved at room temperatures, while keeping the short-channel effects at a level that does not significantly compromise transistor performance. As a result, at low temperatures, it may be possible to further decrease footprints of the transistor arrangements described herein, thereby decreasing their effective gate lengths, while still maintaining adequate performance.

[0029] Although in the following, descriptions are provided with respect to FinFETs and nanoribbon transistors, embodiments of the present disclosure, in particular embodiments of 2T memory cells with angled transistors, are applicable to transistors of other architectures, such as planar transistors.

[0030] In the following detailed description, various aspects of the illustrative implementations may be described using terms commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art. For example, the term "conductive contact" may refer to a portion of conductive material (e.g,, metal) serving as an electrical interface between different components; conductive contacts may be recessed in, flush with, or extending away from a surface of a component, and may take any suitable form (e.g., a conductive pad or socket, or portion of a conductive line or via). In yet another example, the term "connected" means a direct electrical or magnetic connection between the things that are connected, without any intermediary devices, while the term "coupled" means either a direct electrical or magnetic connection between the things that are connected, or an indirect connection through one or more passive or active intermediary devices. The term "circuit" means one or more passive and/or active components that are arranged to cooperate with one another to provide a desired function, if used, the terms "oxide," "carbide," "nitride," "sulfide," etc. refer to compounds containing, respectively, oxygen, carbon, nitrogen, sulfur, etc., the term "high-k dielectric" refers to a material having a higher dielectric constant (k) than silicon oxide, while the term "!ow-k dielectric" refers to a material having a lower k than silicon oxide. The terms "substantially," "close," "approximately," "near," and "about," generally refer to being within +/- 10%, e.g., within +/- 5% or within +/- 2%, of a target value based on the context of a particular value as described herein or as known in the art. Similarly, terms indicating orientation of various elements, e.g., "coplanar," "perpendicular," "orthogonal,” "parallel," or any other angle between the elements, generally refer to being within +/- 8% of a target value, e.g., within +/- 5% of a target value or within +/- 2% of a target value, based on the context of a particular value as described herein or as known in the art.

[0031] The term "interconnect" may refer to any element that provides a physical connection between two other elements. For example, an electrical interconnect provides electrical connectivity between two electrical components, facilitating communication of electrical signals between them; an optical interconnect provides optical connectivity between two optical components, facilitating communication of optical signals between them. As used herein, both electrical interconnects and optical interconnects are comprised in the term "interconnect," The nature of the interconnect being described is to be understood herein with reference to the signal medium associated therewith. Thus, when used with reference to an electronic device, such as an IC that operates using electrical signals, the term "interconnect" describes any element formed of an electrically conductive material for providing electrical connectivity to one or more elements associated with the IC or/and between various such elements, in such cases, the term "interconnect" may refer to both conductive traces (also sometimes referred to as "metal traces," "lines," "metal lines," "wires," "metal wires," "trenches," or "metal trenches") and conductive vias (also sometimes referred to as "vias" or "metal vias"). Sometimes, electrically conductive traces and vias may be referred to as "conductive traces" and "conductive vias", respectively, to highlight the fact that these elements include electrically conductive materials such as metals, likewise, when used with reference to a device that operates on optical signals as well, such as a photonic IC (PIC), "interconnect" may also describe any element formed of a material that is optically conductive for providing optical connectivity to one or more elements associated with the PIC. In such cases, the term "interconnect" may refer to optical waveguides (e.g., structures that guide and confine light waves), including optical fiber, optical splitters, optical combiners, optical couplers, and optical vias. [0032] The terms "over," "under," "between," and "on" as used herein refer to a relative spatial position of one material layer or component with respect to other layers or components. For example, one layer disposed over or under another layer may be directly in contact with the other layer or may have one or more intervening layers. Moreover, one layer disposed between two layers may be directly in contact with the two layers or may have one or more intervening layers. In contrast, a first layer "on" a second layer is in direct contact with that second layer. Similarly, unless explicitly stated otherwise, one feature disposed between two features may be in direct contact with the adjacent features or may have one or more intervening layers.

[0033] For the purposes of the present disclosure, the phrase "A and/or B" means (A), ( B), or (A and B). For the purposes of the present disclosure, the phrase "A, B, and/or C" means (A), (B), (C), (A and B), (A and C), (B and C), or (A, B, and C). The term "between," when used with reference to measurement ranges, is inclusive of the ends of the measuremen t ranges. As used herein, the notation "A/B/C" means (A), (B), and/or (C).

[0034] The description may use the phrases "in an embodiment" or "in embodiments," which may each refer to one or more of the same or different embodiments. Furthermore, the terms "comprising," "including," "having," and the like, as used with respect to embodiments of the present disclosure, are synonymous. The disclosure may use perspective-based descriptions such as "above," "below," "top," "bottom," and "side"; such descriptions are used to facilitate the discussion and are not intended to restrict the application of disclosed embodiments. The accompanying drawings are not necessarily drawn to scale. Unless otherwise specified, the use of the ordinal adjectives “first/' "second," and "third," etc., to describe a common object, merely indicate that different instances of like objects are being referred to and are not intended to imply that the objects so described must be in a given sequence, either temporally, spatially, in ranking or in any other manner.

[0035] In the following detailed description, reference is made to the accompanying drawings that form a part hereof, and in which is shown, by way of illustration, embodiments that may be practiced. It is to be understood that other embodiments may be utilized, and structural or logical changes may be made without departing from the scope of the present disclosure. Therefore, the following detailed description is not to be taken in a limiting sense. For convenience, analogous elements designated in the present drawings with different reference numerals after a dash, e.g., edges 303-1, 303-2, 303-3, and 303-4 may be collectively referred to together without the reference numerals after the dash, e.g., as "edges 303." In order to not clutter the drawings, if multiple instances of certain elements are illustrated in a given drawing, only some of the elements may be labeled with a reference sign. A plurality of drawings with the same number and different letters may be referred to without the letters, e.g., FIGS. 7A-7C may be referred to as "FIG. 7." [0036] in the drawings, some schematic illustrations of example structures of various devices and assemblies described herein may be shown with precise right angles and straight lines, but it is to be understood that such schematic illustrations may not reflect real-life process limitations which may cause the features to not look so "ideal" when any of the structures described herein are examined using, e.g., scanning electron microscopy (SEM ) images or transmission electron microscope (TEM) q images, in such images of real structures, possible processing defects could also be visible, e.g., not- perfectly straight edges of materials, tapered vias or other openings, inadvertent rounding of corners or variations in thicknesses of different material layers, occasional screw, edge, or combination dislocations within the crystalline region, and/or occasional dislocation defects of single atoms or clusters of atoms. There may be other defects not listed here but that are common within the field of device fabrication. Inspection of layout and mask data and reverse engineering of parts of a device to reconstruct the circuit using e.g., optical microscopy, TEM, or SEM, and/or inspection of a cross-section of a device to detect the shape and the location of various device elements described herein using, e.g., Physical Failure Analysis (PFA) would allow determination of presence of 2T memory cells with angled transistors as described herein.

[0037] Various operations may be described as multiple discrete actions or operations in turn in a manner that is most helpful in understanding the claimed subject matter. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations may not be performed in the order of presentation. Operations described may be performed in a different order from the described embodiment.

Various additional operations may be performed, and/or described operations may be omitted in additional embodiments.

[0038] Various IC devices implementing 2T memory cells with angled transistors as described herein may be implemented in, or associated with, one or more components associated with an IC or/and may be implemented between various such components. In various embodiments, components associated with an IC include, for example, transistors, diodes, power sources, resistors, capacitors, inductors, sensors, transceivers, receivers, antennas, etc. Components associated with an IC may include those that are mounted on IC or those connected to an IC. The IC may be either analog or digital and may be used in a number of applications, such as microprocessors, optoelectronics, logic blocks, audio amplifiers, etc., depending on the components associated with the IC. The IC may be employed as part of a chipset for executing one or more related functions in a computer.

[0039] FIG. 1 provides a perspective view of an example IC device 100 implementing a nanoribbon transistor 110, in accordance with some embodiments. The nanoribbon transistor 110 is one example of a transistor that may be implemented as an angled transistor of a 2T memory cell and that may be included in various IC devices and assemblies described herein. Because FIG. 1 is provided to assist explanations of what, in general, a nanoribbon transistor is, it does not illustrate the nanoribbon of the nanoribbon transistor 110 being angled with respect to the edges of a support structure or with respect to its gate. Subsequent drawings (e.g., FIG. 3, FIG. 7 A, and FIG. 7B) provide illustrations of angled transistors. [0040] Turning to the details of FIG. 1, the IC device 100 may include a semiconductor material, which may include one or more semiconductor materials, formed as a nanoribbon 104 (e.g., an elongated structure) extending substantially parallel to a support structure 102. The transistor 110 may be formed on the basis of the nanoribbon 104 by having a gate stack 106 at least partially wrap around a portion of the nanoribbon referred to as a "channel region" and by having source and drain regions, shown in FIG, 1 as a first S/D region 114-1 and a second S/D region 114-2, on either side of the gate stack 106. In some embodiments, a layer of oxide material (not specifically shown in FIG. 1) may be provided between the support structure 102 and the gate stack 106.

[0041] The IC device 100 shown in FIG. 1, as well as IC devices shown in other drawings of the present disclosure, are intended to show relative arrangements of some of the components therein, and these IC devices, or portions thereof, may include other components that are not illustrated. For example, although not specifically illustrated in FIG. 1, a dielectric spacer may be provided between a first S/D contact (not shown in FIG. 1) that may be coupled to a first S/D region 114-1 of the transistor 110 and the gate stack 106 as well as between a second S/D contact (also not shown in FIG. 1) that may be coupled to a second S/D region 114-2 of the transistor 110 and the gate stack 106 in order to provide electrical isolation between the source, gate, and drain contacts (in general, such contacts may also be referred to as "electrodes"). In another example, although not specifically illustrated in FIG. 1, at least portions of the transistor 110 may be surrounded in an insulator material, such as any suitable interlayer dielectric (ILD) material. In some embodiments, such an insulator material may be a high-k dielectric including elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc. Examples of high-k materials that may be used for this purpose may include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, tantalum oxide, tantalum silicon oxide, lead scandium tantalum oxide, and lead zinc niobate. In other embodiments, the insulator material surrounding portions of the transistor 110 may be a low- k dielectric material. Some examples of low-k dielectric materials include, but are not limited to, silicon dioxide, carbon-doped oxide, silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fused silica glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass. Similar considerations are applicable to other IC devices shown in the present drawings, e.g., those shown in FIG. 3 and FIGS. 7-9.

[0042] implementations of the present disclosure may be formed or carried out on any suitable support structure 102, such as a substrate, a die, a wafer, or a chip. The support structure 102 may, e.g., be the wafer 2.000 of FIG . 10, discussed below, and may be, or be included in, a die, e.g., the singulated die 2002 of FIG. 10, discussed below. The support structure 102 may be a semiconductor substrate composed of semiconductor material systems including, for example, N-type or P-type materials systems. In one implementation, the semiconductor substrate may be a crystalline substrate formed using a bulk silicon or a silicon-on-insulator (SOI) substructure. In other implementations, the semiconductor substrate may be formed using alternate materials, which may or may not be combined with silicon, that include, but are not limited to, germanium, silicon germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, aluminum gallium arsenide, aluminum arsenide, indium aluminum arsenide, aluminum indium antimonide, indium gallium arsenide, gallium nitride, indium gallium nitride, aluminum indium nitride or gallium antimonide, or other combinations of group II i-V materials (e.g., materials from groups III and V of the periodic system of elements), group Il-Vi (e.g., materials from groups II and IV of the periodic system of elements), or group IV materials (e.g., materials from group IV of the periodic system of elements). In some embodiments, the substrate may be non-crystalline. In some embodiments, the support structure 102 may be a printed circuit board (PCB) substrate. Although a few examples of materials from which the support structure 102 may be formed are described here, any material that may serve as a foundation upon which an IC device with one or more 2.T memory cells with angled transistors as described herein may be built falls within the spirit and scope of the present disclosure. As used herein, the term "support structure” does not necessarily mean that it provides mechanical support for the IC devices/structures (e.g., transistors, capacitors, interconnects, and so on) built thereon. For example, some other structure (e.g., a carrier substrate or a package substrate) may provide such mechanical support and the support structure 102. may provide material "support” in that, e.g., the IC devices/structures are build based on the semiconductor materials of the support structure 102. However, in some embodiments, the support structure 102 may provide mechanical support.

[0043] The nanoribbon 104 may take the form of a nanowire or nanoribbon, for example. In some embodiments, an area of a transverse cross-section of the nanoribbon 104 (e.g,, an area in the x-z plane of the example coordinate system x-y-z shown in FIG. 1) may be between about 25 and 10000 square nanometers, including all values and ranges therein (e.g., between about 25 and 1000 square nanometers, or between about 25 and 500 square nanometers). The transverse cross-section of the nanoribbon 104 is cross-section along a plane perpendicular to a longitudinal axis 120 of the nanoribbon 104, where the longitudinal axis 120 may, e.g., be along the y-axis of the example coordinate system shown in FIG. 1. In some embodiments, a width of the nanoribbon 104 (e.g., a dimension measured in a plane parallel to the support structure 102 and in a direction perpendicular to the longitudinal axis 120,. e.g., along the x-axis of the example coordinate system shown FIG, 1) may be at least about 3 times larger than a thickness (or a "height") of the nanoribbon 104 (e.g., a dimension measured in a plane perpendicular to the support structure 102, e.g., along the z-axis of the example coordinate system shown in FIG. 1), including all values and ranges therein, e.g., at least about 4 times larger, or at least about 5 times larger.

[0044] it should be noted that FIG. 1 illustrates the x-y-z coordinate system being aligned so that the longitudinal axis 120 is along the y-axis and the gate being aligned along the x-axis only for the ease of explanations of this particular drawing. However, for nanoribbon transistors that are implemented as angled transistors as described herein, the longitudinal axis 120 would be in the x-y plane but angled (e.g., at an angle between about 10 and 80 degrees) with respect to the y-axis. [0045] Although the nanoribbon 104 illustrated in FIG. 1 is shown as having a rectangular crosssection, the nanoribbon 104 may instead have a cross-section that is rounded at corners or otherwise irregularly shaped, and the gate stack 106 may conform to the shape of the nanoribbon 104. The terms "front side" and "back side" of a nanoribbon may refer to the faces of the nanoribbon 104 that are substantially parallel to the support structure 102, the term "sidewall" (or "side face") of a nanoribbon may refer to the opposing faces of the nanoribbon 104 that are substantially perpendicular to the support structure 102 and extend in a direction of the longitudinal axis 120 of the nanoribbon 104, while the term "end" of a nanoribbon may refer to the opposing faces of the nanoribbon 104 that are substantially perpendicular to the longitudinal axis 120 of the nanoribbon 104.

[0046] The nanoribbon 104 may be formed of one or more semiconductor materials, together referred to as a "channel material." In general, channel materials of any of the angled transistors described herein, e.g., the channel material of the transistor 110, may be composed of semiconductor material systems including, for example, N-type or P-type materials systems. In some embodiments, the channel material may include a substantially monocrystalline semiconductor, such as silicon (Si) or germanium (Ge). In some embodiments, the channel material may include a compound semiconductor with a first sub-lattice of at least one element from group III of the periodic table (e.g., Al, Ga, In), and a second sub-lattice of at least one element of group V of the periodic table (e.g., P, As, Sb). In some embodiments, the channel material may include a high mobility oxide semiconductor material, such as tin oxide, antimony oxide, indium oxide, indium tin oxide, titanium oxide, zinc oxide, indium zinc oxide, gallium oxide, titanium oxynitride, ruthenium oxide, or tungsten oxide. In some embodiments, the channel material may include a combination of semiconductor materials. [0047] For some example N-type transistor embodiments (e.g., for the embodiments where the transistor in which the channel material is included is an N-type metal-oxide-semiconductor (NMOS) transistor), the channel material may include a lll-V material having a relatively high electron mobility, such as, but not limited to InGaAs, InP, InSb, and InAs. For some such embodiments, the channel material may be a ternary lll-V alloy, such as InGaAs, GaAsSb, InAsP, or InPSb. For some ln x Gai- x As fin embodiments, In content (x) may be between 0.6 and 0.9, and may advantageously be at least 0.7 (e.g., ln 0.7 Ga 0.3 As). For some example P-type transistor embodiments (e.g., for the embodiments where the transistor in which the channel material is included is a P-type metal-oxide- semiconductor (PMOS) transistor), the channel material may advantageously be a group IV material having a high hole mobility, such as, but not limited to Ge or a Ge-rich SiGe alloy. For some example embodiments, the channel material may have a Ge content between 0.6 and 0.9, and advantageously may be at least 0.7.

[0048] In some embodiments, the channel material may be a high mobility oxide semiconductor material, such as tin oxide, antimony oxide, indium oxide, indium tin oxide, titanium oxide, zinc oxide, indium zinc oxide, indium gallium zinc oxide (IGZO), gallium oxide, titanium oxynitride, ruthenium oxide, or tungsten oxide. In general, the channel material may include one or more of tin oxide, cobalt oxide, copper oxide, antimony oxide, ruthenium oxide, tungsten oxide, zinc oxide, gallium oxide, titanium oxide, indium oxide, titanium oxynitride, indium tin oxide, indium zinc oxide, nickel oxide, niobium oxide, copper peroxide, IGZO, indium telluride, molybdenite, molybdenum diselenide, tungsten diselenide, tungsten disulfide, N- or P-type amorphous or polycrystalline silicon, germanium, indium gallium arsenide, silicon germanium, gallium nitride, aluminum gallium nitride, indium phosphite, and black phosphorus, each of which may possibly be doped with one or more of gallium, indium, aluminum, fluorine, boron, phosphorus, arsenic, nitrogen, tantalum, tungsten, and magnesium, etc.

[0049] As noted above, the channel material may include IGZO. IGZO-based devices have several desirable electrical and manufacturing properties. IGZO has high electron mobility compared to other semiconductors, e.g., in the range of 20-50 times than amorphous silicon. Furthermore, amorphous IGZO (a-IGZO) transistors are typically characterized by high band gaps, low-temperature process compatibility, and low fabrication cost relative to other semiconductors. IGZO can be deposited as a uniform amorphous phase while retaining higher carrier mobility than oxide semiconductors such as zinc oxide. Different formulations of IGZO include different ratios of indium oxide, gallium oxide, and zinc oxide. One particular form of IGZO has the chemical formula lnGaO 3 (ZnO) 5 . Another example form of IGZO has an indium:gallium:zinc ratio of 1:2:1. In various other examples, IGZO may have a gallium to indium ratio of 1:1, a gallium to indium ratio greater than 1 (e.g., 2:1, 3:1, 4:1, 5:1, 6:1, 7:1, 8:1, 9:1, or 10:1), and/or a gallium to indium ratio less than 1 (e.g., 1:2, 1:3, 1:4, 1:5, 1:6, 1:7, 1:8, 1:9, or 1:10). IGZO can aiso contain tertiary dopants such as aluminum or nitrogen.

[0050] In some embodiments, any of the transistors that may be implemented as angled transistors as described herein, e.g., the transistor 110, may be a thin-film transistor (TFT). A TFT is a special kind of a FET made by depositing active semiconductor material over a support (e.g., a support structure as described above) that may be a non-conducting support. Some such materials may be deposited at relatively low temperatures, which allows depositing them within the thermal budgets imposed on back-end fabrication to avoid damaging the front-end components such as the logic devices of an IC device in which the transistor may be included. Thus, in some embodiments, the channel material of any of the transistors that may be implemented to have angled gates described herein, e.g., the transistor 110, may be a semiconductor material deposited at relatively low temperatures, and may include any of the oxide semiconductor materials described above.

[0051] In other embodiments, instead of being deposited at relatively low temperatures as described above with reference to the TFTs, the channel material of any of the transistors that may be implemented as angled transistors as described herein, e.g., the transistor 110, may be epitaxially grown in what typically involves relatively high-temperature processing. In such embodiments, the channel material may include any of the semiconductor materials described above, including oxide semiconductor materials. In some such embodiments, the channel material may be epitaxially grown directly on a semiconductor layer of a support structure over which the transistor will be fabricated, in a process known as "monolithic integration." In other such embodiments, the channel material of any of the transistors that may be implemented as angled transistors as described herein, e.g., the transistor 110, may be epitaxially grown on a semiconductor layer of another support structure and then the epitaxially grown layer of the channel material may be transferred, in a process known as a "layer transfer," to a support structure over which the transistor will reside, in which case the latter support structure may but does not have to include a semiconductor layer prior to the layer transfer. Layer transfer advantageously allows forming transistors, such as FinFETs or nanoribbon transistors, over support structures or in layers that do not include semiconductor materials (e.g., in the back end of an IC device). Layer transfer also advantageously allows forming transistors of any architecture (e.g., non-planar or planar transistors) without imposing the negative effects of the relatively high-temperature epitaxial growth process on devices that may already be present over a support structure.

[0052] A channel material that is deposited at relatively low temperatures is typically a polycrystalline, polymorphous, or amorphous semiconductor, or any combination thereof. A channel material that is epitaxially grown is typically a highly crystalline (e.g., monocrystalline or single-crystalline) material. Therefore,, whether the channel material of any of the transistors described herein, is deposited at relatively low temperatures or epitaxially grown can be identified by inspecting grain size of the active portions of the channel material (e.g., of the portions of the channel material that form channels of transistors). An average grain size of a channel material of a transistor being between about 0.5 and 1 millimeters (in which case the material may be polycrystalline) or smaller than about 0.5 millimeter (in which case the material may be polymorphous or amorphous) may be indicative of the channel material having been deposited (e.g., if the transistor in which such a channel material is included is a TFT). On the other hand, an average grain size of a channel material of a transistor being equal to or greater than about 1 millimeter (in which case the material may be a single-crystal material) may be indicative of the channel material having been epitaxially grown and included in the final device either by monolithic integration or by layer transfer.

[0053] In some embodiments, the channel material of any of the transistors that may be implemented as angled transistors as described herein, e.g., the transistor 110, may include a two- dimensional (2D) semiconductor material, e.g., a semiconductor material with a thickness of a few nanometers or less, where electrons in the material are free to move in the 2D plane but their restricted motion in the third direction is governed by quantum mechanics. In some such embodiments, such a channel material may include a single atomic monolayer of a 2D semiconductor material, while, in other such embodiments, such a channel material may include five or more atomic monolayers of a 2D semiconductor material. Examples of 2D materials that may be used to implement the channel material of any of the transistors that may be implemented as angled transistors as described herein include, but are not limited to, graphene, hexagonal boron nitride, or transition-metal chalcogenides.

[0054] A gate stack 106 including a gate electrode material 108 and, optionally, a gate insulator 112, may wrap entirely or almost entirely around a portion of the nanoribbon 104 as shown in FIG.

1, with the channel region of the transistor 110 being the active region (channel region) of the channel material in the portion of the nanoribbon 104 wrapped by the gate stack 106. The gate insulator 112 is not shown in the perspective drawing of the IC device 100 shown in FIG. 1 but is shown in an inset 130 of FIG. 1, providing a cross-sectional side view of a portion of the nanoribbon 104 with a gate stack 106 wrapping around it. As shown in FIG. 1, the gate insulator 112 may wrap around a transversal portion/ cross-section of the nanoribbon 104, and the gate electrode material 108 may wrap around the gate insulator 112. [0055] The gate electrode material 108 may include at least one P-type work function metal or N- type work function metal, depending on whether the transistor 110 is a PMOS transistor or an IMMOS transistor. P-type work function metal may be used as the gate electrode material 108 when the transistor 110 is a PMOS transistor and N-type work function metal may be used as the gate electrode material 108 when the transistor 110 is an NMOS transistor. For a PMOS transistor, metals that may be used for the gate electrode material .108 may include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides (e.g., ruthenium oxide). For an NMOS transistor, metals that may be used for the gate electrode material 108 include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals (e.g., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide). In some embodiments, the gate electrode material 108 may include a stack of two or more metal layers, where one or more metal layers are work function metal layers and at least one metal layer is a fill metal layer. Further layers may be included next to the gate electrode material 108 for other purposes, such as to act as a diffusion barrier layer or/and an adhesion layer.

[0056] In some embodiments, the gate insulator 112 may include one or more high-k dielectrics including any of the materials discussed herein with reference to the insulator material that may surround portions of the transistor 110. In some embodiments, an annealing process may be carried out on the gate insulator 112 during manufacture of the transistor 110 to improve the quality of the gate insulator 112. The gate insulator 112 may have a thickness that may, in some embodiments, be between about 0.5 nanometers and 3 nanometers, including all values and ranges therein (e.g., between about 1 and 3 nanometers, or between about 1 and 2 nanometers), although, in other embodiments, the thickness of the gate insulator 112 may be greater than 3 nanometers. In some embodiments, the gate stack 106 may be surrounded by a gate spacer, not shown in FIG. 1. Such a gate spacer would be configured to provide separation between the gate stack 106 and source/drain contacts of the transistor 110 and could be made of a low-k dielectric material, some examples of which have been provided above. A gate spacer may include pores or air gaps to further reduce its dielectric constant.

[0057] In some embodiments, the gate insulator 112 may include a hysteretic material or a hysteretic arrangement, which, together, may be referred to as a "hysteretic element." Transistors 110 in which the gate insulator 124 includes a hysteretic element may be described as "hysteretic transistors" and may be used to implement hysteretic memory. Hysteretic memory refers to a memory technology employing hysteretic materials or arrangements, where a material or an arrangement may be described as hysteretic if it exhibits the dependence of its state on the history of the material (e.g., on a previous state of the material). Ferroelectric (FE) and antiferroelectric (AFE) materials are examples of hysteretic materials. Layers of different materials arranged in a stack to exhibit charge-trapping phenomena is an example of a hysteretic arrangement.

[0058] A FE or an AFE material is a material that exhibits, over some range of temperatures, spontaneous electric polarization, e.g., displacement of positive and negative charges from their original position, where the polarization can be reversed or reoriented by application of an electric field. In particular, an AFE material is a material that can assume a state in which electric dipoles from the ions and electrons in the material may form a substantially ordered (e.g., substantially crystalline) array, with adjacent dipoles being oriented in opposite (antiparallel) directions (e.g., the dipoles of each orientation may form interpenetrating sub-lattices, loosely analogous to a checkerboard pattern), while a FE material is a material that can assume a state in which all of the dipoles point in the same direction. Because the displacement of the charges in FE and AFE materials can be maintained for some time even in the absence of an electric field, such materials may be used to implement memory cells. Because the current state of the electric dipoles in FE and AFE materials depends on the previous state, such materials are hysteretic materials. Memory technology where logic states are stored in terms of the orientation of electric dipoles in (e.g,, in terms of polarization of) FE or AFE materials is referred to as "FE memory," where the term "ferroelectric'' is said to be adopted to convey the similarity of FE memories to ferromagnetic memories, even though there is typically no iron (Fe) present in FE or AFE materials.

[0059] A stack of alternating layers of materials that is configured to exhibit charge-trapping is an example of a hysteretic arrangement. Such a stack may include as little as two layers of materials, one of which is a charge-trapping layer (e.g., a layer of a material configured to trap charges when a volage Is applied across the material) and the other one of which Is a tunneling layer (e.g., a layer of a material through which the charge is to be tunneled to the charge-trapping layer). The tunneling layer may include an insulator material such as a material that includes silicon and oxygen (e.g., silicon oxide), or any other suitable insulator. The charge-trapping layer may include a metal or a semiconductor material that is configured to trap charges. Because the trapped charges may be kept in a charge-trapping arrangement for some time even in the absence of an electric field, such arrangements may be used to implement memory cells. Because the presence and/or the number of trapped charges in a charge-trapping arrangement depends on the previous state, such arrangements are hysteretic arrangements. Memory technology where logic states are stored in terms of the amount of charge trapped in a hysteretic arrangement may be referred to as "chargetrapping memory." [0060] Hysteretic memories have the potential for adequate non-volatiiity, short programming time, low power consumption, high endurance, and high-speed writing. In addition, hysteretic memories may be manufactured using processes compatible with the standard complementary metal-oxide-semiconductor (CMOS) technology. Therefore, over the last few years, these types of memories have emerged as promising candidates for many growing applications.

[0061] in some embodiments, the hysteretic element of the gate insulator 112 may be provided as a layer of a FE or an APE material. Such an FE/AFE material may include one or more materials that can exhibit sufficient FE/AFE behavior even at thin dimensions, e.g., such as an insulator material at least about 5%, e.g., at least about 7% or at least about 10%, of which is in an orthorhombic phase and/or a tetragonal phase (e.g., as a material in which at most about 95-90% of the material may be amorphous or in a monoclinic phase). For example, such materials may be based on hafnium and oxygen (e.g., hafnium oxides), with various dopants added to ensure sufficient amount of an orthorhombic phase or a tetragonal phase. Some examples of such materials include materials that include hafnium, oxygen, and zirconium (e.g., hafnium zirconium oxide (HfZrO, also referred to as HZO)), materials that include hafnium, oxygen, and silicon (e.g., silicon-doped (Si-doped) hafnium oxide), materials that include hafnium, oxygen, and germanium (e.g., germanium-doped (Ge-doped) hafnium oxide), materials that include hafnium, oxygen, and aluminum (e.g., aluminum-doped (Aldoped) hafnium oxide), and materials that include hafnium, oxygen, and yttrium (e.g., yttrium-doped (Y-doped) hafnium oxide). However, in other embodiments, any other materials which exhibit FE/AFE behavior at thin dimensions may be used as the hysteretic element and are within the scope of the present disclosure.

[0062] in other embodiments, the hysteretic element of the gate insulator 112 may be provided as a stack of alternating layers of materials that can trap charges. In some such embodiments, the stack may be a two-layer stack, where one layer is a charge-trapping layer and the other layer is a tunneling layer. The tunneling layer may include an insulator material such as a material that includes silicon and oxygen (e.g., silicon oxide), or any other suitable insulator. The charge-trapping layer may include an electrically conductive material such as a metal, or a semiconductor material. In some embodiments, the charge-trapping layer may include a sub-stoichiometric material (e.g., a material that includes less than a stochiometric amount of a reagent). The sub-stoichiometric material may include vacancies in concentration of at least about 10 18 vacancies per cubic centimeter, e.g., in concentration between about 10 18 vacancies per cubic centimeter and about 10 22 -10 23 vacancies per cubic centimeter. As known in the art, vacancies refer to cites where atoms (e.g., oxygen or nitrogen) that should be present are missing, thus providing a defect in a material. For example, the sub-stoichiometric material of any of the hysteretic elements described herein may include oxygen and the vacancies may be oxygen vacancies, or the sub-stoichiometric material may include nitrogen and the vacancies may be nitrogen vacancies. During operation, charges may be trapped in the vacancies of the sub-stoichiometric mater ial. Thus, implementing a sub- stoichiometric material with vacancies is one way to provide a charge-trapping layer of a hysteretic arrangement. In general, any material that has defects that can trap charge may be used in/as a charge-trapping layer. Such defects are very detrimental to operation of logic devices and, therefore, typically, deliberate steps need to be taken to avoid presence of the defects. However, for memory devices, such defects may be desirable because charge-trapping may be used to represent different memory states of a memory cell.

[0063] In some embodiments of the hysteretic element being provided as a stack of alternating layers of materials that can trap charges, the stack may be a three-layer stack where an insulator material is provided on both sides of a charge-trapping layer. In such embodiments, a layer of an insulator material on one side of the charge-trapping layer may be referred to as a ''tunneling layer" while a layer of an insulator material on the other side of the charge-trapping layer may be referred to as a "field layer."

[0064] In various embodiments of the hysteretic element being provided as a stack of alternating layers of materials that can trap charges, a thickness of each layer the stack may be between about 0.5 and 10 nanometers, including all values and ranges therein, e.g., between about 0.5 and 5 nanometers. In some embodiment of a three-layer stack, a thickness of each layer of the insulator material may be about 0.5 nanometers, while a thickness of the charge-trapping layer may be between about 1 and 8 nanometers, e.g., between about 2.S and 7.5 nanometers, e.g., about 5 nanometers. In some embodiments, a total thickness of the hysteretic element provided as a stack of alternating layers of materials that can trap charges (e.g., a hysteretic arrangement) may be between about 1 and 10 nanometers, e.g., between about 2 and 8 nanometers, e.g., about 6 nanometers.

[0065] Turning to the S/D regions 114 of the transistor 110, in some embodiments, the S/D regions may be highly doped, e.g., with dopant concentrations of about IO 23 dopants per cubic centimeter, in order to advantageously form Ohmic contacts with the respective S/D electrodes, although these regions may also have lower dopant concentrations and may form Schottky contacts in some implementations. Irrespective of the exact doping levels, the S/D regions of a transistor may be the regions having dopant concentration higher than in other regions, e.g., higher than a dopant concentration in the channel region (e.g., in a channel material extending between the first S/D region 114-1 and the second S/D region 114-2), and, therefore, may be referred to as "highly doped" (HD) regions. The channel region of the transistor 110 may include semiconductor materials with doping concentrations significantly smaller than those of the S/D regions 114.

[0066] The S/D regions 114 of the transistor 110 may generally be formed using either an implantation/diffusion process or an etching/deposition process. In the former process, dopants such as boron, aluminum, antimony, phosphorous, or arsenic may be ion-implanted into the nanoribbon 104 to form the source and drain regions. An annealing process that activates the dopants and causes them to diffuse further into the nanoribbon 104 may follow the ion implantation process. In the latter process, portions of the nanoribbon 104 may first be etched to form recesses at the locations of the future S/D regions 114. An epitaxial deposition process may then be carried out to fill the recesses with material that is used to fabricate the S/D regions 114. In some implementations, the S/D regions 114 may be fabricated using a silicon alloy such as silicon germanium or silicon carbide. In some implementations, the epitaxially deposited silicon alloy may be doped in situ with dopants such as boron, arsenic, or phosphorous. In further embodiments, the S/D regions 114 may be formed using one or more alternate semiconductor materials such as germanium or a group lll-V material or alloy. And in further embodiments, one or more layers of metal and/or metal alloys may be used to form the S/D regions 114. In some embodiments, a distance between the first and second S/D regions 1.14 (e.g., a dimension measured along the longitudinal axis 120 of the nanoribbon 104) may be between about 5 and 40 nanometers, including all values and ranges therein (e.g., between about 22 and 35 nanometers, or between about 20 and 30 nanometers).

[0067] FIG. 2 provides a perspective view of an example IC device 200 implementing a FinFET 210, in accordance with some embodiments. The FinFET 210 is another example of a transistor that may be implemented as an angled transistor of a 2T memory ceil and that may be included in various IC devices and assemblies described herein.

[0068] Turning to the details of FIG. 2, the IC device 200 may include a semiconductor material, which may include one or more semiconductor materials, formed as a fin 204 extending away from a support structure 202. A FinFET 210 may be formed on the basis of the fin 204 by having a gate stack 206 at least partially wrap around a channel region of the fin 204 and by having source and drain regions, shown in FIG. 2 as a first S/D region 214-1 and a second S/D region 214-2, on either side of the gate stack 206. As shown in FIG. 2, the gate stack 206 includes a gate electrode material 208 and a gate insulator 212, each of which wraps entirely or almost entirely around the channel region of the fin 204, although in other embodiments of the IC device 200 the gate insulator 212 may be absent. Descriptions provided above with reference to the support structure 102, the gate stack 106, the gate electrode material 108, the gate insulator 112, and the S/D regions 114 are applicable to, respectively, the support structure 202, the gate stack 206, the gate electrode material 208, the gate insulator 212, and the S/D regions 214, and, therefore, in the interests of brevity, are not repeated. FIG. 2 further illustrates an STI 216, enclosing sidewalls of a subfin portion 218 of the fin 204. The STI 216 may include any of the insulator materials described above, e.g., any suitable ILD materials. Descriptions provided above with reference to the channel material of the transistor 110 are applicable to the semiconductor material of at least a channel region of the fin 2.04 (e.g., of at least a portion of the fin 204 wrapped by the gate stack 206) and, therefore, in the interests of brevity, are not repeated.

[00S9] A longitudinal axis 220 of the fin 204 may be along the y-axis of the example coordinate system shown in the present drawings. The FinFET 210 may have a gate length (e.g., a distance between the first and second S/D regions 214-1, 214-2), a dimension measured along the longitudinal axis 220, which may, in some embodiments, be between 2 and 60 nanometers, including all values and ranges therein (e.g., between 5 and 20 nanometers, or between 5 and 30 nanometers). Although the fin 204 is illustrated in FIG. 2 as having a rectangular cross-section in an x-z plane, the fin 204 may instead have a cross-section that is rounded or sloped at the "top" of the fin 204, and the gate stack 206 may conform to this rounded or sloped fin 204. In use, the FinFET 2.10 may form conducting channels on three "sides" of the fin 204, potentially improving performance relative to single-gate transistors (which may form conducting channels on one "side" of a channel material or substrate) and double-gate transistors (which may form conducting channels on two "sides" of a channel material or substrate). It should be noted that, similar to FIG.

1, FIG. 2 illustrates the x-y-z coordinate system being aligned so that the longitudinal axis 220 is along the y-axis and the gate being aligned along the x-axis only for the ease of explanations of this particular drawing. However, for FinFETs that are implemented as angled transistors as described herein, the longitudinal axis 220 would be in the x-y plane but angled (e.g., at an angle between about 10 and 80 degrees) with respect to the y-axis.

[0070] Either the nanoribbon 104 or the fin 204 may be an elongated structure based on which any of the angled transistors described herein may be built. In other words, any of the angled transistors within 2T memory cells as described herein may be implemented as, e.g., the transistor 110 or the FinFET 210.

[0071] FIG. 3 provides a top-down view of an example IC device 300 with an angled transistor 310, in accordance with some embodiments. A number of elements labeled in FIG. 3, as well in some of the subsequent drawings (e.g., FIGS. 7-9) with reference numerals that are indicated in these drawings with different patterns in order to not clutter the drawings with too many reference numerals, with a legend showing the correspondence between the reference numerals and patterns being provided within a dashed box at the bottom or on the side of these drawings. For example, such a legend illustrates that FIG. 3 uses different patterns to show an elongated structure 304, a gate 306, etc.

[0072] The top-down view of the IC device 300 shown in FIG. 3 is intended to show relative arrangements of some of the components therein, and the IC device 300, or portions thereof, may include other components that are not illustrated. For example, although not specifically illustrated in FIG. 3, the IC device 300 may include multiple transistors implemented in, or based on, the elongated structure 304, and/or may include gate spacers as known in the art. In another example, although not specifically illustrated in FIG. 3, at least portions of the elongated structure 304 may be surrounded in an insulator material, such as any of the ILD materials described above.

[0073] As shown in FIG. 3, the IC device 300 may include a support structure 302, which may include four edges 303, individually shown as an edge 303-1, 303-2, 303-3, and 303-4, The edges

303 may be edges of either the front side of the support structure 302 or the back side of the support structure 302, depending on whether the elongated structures 304 are provided on the front side or the back side of the support structure 302. The support structure 302 may be any of the support structures 102/202, described herein. The support structure 302 is, typically, rectangular and, therefore, adjacent ones of the edges 303 are at 90 degrees with respect to one another (e.g., the edges 303-1 and 303-2 are adjacent and at 90 degrees with respect to one another, the edges 303-2 and 303-3 are adjacent and at 90 degrees with respect to one another, and so on).

[0074] As shown in FIG. 3, an elongated structure 304 (but, typically, a plurality of such structures) may be provided over the support structure 302. In some embodiments, the elongated structure

304 may be the nanoribbon 104 or the fin 204, described herein. The elongated structure 304 shown in FIG. 3 is "angled" because its longitudinal axis 320 (shown in FIG. 3 as a dash-dotted arrow and being analogous to the longitudinal axes 120, 220, described above) is not at angles of either 0 degrees or 90 degrees with respect to the edges 303 of the support structure 302. As shown in FIG. 3, the longitudinal axis 320 may be at an angle 305 with respect to the y-axis of the example coordinate system shown, where the angle 305 may be between about 10 and 80 degrees. Thus, the transistor 310 (the approximate outline of which is shown in FIG. 3 to be within a dotted contour) is an angled transistor. The transistor 310 may be implemented as, e.g., the transistor 110 or 210, described above.

[0075] FIG. 3 and the analogous subsequent drawings that show the top-down views of the IC structures with angled transistors illustrate the gates 306 as non-angled gates. For example, in FIG, 3, the gate 306 is at an angle of 90 degrees with respect to each of the edges 303-1 and 303-3 and is at an angle of 0 degrees with respect to each of the edges 303-2 and 303-4. As a result, the gate 306 is angled with respect to the direction of carrier transport of the transistor 310, as defined by the angled longitudinal axis 320. In other embodiments of the IC devices described herein, the gates 306 may be angled with respect to the edges 303, as long as they remain to be angled with respect to the direction of carrier transport of the transistors 310. Any of the gates 306 may be, or may include, any of the gate stacks 106/206, described herein,

[0076] FIG. 3 further illustrates that the transistor 310 may include first and second S/D contacts 324-1, 324-2. The first S/D contact 324-1 may be an electrical contact to the first S/D region of the transistor 310 (e.g., the first S/D region 114-1 or 214-1 of FIGS. 1-2), while the second S/D contact 324-2 may be an electrical contact to the second S/D region of the transistor 310 (e.g., the second S/D region 114-2 or 214-2 of FIGS. 1-2), and may include any suitable electrically conductive material, as known in the art. In particular, FIG. 3 illustrates an embodiment where the first and second S/D contacts 324-1, 324-2 may also be non-angled, e.g., may be at the same angle with respect to the edges 303 as the gate 306, although, in other embodiments of the IC devices described herein, the S/D contacts 324 may be angled. Irrespective of whether the S/D contacts 324 are angled, arranging the longitudinal axis 320 of the transistor 310 at an angle with respect to the gate 306 (e.g., arranging the longitudinal axis 320 so that it is not perpendicular to the gate 306) ensures that the cross-section for carrier transport between the first and second S/D regions of the transistor 310 is larger compared to what it would have been for a given width of the elongated structure 304 that is not angled with respect (e.g., perpendicular) to the gate 306, advantageously resulting in an increased drive current for the transistor 310. In particular, if the elongated structure 304 of the transistor 310 was perpendicular to the gate 306, as is done in conventional implementations, then the cross-section for carrier transport between the first and second S/D regions of the transistor 310 would be a cross-section in a plane perpendicular to the x-y plane of the example coordinate system shown in FIG. 3 with the dimension in the x-y plane being a dimension 311-1 (e.g., the width of the elongated structure 304). However, when the elongated structure 304 and the gate 306 are angled with respect to one another, e.g., as shown in FIG. 3, then the cross-section for carrier transport between the first and second S/D regions of the transistor 310 is a cross-section in a plane perpendicular to the x-y plane of the example coordina te system shown in FIG. 3 with the dimension in the x-y plane being a dimension 311-2 (e.g., the dimension along the x-axis of the example coordinate system shown). The dimension 311-2 is larger than the dimension 311-1 because the dimension 311-2 is the hypotenuse of a triangle in which the dimension 311-1 is a side of the triangle. Because for these two scenarios the dimension along the z-axis is the same (as defined by the height of the elongated structure 304 in the z-axis direction), increasing the dimension in the x-y plane leads to an increased cross-section and, thus, to an increased drive current for a given width of the elongated structure 304.

[0077] FIG. 4 provides an electric circuit diagram of a memory ceil 400 that may be implemented using angled transistors, in accordance with some embodiments. FIG. 5 provides an electric circuit diagram of an array 500 of the memory cells 400, in accordance with some embodiments. In FIGS. 4- 5 each of the transistors is illustrated using a conventional electric circuit diagram representation with gate, first S/D, and second S/D terminals being labeled as, respectively, G, SD1, and SD2. Furthermore, to assist explanations, FIGS. 4-5 provide labels for the individual transistors. For example, FIG. 4 labels the write transistor of the memory cell 400 as "WT" and labels the read transistor as "RT." FIG. 5 shows multiple instances of the memory cells 400 and labels different instances of the memory cells 400 using two digits to differentiate between the cells. FIG. 5 then labels the write transistors of the array 500 with the letter "W" and labels the read transistors with the letter "R," further adding the two digits to the labels of different transistors to identify the respective memory cells 400 that those transistors belong to. The electric circuit diagrams of FIGS. 4-5 further label various memory control lines that may be coupled to the memory cells 400, such as WWL, WBL, RWL, and RBL, sometimes with a digit appended to the label to differentiate between different instances of the same type of control lines.

[0078] Turning to the details of the memory cell 400, as shown in FIG. 4, the cell 400 includes a write transistor (WT) and a read transistor (RT). The first S/D terminal (SD1) of the read transistor is coupled (e.g., directly connected) to the RWL, the second S/D terminal (SD2) of the read transistor is coupled (e.g., directly connected) to the RBL, and the gate terminal (G) of the read transistor is coupled (e.g., directly connected) to the first S/D terminal (SD1) of the write transistor. The second S/D terminal (SD2) of the write transistor is coupled (e.g., directly connected) to the WBL, and the gate terminal (G) of the write transistor is coupled (e.g., directly connected) to the WWL. In general, READ and WRITE access to the memory cell 400 (i.e., performance of READ and WRITE operations) is realized using a combination of the RBL, the RWL, the WBL, and the WWL, each of which is an interconnect (e.g., an electrically conductive line) to which a certain voltage is applied to sense (i.e,, READ) or program (i.e., WRITE) a memory state of the memory cell 400. Together, the RBL, the RWL, the WBL, and the WWL are referred to herein as "memory control lines" (or, simply, "control lines") because they are used to control a memory state of the memory cell 400.

[0079] FIG. 5 illustrates an array 500 with four example memory ceils 400 of FIG. 4, labeled as memory cells 400-20, 400-21, 400-11, and 400-12. As shown in FIG. 5, the memory cells 400-11 and 400-12 may be coupled to a single first WWL, WWL1, by having the gate terminals of their write transistors Wil arid W12 being coupled to the WWL1. Thus, the gate terminals of the write transistors WU and W12 of the array 500 are coupled to one another, via the WWL1. The write transistors Wil and W12 of the array 500 also have their second S/D terminals coupled to one another and both coupled to a single WBL, WBL1. The first S/D terminals of the write transistors Wil and W12 of the array 500 are coupled to the gates of respective (i.e., different) read transistors. Namely, as shown in FIG. 5, the first S/D terminal of the write transistor Wil is coupled to the gate terminal of the read transistor Rll which has the first S/D terminal coupled to a RWL1 and has the second S/D terminal coupled to RBL1. Similarly, the first S/D terminal of the write transistor W12 of the array 500 is coupled to the gate terminal of the read transistor R12 which has the first S/D terminal coupled to a further RWL (not specifically shown in FIG. 5) and has the second S/D terminal coupled to a further RBL (also not specifically shown in FIG. 5).

[0080] FIG. 5 further illustrates that the memory cells 400-20 and 400-21 may be coupled to a single second WWL, WWL2, by having the gate terminals of their write transistors W20 and W21 being coupled to the WWL2. Thus, the gate terminals of the write transistors W20 and W21 of the array 500 are coupled to one another, via the WWL2. The write transistors W20 and W21 of the array 500 also have their second S/D terminals coupled to one another and both coupled to a single WBL, WBL0. The first S/D terminals of the write transistors W20 and W21 of the array 500 are coupled to the gates of respective (i.e., different) read transistors, Namely, as shown in FIG. 5, the first S/D terminal of the write transistor W21 is coupled to the gate terminal of the read transistor R21 which has the first S/D terminal coupled to the RWL1 and has the second S/D terminal coupled to a RBLO. Similarly, the first S/D terminal of the write transistor W20 of the array 500 is coupled to the gate terminal of the read transistor R20 which has the first S/D terminal coupled to a further RWL (not specifically shown in FIG. 5) and has the second S/D terminal coupled to a further RBL (also not specifically shown in FIG. 5). In further embodiments, additional pairs of memory cells 400 may be coupled to each of the WWLs and/or additional pairs of memory cells 400 may be coupled to each of the RWLs.

[0081] In various embodiments, the write and the read transistors of the array 500 may be implemented in different layers with respect to a support structure of an IC device. FIGS. 6A-6D provide schematic illustrations of IC devices 600 with arrays of read transistors and arrays of write transistors of 2T memory cells being implemented in different planes with respect to a support structure, in accordance with some embodiments. Each of FIGS. 6A-6D shows a different embodiment of an IC device 600 with a support structure 602, a read transistor layer 610, and a write transistor layer 620. The support structure 602 may be an example of the support structure 302, described above. The read transistor layer 610 may include two or more read transistors of the memory cells 400, e.g., the read transistors Rll and R12 of the array 500. The read transistor layer 610 may include two or more read transistors of the memory cells 400, e.g., the read transistors R21 and Rll of the array 500. The write transistor layer 620 may include two or more write transistors of the memory cells 400, e.g., the write transistors W20, W21, Wil, and W12 of the array 500. In this way, the IC device 600 may be an example of an IC device implementing the array 500 of the memory cells 400. As shown in FIG. 6A, in some embodiments, the read transistor layer 610 may be between the support structure 602 and the write transistor layer 620. As shown in FIG, 6B, in some embodiments, the write transistor layer 620 may be between the support structure 602 and the read transistor layer 610. As shown in FIG. 6C, in some embodiments, the read transistor layer 610 may be on the back side of the support structure 602 and the write transistor layer 620 may be on the front side of the support structure 602. As shown in FIG. 6D, in some embodiments, the read transistor layer 610 may be on the front side of the support structure 602 and the write transistor layer 620 may be on the back side of the support structure 602. Although not specifically shown in FIG. 6, in further embodiments, the IC device 600 may include two or more of the read transistor layers 610 and/or two or more of the write transistor layers 620.

[0082] In various embodiments, either the transistors of the read transistor layer 610 or the transistors of the write transistor layer 620 of the IC device 600 may be implemented as angled transistors. An example of an IC device where the transistors of the read transistor layer 610 are implemented as angled transistors is shown in FIGS. 7A-7C, providing different top-down views of an IC device 700 implementing the array 500 of FIG. 5, in accordance with some embodiments. The IC device 700 is an example of the IC device 600 with read and write transistors of the array 500 being implemented in, respectively, the read transistor layer 610 and the write transistor layer 620. In particular, FIG, 7A illustrates a view of the read transistor layer 610 of the IC device 700, FIG. 7B illustrates a view of the write transistor layer 620 of the IC device 700, and FIG. 7C illustrates a view of the IC device 700 with the write transistor layer 620 being superimposed over the read transistor layer 610 in order to illustrate geometric relations of the read and write transistors. While FIG. 7C illustrates the arrangement of the read transistor layer 610 and the write transistor layer 620 with respect to the support structure 602 of the IC device 600 of FIG. 6A (i.e., the read transistor layer 610 being between the support structure 602 and the write transistor layer 620), in other embodiments, the read transistor layer 610 and the write transistor layer 620 of the IC device 700 may be implemented in arrangements of any of the IC devices 600 as shown in FIGS. 6B-6D.

[0083] As shown in FIG. 7A, the read transistor layer 610 may be implemented by providing a plurality of elongated structures 304, angled with respect to the edges 303 of the support structure 602 as described with reference to FIG. 3. The read transistors Rll and R21 may be provided along a single elongated structure 304 of the IC device 700, with their first S/D terminals being coupled to one another by having a single first S/D contact 32.4-1 that is shared between these read transistors. In FIG. 7 A, biack dots illustrate electrical coupling between various components. Thus, FIG. 7A illustrates the RWL1 coupled (e.g., directly connected) to the shared first S/D contact 324-1 of the read transistors Rll and R21, the RBLO coupled (e.g., directly connected) to the second S/D contact 324-2 of the read transistor R21, and the RBL1 coupled (e.g., directly connected) to the second S/D contact 324-2 of the read transistor Rll. FIG. 7A further illustrates conductive vias 702-1 and 702-2. The via 702-1 may be used to electrically couple (e.g., directly connect) the gate 306 of the read transistor Rll to the first S/D terminal of the write transistor Wil, provided in another plane with respect to the support structure 602. The via 702-2 may be used to electrically couple (e.g., directly connect) the gate 306 of the read transistor R21 to the first S/D terminal of the write transistor W21, also provided in another plane with respect to the support structure 602. FIG. 7A does not illustrate other read transistors implemented along the elongated structures 304 shown to the left and to the right of the one with the read transistors Rll and R21 in order to not clutter the drawing and because the IC device 700 only shows the transistors of the array 500 as shown in FIG. 5. However, in other embodiments, these other elongated structures 304 may include other read transistors, implemented in accordance with the descriptions provided herein.

[0084] As shown in FIG. 7B, the write transistor layer 62.0 may be implemented by providing a plurality of elongated structures 304 that are non-angled with respect to the edges 303 of the support structure 602. The write transistors Wil and W12 may be provided along a first elongated structure 304 of the write transistor layer 620 of the IC device 700, with their second S/D terminals being coupled to one another by having a single second S/D contact 324-2 that is shared between these write transistors. The write transistors W20 and W21 may be provided along a second elongated structure 304 of the write transistor layer 620 of the IC device 700, with their second S/D terminals being coupled to one another by having a single second S/D contact 324-2 that is shared between these write transistors. Similar to FIG. 7A, in FIG. 7B, black dots illustrate electrical coupling between various components. Thus, FIG. 7B illustrates the WWL1 coupled (e.g., directly connected) to the gates 306 of the write transistors Wil and W12, the WWL2 coupled (e.g., directly connected) to the gates 306 of the write transistors W20 and W21, the WBL1 coupled (e.g,, directly connected) to the shared second S/D contact 324-2 of the write transistors Wil and W12, and the WBL0 coupled (e.g., directly connected) to the shared second S/D contact 324-2 of the write transistors W20 and W21. FIG. 73 further illustrates the conductive vias 702-1 and 702-2, as described above. FIG. 7B does not illustrate other read transistors implemented along the elongated structures 304 and does not show other elongated structures besides the two illustrated in the drawing in order to not clutter the drawing and because the IC device 700 only shows the transistors of the array 500 as shown in FIG. 5, However, in other embodiments, the IC device 700 may include additional write transistors provided along the elongated structures 304 that house the transistors shown in FIG. 78, and/or may include additional elongated structures 304 with further write transistors, implemented in accordance with the descriptions provided herein.

[0085] FIG. 7C illustrates a view of the IC device 700 with the write transistor layer 620 of FIG. 7B being superimposed over the read transistor layer 610 of FIG, 7A in order to illustrate geometric relations of the read and write transistors of the array 500 as described herein. In FIG. 7C, the nonangled elongated structures 304 of the write transistor layer 620 are shown with a solid color, rather than a pattern as in the other drawings, in order to show the other elements provided below it, e.g., the components of the read transistor layer 610. As shown in FIG. 7C, the read transistors and the write transistors of a memory array of 2T memory cells 400 may be stacked with respect to one another so that a footprint of an array of the read transistors of the read transistor layer 610 at least partially overlaps with a footprint of an array of the write transistors of the write transistor layer 620. For example, as shown in FIG. 7C, a footprint of the first S/D contact 324-1 of the write transistor Wil may at least partially overlap with a footprint of the gate 306 of the read transistor Rll in order to allow electrical coupling of these two terminals by means of the via 702-1. Similarly, FIG, 7C further illustrates that a footprint of the first S/D contact 324-1 of the write transistor W21 may at least partially overlap with a footprint of the gate 306 of the read transistor R21 in order to allow electrical coupling of these two terminals by means of the via 702-2.

[0086] As described above, in various embodiments, either the read transistors may be angled and the write transistors non-angled, or the write transistors may be angled and the read transistors non-angled. FIG. 7 illustrated an example of the former, while FIGS. 8 and 9 illustrate different examples of the latter.

[0087] FIGS. 8A-8C provide different top-down views of an IC device 800 implementing an array of 2T memory cells of FIG. 5 with angled write transistors and angled read control lines, in accordance with some embodiments. The IC device 800 is an example of the IC device 600 with read and write transistors of the array 500 being implemented in, respectively, the read transistor layer 610 and the write transistor layer 620. In particular, FIG. 8A illustrates a view of the read transistor layer 610 of the IC device 800, FIG. 88 illustrates a view of the write transistor layer 620 of the IC device 800, and FIG. 8C illustrates a view of the iC device 800 with the write transistor layer 620 being superimposed over the read transistor layer 610 in order to illustrate geometric relations of the read and write transistors. While FIG. 8C illustrates the arrangement of the read transistor layer 610 and the write transistor layer 620 with respect to the support structure 602 of the IC device 600 of FIG. 6A (i.e., the read transistor layer 610 being between the support structure 602 and the write transistor layer 620), in other embodiments, the read transistor layer 610 and the write transistor layer 62.0 of the IC device 800 may be implemented in arrangements of any of the IC devices 600 as shown in FIGS. 6B- 6D.

[0088] As shown in FIG. 8A, the read transistor layer 610 of the IC device 800 is substantially the same as that of the IC device 700, except that, in the IC device 800, the elongated structures 304 are non-angled and the read control lines RBLO, RBL1, and RWL1 are angled. For example, as shown in FIG. 8A, a projection of each of the elongated structures 304 of the IC device 800 onto the support structure 602 may be at an angle of substantially 90 degrees with respect to the edges 303-1 and 303-3, while a similar projection of each of the read control lines RBLO, RBL1, and RWL1 may be at an angle between about 10 and 80 degrees. FIG. 8A also illustrates that the gates 306, the first S/D contacts 324-1 and the second S/D contacts 324-2 of the read transistor Rll and R2..1 of the IC device 800 may also be angled, e.g., substantially in the same manner as the read control lines RBLO, RBL1, and RWL1.

[0089] As shown in FIG. 8B, the write transistor layer 620 of the IC device 800 is substantially the same as that of the IC device 700, except that, in the IC device 800, the elongated structures 304 of the write transistor layer 620 are angled and the write control lines WBL0, WBL1, WWL1 and WWL2 are angled. For example, as shown in FIG, 8B, a projection of each of the elongated structures 304 of the IC device 800 onto the support structure 602 may be at an angle between about 10 and 80 degrees with respect to the edges 303-1 and 303-3, which may also be an angle between each of the write control lines WBL0, WBL1, WWL1 and WWL2 with respect to the same edges 303. In other words, the write control lines WBL0, WBL1, WWL1 and WWL2 may be aligned with (e.g., substantially parallel to) the elongated structures 304 of write transistor layer 620 of the IC device 800.

[0090] FIG. 8C illustrates the write transistor layer 620 of FIG. 8B being superimposed over the read transistor layer 610 of FIG. 8A. Descriptions of FIG. 7C are applicable to FIG. 8C and, therefore, in the interests of brevity, are not repeated.

[0091] FIGS. 9A-9C provide different top-down views of an IC device 900 implementing an array of 2T memory ceils of FIG. 5 with angled write transistors and non-angled read control lines, in accordance with some embodiments. The IC device 900 is an example of the IC device 600 with read and write transistors of the array 500 being implemented in, respectively, the read transistor layer 610 and the write transistor layer 620. In particular, FIG. 9A illustrates a view of the read transistor layer 610 of the IC device 900, FIG. 9B illustrates a view of the write transistor layer 620 of the IC device 900, and FIG, 9C illustrates a view of the IC device 900 with the write transistor layer 620 being superimposed over the read transistor layer 610 in order to illustrate geometric relations of the read and write transistors. While FIG. 9C illustrates the arrangement of the read transistor iayer 610 and the write transistor layer 620 with respect to the support structure 602 of the IC device 600 of FIG. 6A (i.e., the read transistor iayer 610 being between the support structure 602 and the write transistor layer 620), in other embodiments, the read transistor layer 610 and the write transistor layer 620 of the IC device 900 may be implemented in arrangements of any of the IC devices 600 as shown in FIGS. 6B-6D.

[0092] As shown in FIG. 9A, the read transistor layer 610 of the IC device 900 is substantially the same as that of the IC device 800, except that, in the IC device 900, the read control lines RBLO, RBL1, and RWL1 are non-angled. For example, as shown in FIG. 9A, a projection of each of the read control lines RBLO, RBL1, and RWL1 onto the support structure 602 may be at an angle of substantially 90 degrees with respect to the edges 303-2 and 303-4. FIG. 9A also illustrates that the gates 306, the first S/D contacts 324-1 and the second S/D contacts 324-2 of the read transistor RU and R21 of the IC device 900 may also be non-angled, e.g., substantially in the same manner as the read control lines RBLO, RBL1, and RWL1.

[0093] As shown in FIG. 9B, the write transistor iayer 620 of the IC device 900 is substantially the same as that of the IC device 800. Descriptions of FIG. 8B are applicable to FIG. 93 and, therefore, in the interests of brevity, are not repeated,

[0094] FIG. 9C illustrates the write transistor layer 620 of FIG. 9B being superimposed over the read transistor layer 610 of FIG. 9A. Descriptions of FIG. 7C are applicable to FIG. 9C and, therefore, in the interests of brevity, are not repeated.

[0095] Any of the 2T memory cells with angled transistors and any of the circuits with such memory ceils may be used to implement any suitable components. For example, in various embodiments, 2T memory cells with angled transistors as described herein may be part of one or more of: a central processing unit, a memory device (e.g., a high-bandwidth memory device), a memory cell, a logic circuit, input/output circuitry, a field programmable gate array ( FPG A) component such as an FPGA transceiver or an FPGA logic, a power delivery circuitry, an amplifier (e.g., a lll-V amplifier), Peripheral Component Interconnect Express (PCIE) circuitry, Double Data Rate (DDR) transfer circuitry, a computing device (e.g., a wearable or a handheld computing device), etc.

[0096] The IC devices implementing 2T memory cells with angled transistors disclosed herein may be included in any suitable electronic device. FIGS. 10-14 illustrate various examples of apparatuses that may include one or more of the IC devices implementing 2T memory cells with angled transistors disclosed herein.

[0097] FIG. 10 illustrates top views of a wafer 2000 and dies 2002 that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein. In some embodiments,, the dies 2002 may be included in an IC package, in accordance with any of the embodiments disclosed herein. For example, any of the dies 2002 may serve as any of the dies 2256 in an IC package 2200 shown in FIG. 11. The wafer 2000 may be composed of semiconductor material and may include one or more dies 2002 having IC structures formed on a surface of the wafer 2000. Each of the dies 2002 may be a repeating unit of a semiconductor product that includes any suitable IC (e.g., ICS including one or more IC devices implementing 2T memory cells with angled transistors as described herein). After the fabrication of the semiconductor product is complete (e.g., after manufacture of any embodiment of the IC devices implementing 2T memory cells with angled transistors as described herein), the wafer 2000 may undergo a singulation process in which each of the dies 2002 is separated from one another to provide discrete "chips" of the semiconductor product. In particular, devices that include one or more angled transistors as disclosed herein may take the form of the wafer 2000 (e.g., not singulated) or the form of the die 2002 (e.g., singulated). The die 2002 may include supporting circuitry to route electrical signals to various memory cells, transistors, capacitors, as well as any other IC components. In some embodiments, the wafer 2000 or the die 2002 may implement or include a memory device (e.g., a hysteretic memory device), a logic device (e.g., an AND, OR, NAND, or NOR gate), or any other suitable circuit element. Multiple ones of these devices may be combined on a single die 2002. For example, a memory array formed by multiple memory devices may be formed on a same die 2002 as a processing device (e.g., the processing device 2402 of FIG. 13) or other logic that is configured to store information in the memory devices or execute instructions stored in the memory array.

[0098] FIG. 11 is a side, cross-sectional view of an example IC package 2200 that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein. In some embodiments, the IC package 2200 may be a system-in- package (SIR).

[0099] The package substrate 2252 may be formed of a dielectric material (e.g., a ceramic, a buildup film, an epoxy film having filler particles therein, etc.), and may have conductive pathways extending through the dielectric material between the face 2272 and the face 2274, or between different locations on the face 2272, and/or between different locations on the face 2274.

[0100] The package substrate 2252 may include conductive contacts 2263 that are coupled to conductive pathways 2262 through the package substrate 2252, allowing circuitry within the dies 2256 and/or the interposer 2257 to electrically couple to various ones of the conductive contacts 2264 (or to other devices included in the package substrate 2252, not shown). [0101] The IC package 2200 may include an interposer 2257 coupled to the package substrate 2.252. via conductive contacts 2261 of the interposer 2257, first-level interconnects 2265, and the conductive contacts 2263 of the package substrate 2252. The first-level interconnects 2265 illustrated in FIG. 11 are solder bumps, but any suitable first-level interconnects 2265 may be used. In some embodiments, no interposer 2257 may be included in the IC package 2200; instead, the dies 2256 may be coupled directly to the conductive contacts 22.63 at the face 2.272. by first-level interconnects 2265.

[0102] The IC package 2200 may include one or more dies 2256 coupled to the interposer 2257 via conductive contacts 2254 of the dies 2256, first-level interconnects 2258, and conductive contacts 2260 of the interposer 22S7. The conductive contacts 2260 may be coupled to conductive pathways (not shown) through the interposer 22.57, allowing circuitry within the dies 2256 to electrically couple to various ones of the conductive contacts 2261 (or to other devices included in the Interposer 2257, not shown). The first-level interconnects 2258 illustrated in FIG. 11 are solder bumps, but any suitable first-level interconnects 2258 may be used. As used herein, a "conductive contact" may refer to a portion of electrically conductive material (e.g., metal) serving as an interface between different components; conductive contacts may be recessed in, flush with, or extending away from a surface of a component, and may take any suitable form (e.g., a conductive pad or socket).

[0103] In some embodiments, an underfill material 2266 may be disposed between the package substrate 2252 and the interposer 2257 around the first-level interconnects 2265, and a mold compound 2268 may be disposed around the dies 22S6 and the interposer 2257 and in contact with the package substrate 2252. In some embodiments, the underfill material 2.266 may be the same as the mold compound 2268. Example materials that may be used for the underfill material 2266 and the mold compound 2268 are epoxy mold materials, as suitable. Second-level interconnects 2270 may be coupled to the conductive contacts 2264. The second-level interconnects 2270 illustrated in FIG. 11 are solder balls (e.g., for a ball grid array arrangement), but any suitable second-level interconnects 22770 may be used (e.g,, pins in a pin grid array arrangement or lands in a land grid array arrangement). The second-level interconnects 22.70 may be used to couple the IC package 2200 to another component, such as a circuit board (e.g., a motherboard), an interposer, or another IC package, as known in the art and as discussed below with reference to FIG. 12.

[0104] The dies 2256 may take the form of any of the embodiments of the die 2002 discussed herein (e.g., may include any of the embodiments of the IC devices implementing 2T memory cells with angled transistors as described herein). In embodiments in which the IC package 2200 includes multiple dies 2256, the IC package 2200 may be referred to as a multi-chip package (MCP). The dies 2256 may include circuitry to perform any desired functionality. For exampie, one or more of the dies 2256 may be logic dies (e.g., silicon-based dies), and one or more of the dies 2256 may be memory dies (e.g., high-bandwidth memory), including embedded memory dies as described herein. In some embodiments, any of the dies 2256 may include one or more IC devices implementing 2T memory cells with angled transistors, e.g., as discussed above; in some embodiments, at least some of the dies 2256 may not include any IC devices implementing 21 memory ceils with angled transistors.

[0105] The IC package 2200 illustrated in FIG. 11 may be a flip chip package, although other package architectures may be used. For example, the IC package 2200 may be a bail grid array (BGA) package, such as an embedded wafer-level ball grid array (eWLB) package. In another example, the IC package 2200 may be a wafer-level chip scale package (WLCSP) or a panel fan-out (FO) package. Although two dies 2256 are illustrated in the IC package 2200 of FIG. 11, an IC package 2200 may include any desired number of the dies 2256. An IC package 2200 may include additional passive components, such as surface-mount resistors, capacitors, and inductors disposed on the first face 2272 or the second face 2274 of the package substrate 2252, or on either face of the interposer 2257. More generally, an IC package 2200 may include any other active or passive components known in the art,

[0106] FIG. 12 is a cross-sectional side view of an IC device assembly 2300 that may include components having one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein. The IC device assembly 2300 includes a number of components disposed on a circuit board 2302 (which may be, e.g., a motherboard). The IC device assembly 2300 includes components disposed on a first face 2340 of the circuit board 2302 and an apposing second face 2342 of the circuit board 2302; generally, components may be disposed on one or both faces 2340 and 2342. In particular, any suitable ones of the components of the IC device assembly 2300 may include any of one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein; e.g., any of the IC packages discussed below with reference to the IC device assembly 2300 may take the form of any of the embodiments of the IC package 2200 discussed above with reference to FIG, 11 (e.g,, may include one ar mare IC devices implementing 2T memory cells with angled transistors provided on a die 2256).

[0107] In some embodiments, the circuit board 2302 may be a PCB including multiple metal layers separated from one another by layers of dielectric material and interconnected by electrically conductive vias. Any one or more of the metal layers may be formed in a desired circuit pattern to route electrical signals (optionally in conjunction with other metal layers) between the components coupled to the circuit board 2302. in other embodiments, the circuit board 2302 may be a non-PCB substrate.

[0108] The IC device assembly 2300 illustrated in FIG. 12 includes a package-on-interposer structure 2336 coupled to the first face 2340 of the circuit board 2302 by coupling components 2316. The coupling components 2316 may electrically and mechanically couple the package-on-interposer structure 2336 to the circuit board 2.302, and may include solder bails (e.g., as shown in FIG. 12), male and female portions of a socket, an adhesive, an underfill material, and/or any other suitable electrical and/or mechanical coupling structure.

[0109] The package-on-interposer structure 2336 may include an IC package 2320 coupled to an interposer 2304 by coupling components 2318. The coupling components 2318 may take any suitable form for the application, such as the forms discussed above with reference to the coupling components 2316. The IC package 2.320 may be or include, for example, a die (the die 2002 of FIG. 10), an IC device, or any other suitable component. In particular, the IC package 2320 may include one or more IC devices implementing 2T memory cells with angled transistors as described herein. Although a single IC package 2320 is shown in FIG. 12, multiple IC packages may be coupled to the interposer 2304; indeed, additional interposers may be coupled to the interposer 2304. The interposer 2304 may provide an intervening substrate used to bridge the circuit board 2302 and the IC package 2320. Generally, the interposer 2304 may spread a connection to a wider pitch or reroute a connection to a different connection. For example, the interposer 2304 may couple the IC package 2320 (e.g., a die) to a BGA of the coupling components 2316 for coupling to the circuit board 2302. In the embodiment illustrated in FIG. 12, the IC package 2320 and the circuit board 2302 are attached to opposing sides of the interposer 2304; in other embodiments, the IC package 2320 and the circuit board 2302 may be attached to a same side of the interposer 2304. In some embodiments, three or more components may be interconnected by way of the interposer 2304. [0110] The interposer 2304 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In some implementations, the interposer 2304 may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group II i-V and group IV materials. The interposer 2304 may include metal interconnects 2308 and vias 2310, including but not limited to through-silicon vias (TSVs) 2306. The interposer 2304 may further include embedded devices 2314, including both passive and active devices. Such devices may include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) protection devices, and memory devices. More complex devices such as radio frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanicai systems (MEMS) devices may also be farmed on the interposer 2304. The package-on-interposer structure 2336 may take the form of any of the package-on-interposer structures known in the art.

[0111] The IC device assembly 2300 may include an IC package 2324 coupled to the first face 2340 of the circuit board 2302 by coupling components 2322. The coupling components 2322 may take the form of any of the embodiments discussed above with reference to the coupling components 2316, and the IC package 2324 may take the form of any of the embodiments discussed above with reference to the IC package 2320.

[0112] The IC device assembly 2300 illustrated in FIG. 12 includes a package-on-package structure 2334 coupled to the second face 2342 of the circuit board 2302 by coupling components 2328. The package-on-package structure 2334 may include an IC package 2326 and an IC package 2332 coupled together by coupling components 2330 such that the IC package 2326 is disposed between the circuit board 2302 and the IC package 2332. The coupling components 2328 and 2330 may take the form of any of the embodiments of the coupling components 2316 discussed above, and the IC packages 2326 and 2332 may take the form of any of the embodiments of the IC package 2320 discussed above. The package-on-package structure 2334 may be configured in accordance with any of the package-on-package structures known in the art.

[0113] FIG. 13 is a block diagram of an example computing device 2400 that may include one ar more components including one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein. For example, any suitable ones of the components of the computing device 2400 may include a die (e.g., the die 2002 of FIG. 10) having one or more 2T memory cells with angled transistors as described herein. Any one or more of the components of the computing device 2400 may include, or be included in, an IC package 2200 of FIG. 11 or an IC device 2300 of FIG. 12.

[0114] A number of components are illustrated in FIG. 13 as included in the computing device 2400, but any one or more of these components may be omitted or duplicated, as suitable for the application. In some embodiments, some or all of the components included in the computing device 2400 may be attached to one or more motherboards, in some embodiments, some or all of these components are fabricated onto a single SoC die.

[0115] Additionally, in various embodiments, the computing device 2400 may not include one or more of the components illustrated in FIG. 13, but the computing device 2400 may include interface circuitry for coupling to the one or more components. For example, the computing device 2400 may not include a display device 2412, but may include display device interface circuitry (e.g., a connector and driver circuitry) to which a display device 2412 may be coupled. In another set of examples, the computing device 2400 may not include an audio input device 2416 or an audio output device 2414, but may include audio input or output device interface circuitry (e.g., connectors and supporting circuitry) to which an audio input device 2416 or audio output device 2414 may be coupled.

[0116] The computing device 2400 may include a processing device 2402 (e.g., one or more processing devices). As used herein, the term "processing device" or "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory. The processing device 2402 may include one or more digital signal processors (DSPs), application-specific integrated circuits (ASICs), central processing units (CPUs), graphics processing units (GPUs), cryptoprocessors (specialized processors that execute cryptographic algorithms within hardware), server processors, or any other suitable processing devices. The computing device 2400 may include a memory 2404, which may itself include one or more memory devices such as volatile memory (e.g., DRAM), nonvolatile memory (e.g., read-only memory (ROM)), flash memory, solid state memory, and/or a hard drive. In some embodiments, the memory 2404 may include memory that shares a die with the processing device 2402. This memory may be used as cache memory and may include embedded DRAM (eDRAM) or spin transfer torque MRAM.

[0117] In some embodiments, the computing device 2400 may include a communication chip 2406 (e.g., one or more communication chips). For example, the communication chip 2406 may be configured for managing wireless communications for the transfer of data to and from the computing device 2400. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a nonsolid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.

[0118] The communication chip 2406 may implement any of a number of wireless standards or protocols, including but not limited to Institute for Electrical and Electronic Engineers (IEEE) standards including Wi-Fi (IEEE 1402.1.1 family), IEEE 1402.16 standards (e.g., IEEE 1402,16-2005 Amendment), Long-Term Evolution (LTE) project along with any amendments, updates, and/or revisions (e.g., advanced LTE project, ultramobile broadband (UMB) project (also referred to as "3GPP2"), etc.). IEEE 1402.16 compatible Broadband Wireless Access (BWA) networks are generally referred to as WiMAX networks, an acronym that stands for Worldwide Interoperability for Microwave Access, which is a certification mark for products that pass conformity and interoperability tests for the IEEE 1402.16 standards. The communication chip 2406 may operate in accordance with a Global System for Mobile Communication (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunications System (UMTS), High-Speed Packet Access (HSPA), Evolved HSPA (E-HSPA), or LTE network. The communication chip 2406 may operate in accordance with Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN), or Evolved UTRAN (E-UTRAN). The communication chip 2406 may operate in accordance with Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Cordless Telecommunications (DECT), Evolution- Data Optimized (EV-DO), and derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The communication chip 2406 may operate in accordance with other wireless protocols in other embodiments. The computing device 2400 may include an antenna 2408 to facilitate wireless communications and/or to receive other wireless communications (such as AM or FM radio transmissions).

[0119] In some embodiments, the communication chip 2406 may manage wired communications, such as electrical, optical, or any other suitable communication protocols (e.g., the Ethernet). As noted above, the communication chip 2406 may include multiple communication chips. For instance, a first communication chip 2406 may be dedicated to shorter-range wireless communications such as Wi-Fi or Bluetooth, and a second communication chip 2406 may be dedicated to longer-range wireless communications such as giobal positioning system (GPS), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO, or others, in some embodiments, a first communication chip 2406 may be dedicated to wireless communications, and a second communication chip 2406 may be dedicated to wired communications.

[0120] The computing device 2400 may include a battery/ power circuitry 2410. The battery/power circuitry 2410 may include one or more energy storage devices (e.g., batteries or capacitors) and/or circuitry for coupling components of the computing device 2400 to an energy source separate from the computing device 2400 (e.g., AC line power).

[0121] The computing device 2400 may include a display device 2412 (or corresponding interface circuitry, as discussed above). The display device 2412 may include any visual indicators, such as a heads-up display, a computer monitor, a projector, a touchscreen display, a liquid crystal display (LCD), a light-emitting diode display, or a flat panel display, for example.

[0122] The computing device 2400 may include an audio output device 2414 (or corresponding interface circuitry, as discussed above). The audio output device 2414 may include any device that generates an audible indicator, such as speakers, headsets, or earbuds, for example.

[0123] The computing device 2400 may include an audio input device 2416 (or corresponding interface circuitry, as discussed above). The audio input device 2416 may include any device that generates a signal representative of a sound, such as microphones, microphone arrays, or digital instruments (e.g., instruments having a musical instrument digital interface (MIDI) output).

[0124] The computing device 2400 may include an other output device 2418 (or corresponding interface circuitry, as discussed above). Examples of the other output device 2418 may include an audio codec, a video codec, a printer, a wired or wireless transmitter for providing information to other devices, or an additional storage device.

[0125] The computing device 2400 may include an other input device 2420 (or corresponding interface circuitry, as discussed above). Examples of the other input device 2420 may include an accelerometer, a gyroscope, a compass, an image capture device, a keyboard, a cursor control device such as a mouse, a stylus, a touchpad, a bar code reader, a Quick Response (QR) code reader, any sensor, or a radio frequency identification (RFID) reader.

[0126] The computing device 2400 may include a GPS device 2422 (or corresponding interface circuitry, as discussed above). The GPS device 2422 may be in communication with a satellite-based system and may receive a location of the computing device 2400, as known in the art.

[0127] The computing device 2400 may include a security interface device 2424. The security interface device 2424 may include any device that provides security features for the computing device 2400 or for any individual components therein (e.g,, for the processing device 2402 or for the memory 2404). Examples of security features may include authorization, access to digital certificates, access to items in keychains, etc. Examples of the security interface device 2424 may include a software firewall, a hardware firewall, an antivirus, a content filtering device, or an intrusion detection device.

[0128] in some embodiments, the computing device 2400 may include a temperature detection device 2426 and a temperature regulation device 2428.

[0129] The temperature detection device 2426 may include any device capable of determining temperatures of the computing device 2400 or of any individual components therein (e.g., temperatures of the processing device 2402 or of the memory 2404). In various embodiments, the temperature detection device 2426 may be configured to determine temperatures of an object (e.g., the computing device 2400, components of the computing device 2400, devices coupled to the computing device, etc.), temperatures of an environment (e.g., a data center that includes, is controlled by, or otherwise associated with the computing device 2400), and so on. The temperature detection device 2426 may include one or more temperature sensors. Different temperature sensors of the temperature detection device 2426 may have different locations within and around the computing device 2400. A temperature sensor may generate data (e.g., digital data) representing detected temperatures and provide the data to another device, e.g., to the temperature regulation device 2428, the processing device 2.402, the memory 2404, etc. In some embodiments, a temperature sensor of the temperature detection device 2426 may be turned on or off, e.g., by the processing device 2402 or an external system. The temperature sensor detects temperatures when it is on and does not detect temperatures when it is off. In other embodiments, a temperature sensor of the temperature detection device 2426 may detect temperatures continuously and automatically or detect temperatures at predefined times or at times triggered by an event associated with the computing device 2400 or any components therein.

[0130] The temperature regulation device 2428 may include any device configured to change (e.g., decrease) temperatures, e.g., based on one or more target temperatures and/or based on temperature measurements performed by the temperature detection device 2426. A target temperature may be a preferred temperature. A target temperature may depend on a setting in which the computing device 2400 operates. In some embodiments, the target temperature may be 200 Kelvin degrees or lower. In some embodiments, the target temperature may be 20 Kelvin degrees or lower, or 5 Kelvin degrees or lower. Target temperatures for different objects and different environments of, or associated with, the computing device 2400 can be different. In some embodiments, cooling provided by the temperature regulation device 2428 may be a multi-stage process with temperatures ranging from room temperature to 4K or lower.

[0131] In some embodiments, the temperature regulation device 2428 may include one or more cooling devices. Different cooling device may have different locations within and around the computing device 2400. A cooling device of the temperature regulation device 2428 may be associated with one or more temperature sensors of the temperature detection device 2426 and may be configured to operate based on temperatures detected the temperature sensors. For instance, a cooling device may be configured to determine whether a detected ambient temperature is above the target temperature or whether the detected ambient temperature is higher than the target temperature by a predetermined value or determine whether any other temperature-related condition associated with the temperature of the computing device 2400 is satisfied. In response to determining that one or more temperature-related condition associated with the temperature of the computing device 2400 are satisfied (e.g., in response to determining that the detected ambient temperature is above the target temperature), a cooling device may trigger its cooling mechanism and start to decrease the ambient temperature. Otherwise, the cooling device does not trigger any cooling. A cooling device of the temperature regulation device 2428 may operate with various cooling mechanisms, such as evaporation cooling, radiation cooling, conduction cooling, convection cooling, other cooling mechanisms, or any combination thereof. A cooling device of the temperature regulation device 2428 may include a cooling agent, such as a water, oil, liquid nitrogen, liquid helium, etc. in some embodiments, the temperature regulation device 2428 may be, for example, a dilution refrigerator, a helium-3 refrigerator, or a liquid helium refrigerator. In some embodiments, the temperature regulation device 2428 or any portions thereof (e.g., one or more of the individual cooling devices) may be connected to the computing device 2400 in close proximity (e.g., less than about 1 meter) or may be provided in a separate enclosure where a dedicated heat exchanger (e.g., a compressor, a heating, ventilation, and air conditioning (HVAC) system, liquid helium, liquid nitrogen, etc.) may reside.

[0132] By maintaining the target temperatures, the energy consumption of the computing device 2400 (or components thereof) can be reduced, while the computing efficiency may be improved. For example, when the computing device 2400 (or components thereof) operates at lower temperatures, energy dissipation (e.g., heat dissipation) may be reduced. Further, energy consumed by semiconductor components (e.g,, energy needed for switching transistors of any of the components of the computing device 2400) can also be reduced. Various semiconductor materials may have lower resistivity and/or higher mobility at lower temperatures. That way, the electrical current per unit supply voltage may be increased by lowering temperatures. Conversely, for the same current that would be needed, the supply voltage may be lowered by lowering temperatures. As energy corelates to the supply voltage, the energy consumption of the semiconductor components may lower too. In some implementations, the energy savings due to reducing heat dissipation and reducing energy consumed by semiconductor components of the computing device or components thereof may outweigh (sometimes significantly outweigh) the costs associated with energy needed for cooling.

[0133] The computing device 2400 may have any desired form factor, such as a handheld or mobile computing device (e.g., a cell phone, a smart phone, a mobile internet device, a music player, a tablet computer, a laptop computer, a netbook computer, an ultrabook computer, a personal digital assistant (PDA), an ultramobile personal computer, etc.), a desktop computing device, a server or other networked computing component, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a vehicle control unit, a digital camera, a digital video recorder, or a wearable computing device. In some embodiments, the computing device 2400 may be any other electronic device that processes data.

[0134] FIG. 14 is a block diagram of an example processing device 2500 that may include one or more IC devices implementing 2T memory cells with angled transistors in accordance with any of the embodiments disclosed herein. For example, any suitable ones of the components of the processing device 2500 may include a die (e.g., the die 2002 of FiG. 10) having one or more 2T memory cells with angled transistors as described herein. Any one or more of the components of the processing device 2500 may include, or be included in, an IC device 2300 (FIG. 12). Any one or more of the components of the processing device 2500 may include, or be included in, an IC package 2200 of FIG. 11 or an IC device 2300 of FIG. 12. Any one or more of the components of the processing device 2500 may include, or be included in, a computing device 2400 of FIG. 13; for example, the processing device 2500 may be the processing device 2402 of the computing device 2400.

[0135] A number of components are illustrated in FIG. 14 as included in the processing device 2500, but any one or more of these components may be omitted or duplicated, as suitable for the application. In some embodiments, some or all of the components included in the processing device 2500 may be attached to one or more motherboards. In some embodiments, some or all of these components are fabricated on a single SoC die or coupled to a single support structure, e.g., to a single carrier substrate.

[0136] Additionally, in various embodiments, the processing device 2500 may not include one or more of the components illustrated in FIG. 14, but the processing device 2500 may include interface circuitry for coupling to the one or more components. For example, the processing device 2500 may not include a memory 2504, but may include display device interface circuitry (e.g., a connector and driver circuitry) to which a memory 2504 may be coupled.

[0137] The processing device 2500 may include logic circuitry 2502 (e.g., one or more circuits configured to implement logic/compute functionality). Examples of such circuits include ICs implementing one or more of input/output (I/O) functions, arithmetic operations, pipelining of data, etc.

[0138] In some embodiments, the logic circuitry 2502 may include one or more circuits responsible for read/write operations with respect to the data stored in the memory 2504, To that end, the logic circuitry 2502 may include one or more I/O ICs configured to control access to data stared in the memory 2504.

[0139] in some embodiments, the logic circuitry 2502 may include one or more high-performance compute dies, configured to perform various operations with respect to data stored in the memory 2504 (e.g., arithmetic and logic operations, pipelining of data from one or more memory dies of the memory 2504, and possibly also data from external devices/chips). In some embodiments, the logic circuitry 2502 may be configured to only control I/O access to data but not perform any operations on the data, in some embodiments, the logic circuitry 2502 may implement ICs configured to implement I/O control of data stored in the memory 2504, assemble data from the memory 2504 for transport (e.g., transport over a central bus) to devices/chips that are either internal or external to the processing device 2500, etc. In some embodiments, the logic circuitry 2502 may not be configured to perform any operations on the data besides I/O and assembling for transport to the memory 2504.

[0140] The processing device 2500 may include a memory 2504, which may include one or more ICs configure to implement memory circuitry (e.g., ICs implementing one or more of memory devices, memory arrays, control logic configured to control the memory devices and arrays, etc.). In some embodiments, the memory 2.504 may be implemented substantially as described above with reference to the memory 2404 (FIG. 13). In some embodiments, the memory 2504 may be a designated device configured to provide storage functionality for the components of the processing device 2500 (e.g., local), while the memory 1604 may be configured to provide system-level storage functionality for the entire computing device 2400 (e.g., global). In some embodiments, the memory 2504 may include memory tha t shares a die with the logic circuitry 2502.

[0141] in some embodiments, the memory 2504 may include a flat memory (also sometimes referred to as a "flat hierarchy memory" or a "linear memory") and, therefore, may also be referred to as a "basin memory." As known in the art, a flat memory or a linear memory refers to a memory addressing paradigm in which memory may appear to the program as a single contiguous address space, where a processor can directly and linearly address all of the available memory locations without having to resort to memory segmentation or paging schemes. Thus, the memory implemented in the memory 2504 may be a memory that is not divided into hierarchical layer or levels in terms of access of its data.

[0142] in some embodiments, the memory 2504 may include a hierarchical memory. In this context, hierarchical memory refers to the concept of computer architecture where computer storage is separated into a hierarchy based on features of memory such as response time, complexity, capacity, performance, and controlling technology. Designing for high performance may require considering the restrictions of the memory hierarchy, e.g., the size and capabilities of each component. With hierarchical memory, each of the various memory components can be viewed as part of a hierarchy of memories (m 1 ., m 2 , ..., m n ) in which each member m, is typically smaller and faster than the next highest member of the hierarchy. To limit waiting by higher levels, a lower level of a hierarchical memory structure may respond by filling a buffer and then signaling for activating the transfer. For example, in some embodiments, the hierarchical memory implemented in the memory 2504 may be separated into four major storage levels: 1) internal storage (e.g., processor registers and cache), 2) main memory (e.g., the system RAM and controller cards), and 3) on-line mass storage (e.g., secondary storage), and 4) off-line bulk storage (e.g., tertiary, and off-line storage). However, as the number of ievels in the memory hierarchy and the performance at each level has increased over time and is likely to continue to increase in the future, this example hierarchical division provides only one non-limiting example of how the memory 2504 may be arranged.

[0143] The processing device 2500 may include a communication device 2506,. which may be implemented substantially as described above with reference to the communication chip 2406 (FIG. 13). In some embodiments, the communication device 2506 may be a designated device configured to provide communication functionality for the components of the processing device 2500 (e.g., local), while the communication chip 2406 may be configured to provide system-level communication functionality for the entire computing device 2400 (e.g., global).

[0144] The processing device 2500 may include interconnects 2508, which may include any element or device that includes an electrically conductive material for providing electrical connectivity to one or more components of, or associated with, a processing device 2500 or/and between various such components. Examples of the interconnects 2508 include conductive lines/wires (also sometimes referred to as ''lines" or "metal lines" or "trenches") and conductive vias (also sometimes referred to as "vias" or "metal vias”), metallization stacks, redistribution layers, metal-insulator-metal (MIM) structures, etc.

[0145] The processing device 2500 may include a temperature detection device 2510 which may be implemented substantially as described above with reference to the temperature detection device 2426 of FIG. 13 but configured to determine temperatures on a more local scale, e.g., of the processing device 2500 of components thereof. In some embodiments, the temperature detection device 2510 may be a designated device configured to provide temperature detection functionality for the components of the processing device 2500 (e.g., local), while the temperature detection device 2426 may be configured to provide system-level temperature detection functionality for the entire computing device 2400 (e.g., global).

[0146] The processing device 2500 may include a temperature regulation device 2512 which may be implemented substantially as described above with reference to the temperature regulation device 2428 of FIG. 13 but configured to regulate temperatures on a more local scale, e.g., of the processing device 2500 of components thereof, in some embodiments, the temperature regulation device 2512 may be a designated device configured to provide temperature regulation functionality for the components of the processing device 2500 (e.g., local), while the temperature regulation device 2428 may be configured to provide system-level temperature regulation functionality for the entire computing device 2400 (e.g., global).

[0147] The processing device 2500 may include a battery/power circuitry 2514 which may be implemented substantially as described above with reference to the battery/power circuitry 2410 of FIG. 13. In some embodiments, the battery/power circuitry 2514 may be a designated device configured to provide battery/power functionality for the components of the processing device 2500 (e.g., iocal), while the battery/power circuitry 2410 may be configured to provide system-level battery/power functionality for the entire computing device 2400 (e.g., global).

[0148] The processing device 2500 may include a hardware security device 2516 which may be implemented substantially as described above with reference to the security interface device 2424 of FIG, 13. In some embodiments, the hardware security device 2516 may be a physical computing device configured to safeguard and manage digital keys, perform encryption and decryption functions for digital signatures, authentication, and other cryptographic functions. In some embodiments, the hardware security device 2516 may include one or more secure cryptoprocessors chips.

[0149] The above description of illustrated implementations of the disclosure, including what is described in the Abstract, is not intended to be exhaustive or to limit the disclosure to the precise forms disclosed. Unless specified otherwise, in various embodiments, features described with respect to one of the drawings may be combined with those described with respect to other drawings.

[0150] The following paragraphs provide various examples of the embodiments disclosed herein. [0151] Example 1 provides an IC device that includes a support structure (e.g., a die, a substrate, a carrier substrate, etc.); and a memory cell including a first transistor in a first layer over the support structure and a second transistor in a second layer over the support structure, the second transistor coupled to the first transistor, where an angle between a projection of a channel region of the first transistor onto a plane of, or a plane parallel to, the support structure and a projection of a channel region of the second transistor onto a plane of, or a plane parallel to, the support structure is between about 10 degrees and 80 degrees.

[0152] Example 2 provides the IC device according to example 1, where the second transistor is coupled to the first transistor by having one of a source region and a drain region of the second transistor being coupled (e.g., directly connected) to a gate of the first transistor.

[0153] Example 3 provides the IC device according to example 2, where the memory cell is a first memory cell, the IC device further includes a second memory ceil including a first transistor in the first layer over the support structure and a second transistor in the second layer over the support structure, the second transistor of the second memory cell coupled to the first transistor of the second memory cell, and one of a source region and a drain region of the first transistor of the first memory cell is coupled to one of a source region and a drain region of the first transistor of the second memory ceil. [0154] Example 4 provides the IC device according to example 3, where a projection of a channel region of the first transistor of the second memory cell onto a plane of. or a plane parallel to, the support structure is aligned with the projection of the channel region of the first transistor of the first memory cell.

[0155] Example 5 provides the IC device according to any one of examples 3-4, where the channel region of the first transistor of the first memory ceil and a channel region of the first transistor of the second memory cell are in different portions of a single channel structure in the second layer.

[0156] Example 6 provides the IC device according to example 5, where the channel structure is a fin or a nanoribbon.

[0157] Example 7 provides the IC device according to any one of examples 3-6, further including a first memory control line and a second memory control line, where a gate of the second transistor of the first memory ceil is coupled (e.g., directly connected) to the first memory control line (e.g., WWL2) and a gate of the second transistor of the second memory ceil is coupled (e.g., directly connected) to the second memory control line (e.g., WWL1).

[0158] Example 8 provides the IC device according to any one of examples 3-7, further including a third memory control line, where each of the one of the source region and the drain region of the first transistor of the first memory cell and the one of the source region and the drain region of the first transistor of the second memory cell is coupled (e.g., directly connected) to the third memory control line (e.g., RWL1).

[0159] Example 9 provides the IC device according to any one of examples 3-8, further including a fourth memory control line and a fifth memory control line, where another one of the source region and the drain region of the first transistor of the first memory ceil is coupled (e.g., directly connected) to the fourth memory control line (e.g., R B L0) and another one of the source region and the drain region of the first transistor of the second memory cell is coupled (e.g., directly connected) to the fifth memory control line (e.g., RBL1).

[0160] Example 10 provides the IC device according to any one of examples 3-9, further including a sixth memory control line and a seventh memory control line, where another one of the source region and the drain region of the second transistor of the first memory ceil is coupled (e.g., directly connected) to the sixth memory control line (e.g., WBL0) and another one of the source region and the drain region of the second transistor of the second memory cell is coupled (e.g., directly connected) to the seventh memory control line (e.g., WBL1).

[01S1] Example 11 provides the IC device according to any one of examples 3-10, where the IC device further includes a third memory cell including a first transistor in the first layer over the support structure and a second transistor in the second layer over the support structure, the second transistor of the third memory cell coupled to the first transistor of the third memory ceil,, and one of a source region and a drain region of the second transistor of the third memory cell is coupled to another one of the source region and the drain region of the second transistor of the first memory cell.

[0162] Example 12 provides the IC device according to example 11. further including a memory control line, where each of a gate of the second transistor of the third memory cell and a gate of the second transistor of the first memory cell is coupled (e.g., directly connected) to the memory control line.

[0163] Example 13 provides the IC device according to any one of examples 1-12, where the first layer is between the support structure and the second layer.

[0164] Example 14 provides the IC device according to any one of examples 1-12, where the second layer is between the support structure and the first layer.

[0165] Example 15 provides an IC device that includes a support structure (e.g., a die, a substrate, a carrier substrate, etc.); a first elongated structure (e.g., a first fin or nanoribbon) and a second elongated structure (e.g., a second fin or nanoribbon) in a first layer over the support structure; a third elongated structure (e.g., a third fin or nanoribbon) in a second layer over the support structure; a first transistor having a channel region in a portion of the first elongated structure; a second transistor having a channel region in a portion of the second elongated structure; and a third transistor and a fourth transistor, each having a channel region in different portions of the third elongated structure, where one of a source region and a drain region of the third transistor is shared with one of a source region and a drain region of the fourth transistor, one of a source region and a drain region of the first transistor is coupled (e.g., directly connected) to a gate of the third transistor, and one of a source region and a drain region of the second transistor is coupled (e.g., directly connected) to a gate of the fourth transistor.

[0166] Example 16 provides the IC device according to example 15, where the first layer is between the support structure and the second layer.

[0167] Example 17 provides the IC device according to example 15, where the second layer is between the support structure and the first layer,

[0168] Example 18 provides the IC device according to any one of examples 1-17, further including a fifth transistor having a channel region in a further portion of the first elongated structure and a sixth transistor having a channel region in a further portion of the second elongated structure, where another one of the source region and the drain region of the first transistor is coupled (e.g., directly connected) to one of a source region and a drain region of the fifth transistor, and another one of the source region and the drain region of the second transistor is coupled (e.g., directly connected) to one of a source region and a drain region of the sixth transistor.

[0169] Example 19 provides the IC device according to any one of examples 1-18, where an angle between an edge (e.g., one of edges) of the support structure and a projection of each of the first and second elongated structures onto a plane of, or a plane parallel to, the support structure is either about 0 degrees or about 90 degrees, and an angle between the edge of the support structure and a projection of the third elongated structure onto a plane of, or a plane parallel to, the support structure is between about 10 degrees and 80 degrees.

[0170] Example 20 provides the IC device according to any one of examples 1-18, where an angle between an edge (e.g., one of edges) of the support structure and a projection of the third elongated structure onto a plane of, or a plane parallel to, the support structure is either about 0 degrees or about 90 degrees, and an angle between the edge of the support structure and a projection of each of the first and second elongated structures onto a plane of, or a plane parallel to, the support structure is between about 10 degrees and 80 degrees.

[0171] Example 21 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of a central processing unit.

[0172] Example 22. provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of a memory device, e.g., a high-bandwidth memory device.

[0173] Example 23 provides the IC device according to any one of the preceding examples, where the IC device further includes a plurality of memory cells, each of the memory cells including a storage element.

[0174] Example 24 provides the IC device according to example 23, where the storage element is one of a capacitor, a magnetoresistive material, a ferroelectric material, or a resistance-changing material.

[0175] Example 25 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of a logic circuit.

[0176] Example 26 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of input/output circuitry.

[0177] Example 27 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of an FPGA transceiver.

[0178] Example 28 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of an FPGA logic.

[0179] Example 29 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of a power delivery circuitry. [0180] Example 30 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of a lll-V amplifier.

[0181] Example 31 provides the IC device according to any one of the preceding examples, where the IC device includes or is a part of PCI E circuitry or DDR transfer circuitry.

[0182] Example 32 provides an IC package that includes a die comprising an IC device according to any one of the preceding examples; and a further IC component, coupled to the die.

[0183] Example 33 provides the IC package according to example 32, where the further IC component includes one of a package substrate, an interposer, or a further IC support structure. [0184] Example 34 provides a computing device that includes a carrier substrate and an IC device, coupled to the carrier substrate, where the IC device is an IC device according to any one of the preceding examples, or the IC device is included in the IC package according to any one of examples 32-33.

[0185] Example 35 provides the computing device according to example 34, where the computing device is a wearable or handheld computing device.

[0186] Example 36 provides the computing device according to examples 34 or 35, where the computing device further includes one or more communication chips and an antenna.

[0187] Example 37 provides the computing device according to any one of examples 34- 36, where the carrier substrate is a motherboard.

[0188] Example 38 provides a method of manufacturing an IC device, the method including providing the IC device according to any one of the preceding examples.




 
Previous Patent: PRINTING WITH A PLURALITY OF TRAYS

Next Patent: MODULAR CLAMP