Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ZIRCONIUM-CONTAINING FILM FORMING COMPOSITIONS FOR VAPOR DEPOSITION OF ZIRCONIUM-CONTAINING FILMS
Document Type and Number:
WIPO Patent Application WO/2016/106086
Kind Code:
A1
Abstract:
Disclosed are Zirconium-containing film forming compositions comprising Germanium- and Zirconium-containing precursors having one of the following formulae: formula (I) and formula (II) wherein each R1, R2, R3, R4, R5, R6, R7, R8, R9 and R10 is independently selected from H; a C1-C5 linear, branched, or cyclic alkyl group; and a C1-C5 linear, branched, or cyclic fluoroalkyl groups. Also disclosed are methods of synthesizing the disclosed compositions and using the same to deposit Zirconium-containing films on substrates via vapor deposition processes.

Inventors:
LANSALOT-MATRAS CLÉMENT (US)
LIEFFRIG JULIEN (FR)
ISHII HANA (JP)
DUSSARRAT CHRISTIAN (JP)
Application Number:
PCT/US2015/066434
Publication Date:
June 30, 2016
Filing Date:
December 17, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
AIR LIQUIDE (FR)
LANSALOT-MATRAS CLÉMENT (US)
LIEFFRIG JULIEN (FR)
ISHII HANA (JP)
DUSSARRAT CHRISTIAN (JP)
International Classes:
H01L21/316; C07F7/00; C07F7/30; C07F17/00; C07F19/00; C23C16/18
Domestic Patent References:
WO2009036046A12009-03-19
WO2007141059A22007-12-13
WO2011020042A22011-02-17
Foreign References:
JP2005171291A2005-06-30
Other References:
G. CIRUELO ET AL.: "Synthesis and reactivity of new silyl substituted monocyclopentadienyl zirconium complexes. X-ray molecular structure of [Zr{n5-C5H4 (SiMe2 CH 2Ph)}( CH 2Ph)3", JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 547, no. 2, pages 287 - 296, XP005271658, DOI: doi:10.1016/S0022-328X(97)00443-9
X.QIAN ET AL.: "Synthesis of new substituted cyclopentadienyl titanium monomethoxydifluorides with BF3·OEt2 as fluorinating reagent and their use in syndiotactic polymerization of styrene", JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 689, no. Issue 9, 1 May 2004 (2004-05-01), pages 1503 - 1510, XP004502193, DOI: doi:10.1016/j.jorganchem.2004.01.031
Attorney, Agent or Firm:
MCQUEENEY, Patricia E. et al. (9811 Katy FreewaySuite 10, Houston Texas, US)
Download PDF:
Claims:
We claim:

1 . A Zirconium-containing film forming composition comprising a German' and Zirconium-containin precursor having one of the following formulae:

Formula I Formula II

wherein each R , R2 R3, R4 R5, R6 R7, R8, R9 and R10 is independently selected from the group consisting of H; a C1 -C5 linear, branched, or cyclic alkyl group; and a C1 -C5 linear, branched, or cyclic fluoroalkyl group.

2. The Zirconium-containing film forming composition of claim 1 , the precursor having the Formula I:

Formula I

3. The Zirconium-containing film forming composition of claim 2, wherein the precursor is selected from the group consisting of:

(trimethylgermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(TMG- Cp)(NMe2)3); (trimethylgermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(TMG-Cp)(NHMe)3); (trimethylgermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(TMG-Cp)(NEt2)3); (trimethylgermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(TMG-Cp)(NHEt)3);

(trimethylgermyl)cyclopentadienyl tris(ethylmethylamino) Zirconium(IV) (Zr(TMG- Cp)(NEtMe)3); (trimethylgermyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr(TMG-Cp)(NnPr2)3); (trimethylgermyl)cyclopentadienyl tris(n- propylamino) Zirconium(IV) (Zr(TMG-Cp)(NHnPr)3);

(trimethylgermyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(TMG- Cp)(NiPr2)3); (trimethylgermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(TMG-Cp)(NHiPr)3); (trimethylgermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NnBu2)3); (trimethylgermyl)cyclopentadienyl tris(n- butylamino) Zirconium(IV) (Zr(TMG-Cp)(NHnBu)3)

(trimethylgermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(TMG- Cp)(NiBu2)3); (trimethylgermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(TMG-Cp)(NHiBu)3); (trimethylgermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NsBu2)3); (trimethylgermyl)cyclopentadienyl tris(sec- butylamino) Zirconium(IV) (Zr(TMG-Cp)(NHsBu)3);

(trimethylgermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(TMG- Cp)(NtBu2)3); (trimethylgermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NHtBu)3); (dimethylgermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(DMG-Cp)(NMe2)3); (dimethylgermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(DMG-Cp)(NHMe)3);

(dimethylgermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(DMG- Cp)(NEt2)3); (dimethylgermyl)cyclopentadienyl tris(ethylamino) Zirconium (IV) (Zr(DMG-Cp)(NHEt)3); (dimethylgermyl)cyclopentadienyl tris(ethylmethylamino) Zirconium(IV) (Zr(DMG-Cp)(NEtMe)3); (dimethylgermyl)cyclopentadienyl tris(Di n- propylamino) Zirconium(IV) (Zr(DMG-Cp)(NnPr2)3);

(dimethylgermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(DMG- Cp)(NHnPr)3); (dimethylgermyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr(DMG-Cp)(NiPr2)3); (dimethylgermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(DMG-Cp)(NHiPr)3);

(dimethylgermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(DMG- Cp)(NnBu2)3); (dimethylgermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NHnBu)3); (dimethylgermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(DMG-Cp)(NiBu2)3); (dimethylgermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(DMG-Cp)(NHiBu)3);

(dimethylgermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(DMG- Cp)(NsBu2)3); (dimethylgermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NHsBu)3); (dimethylgermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NtBu2)3); (dimethylgermyl)cyclopentadienyl tris(tert- butylamino) Zirconium(IV) (Zr(DMG-Cp)(NHtBu)3);

(trifluorogermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(F3Ge- Cp)(NMe2)3); (trifluorogermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHMe)3); (trifluorogermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NEt2)3); (trifluorogermyl)cyclopentadienyl

tris(ethylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHEt)3);

(trifluorogermyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr(F3Ge- Cp)(NEtMe)3); (trifluorogermyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr(F3Ge-Cp)(NnPr2)3); (trifluorogermyl)cyclopentadienyl tris(n- propylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHnPr)3)

(trifluorogermyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(FsGe- Cp)(NiPr2)3); (trifluorogermyl)cyclopentadienyl ths(isopropylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHiPr)3); (trifluorogermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NnBu2)3); (trifluorogermyl)cyclopentadienyl tris(n- butylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHnBu)3);

(trifluorogermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(FsGe- Cp)(NiBu2)3); (trifluorogermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHiBu)3); (trifluorogermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NsBu2)3); (trifluorogermyl)cyclopentadienyl tris(sec- butylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHsBu)3);

(trifluorogermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(F3Ge- Cp)(NtBu2)3); (trifluorogermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(F3Ge-Cp)(NHtBu)3); (difluorogermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NMe2)3); (difluorogermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHMe)3);

(difluorogermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(F2HGe- Cp)(NEt2)3); (difluorogermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHEt)3); (difluorogermyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NEtMe)3); (difluorogermyl)cyclopentadienyl tris(Di n- propylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NnPr2)3);

(difluorogermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(F2HGe- Cp)(NHnPr)3); (difluorogermyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr(F2HGe-Cp)(NiPr2)3); (difluorogermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHiPr)3);

(difluorogermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(F2HGe- Cp)(NnBu2)3); (difluorogermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHnBu)3); (difluorogermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NiBu2)3); (difluorogermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHiBu)3);

(difluorogermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(F2HGe- Cp)(NsBu2)3); (difluorogermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHsBu)3); (difluorogermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NtBu2)3); (difluorogermyl)cyclopentadienyl tris(tert- butylamino) Zirconium(IV) (Zr(F2HGe-Cp)(NHtBu)3);

(monofluorogermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV)

(Zr(FH2Ge-Cp)(NMe2)3); (monofluorogermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NHMe)3); (monofluorogermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NEt2)3);

(monofluorogermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(FH2Ge- Cp)(NHEt)3); (monofluorogermyl)cyclopentadienyl tris(Ethylmethylamino)

Zirconium(IV) (Zr(FH2Ge-Cp)(NEtMe)3); (monofluorogermyl)cyclopentadienyl tris(Di n-propylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NnPr2)3);

(monofluorogermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(FH2Ge- Cp)(NHnPr)3); (monofluorogermyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NiPr2)3); (monofluorogermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NHiPr)3);

(monofluorogermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV)

(Zr(FH2Ge-Cp)(NnBu2)3); (monofluorogermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NHnBu)3); (monofluorogermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NiBu2)3)

(monofluorogermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(FH2Ge- Cp)(NHiBu)3); (monofluorogermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NsBu2)3); (monofluorogermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NHsBu)3);

(monofluorogermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NtBu2)3); (monofluorogermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(FH2Ge-Cp)(NHtBu)3);

(fluoro dimethylgermyhcyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NMe2)3); (fluoro dimethylgermyl)cyclopentadienyl

tris(methylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NHMe)3); (fluoro

dimethylgermyhcyclopentadienyl tris(Diethylamino) Zirconium(IV)

(Zr(FMe2Ge-Cp)(NEt2)3); (fluoro dimethylgermyl)cyclopentadienyl

tris(ethylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NHEt)3); (fluoro

dimethylgermyhcyclopentadienyl tris(Ethylmethylamino) Zirconium(IV)

(Zr(FMe2Ge-Cp)(NEtMe)3); (fluoro dimethylgermyhcyclopentadienyl tris(Di n- propylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NnPr2)3); (fluoro

dimethylgermyhcyclopentadienyl tris(n-propylamino) Zirconium(IV)

(Zr(FMe2Ge-Cp)(NHnPr)3); (fluoro dimethylgermyhcyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NiPr2)3); (fluoro

dimethylgermyhcyclopentadienyl tris(isopropylamino) Zirconium(IV)

(Zr(FMe2Ge-Cp)(NHiPr)3); (fluoro dimethylgermyhcyclopentadienyl tris(Di n- butylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NnBu2)3); (fluoro

dimethylgermyhcyclopentadienyl tris(n-butylamino) Zirconium(IV)

(Zr(FMe2Ge-Cp)(NHnBu)3); (fluoro dimethylgermyhcyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NiBu2)3);

(fluoro dimethylgermyhcyclopentadienyl tris(isobutylamino) Zirconium(IV)

(Zr(FMe2Ge-Cp)(NHiBu)3); (fluoro dimethylgermyhcyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NsBu2)3); (fluoro

dimethylgermyhcyclopentadienyl tris(sec-butylamino) Zirconium (IV)

(Zr(FMe2Ge-Cp)(NHsBu)3); (fluoro dimethylgermyhcyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NtBu2)3);

(fluoro dimethylgermyhcyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(FMe2Ge-Cp)(NHtBu)3); (tris(thfluoromethyl)germyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NMe2)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NI-IMe)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NEt2)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NI-IEt)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NEtMe)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(NnPr2)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NHnPr)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NiPr2)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(NHiPr)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-butylamino)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(NnBu2)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NHnBu)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NiBii2)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NHiBu)3); (tris(tnfluoromethyl)germyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr((CF3)3Ge-Cp)(NsBu2)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butylamino)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(NHsBu)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di tert-butylamino)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(NtBu2)3)i

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(tert-butylamino)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(NHtBu)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Dimethylamino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NMe2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHMe)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NEt2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHEt)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NEtMe)3);

(bis(trif luoromethyl)germyl)cyclopentadienyl tris(Di n-propylam ino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NnPr2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHnPr)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NiPr2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHiPr)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-butylamino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NnBu2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHnBu)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NiBu2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHiBu)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr((CF3)2HGe-Cp)(NsBu2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butylamino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHsBu)3);

(bis(trif luoromethyl)germyl)cyclopentadienyl tris(Di tert-butylam ino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NtBu2)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(tert-butylamino)

Zirconium(IV) (Zr((CF3)2HGe-Cp)(NHtBu)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NMe2)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(methylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHMe)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Diethylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NEt2)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(ethylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHEt)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NEtMe)3); ((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NnPr2)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-propylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHnPr)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NiPr2)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHiPr)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di n-butylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NnBu2)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-butylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHnBu)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di isobutylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NiBu2)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isobutylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHiBu)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di sec-butylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NsBu2)3);

((trifluoromethyl)dirnethylgermyl)cyclopentadienyl tris(sec-butylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHsBu)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di tert-butylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NtBu2)3); and

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(tert-butylamino)

Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(NHtBu)3).

4. The Zirconium-containing film forming composition of claim 3, wherein the precursor is (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV) [Zr(TMG-Cp)(NMe2)3].

5. The Zirconium-containing film forming composition of claim 1 , the precursor having the Formula II:

6. The Zirconium-containing film forming composition of claim 5, wherein the precursor is selected from the group consisting of:

(trimethylgermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(TMG- Cp)(OMe)3); (trimethylgermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr(TMG-Cp)(OEt)3); (trimethylgermyl)cyclopentadienyl tris(n-propoxy)

Zirconium(IV) (Zr(TMG-Cp)(OnPr)3); (trimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(TMG-Cp)(OiPr)3);

(trimethylgermyl)cyclopentadienyl tris(tert-butoxy) Zirconium (IV) (Zr(TMG- Cp)(OtBu)3); (trimethylgermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(TMG-Cp)(OsBu)3); (trimethylgermyl)cyclopentadienyl tris(n-butoxy)

Zirconium(IV) (Zr(TMG-Cp)(OnBu)3); (trimethylgermyl)cyclopentadienyl tris(iso- butoxy) Zirconium(IV) (Zr(TMG-Cp)(OiBu)3); (dimethylgermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(DMG-Cp)(OMe)3);

(dimethylgermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(DMG-Cp)(OEt)3); (dimethylgermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(DMG- Cp)(OnPr)3); (dimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(DMG-Cp)(OiPr)3); (dimethylgermyl)cyclopentadienyl tris(tert-butoxy)

Zirconium(IV) (Zr(DMG-Cp)(OtBu)3); (dimethylgermyl)cyclopentadienyl tris(sec- butoxy) Zirconium(IV) (Zr(DMG-Cp)(OsBu)3); (dimethylgermyl)cyclopentadienyl tris(n-butoxy) Zirconium(IV) (Zr(DMG-Cp)(OnBu)3);

(dimethylgermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(DMG- Cp)(OiBu)3); (trifluorogermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(F3Ge-Cp)(OMe)3); (trifluorogermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(F3Ge-Cp)(OEt)3); (trifluorogermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(F3Ge-Cp)(OnPr)3); (trifluorogermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(F3Ge-Cp)(OiPr)3);

(trifluorogermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(F3Ge- Cp)(OtBu)3); (trifluorogermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(F3Ge-Cp)(OsBu)3); (trifluorogermyl)cyclopentadienyl tris(n-butoxy)

Zirconium(IV) (Zr(F3Ge-Cp)(OnBu)3); (trifluorogermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(F3Ge-Cp)(OiBu)3);

(difluorogermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(F2HGe- Cp)(OMe)3); (difluorogermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr(F2HGe-Cp)(OEt)3); (difluorogermyl)cyclopentadienyl tris(n-propoxy)

Zirconium(IV) (Zr(F2HGe-Cp)(OnPr)3); (difluorogermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(F2HGe-Cp)(OiPr)3);

(difluorogermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(F2HGe- Cp)(OtBu)3); (difluorogermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(F2HGe-Cp)(OsBu)3); (difluorogermyl)cyclopentadienyl tris(n-butoxy)

Zirconium(IV) (Zr(F2HGe-Cp)(OnBu)3); (difluorogermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(F2HGe-Cp)(OiBu)3);

(monofluorogermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(FH2Ge- Cp)(OMe)3); (monofluorogermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(FH2Ge-Cp)(OEt)3); (monofluorogermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(FH2Ge-Cp)(OnPr)3); (monofluorogermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(FH2Ge-Cp)(OiPr)3);

(monofluorogermyl)cyclopentadienyl tris(tert-butoxy) Zirconium (IV) (Zr(FH2Ge- Cp)(OtBu)3); (monofluorogermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(FH2Ge-Cp)(OsBu)3); (monofluorogermyl)cyclopentadienyl tris(n-butoxy) Zirconium(IV) (Zr(FH2Ge-Cp)(OnBu)3); (monofluorogermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(FH2Ge-Cp)(OiBu)3); (fluoro

dimethylgermyhcyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(FMe2Ge- Cp)(OMe)3); (fluoro dimethylgermyhcyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(FMe2Ge-Cp)(OEt)3); (fluoro dimethylgermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(FMe2Ge-Cp)(OnPr)3); (fluoro dimethylgermyhcyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(FMe2Ge-Cp)(OiPr)3); (fluoro

dimethylgermyhcyclopentadienyl tris(tert-butoxy) Zirconium (IV) (Zr(FMe2Ge- Cp)(OtBu)3); (fluoro dimethylgermyl)cyclopentadienyl tris(sec-butoxy)

Zirconium(IV) (Zr(FMe2Ge-Cp)(OsBu)3); (fluoro dimethylgermyl)cyclopentadienyl tris(n-butoxy) Zirconium (IV) (Zr(FMe2Ge-Cp)(OnBu)3); (fluoro

dimethylgermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(FMe2Ge- Cp)(OiBu)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(methoxy)

Zirconium(IV) (Zr((CF3)3Ge-Cp)(OMe)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr((CF3)3Ge-Cp)(OEt)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(n- propoxy) Zirconium(IV) (Zr((CF3)3Ge-Cp)(OnPr)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr((CF3)3Ge-Cp)(OiPr)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(tert- butoxy) Zirconium(IV) (Zr((CF3)3Ge-Cp)(OtBu)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr((CF3)3Ge-Cp)(OsBu)3); (tris(trifluoromethyl)germyl)cyclopentadienyl tris(n- butoxy) Zirconium(IV) (Zr((CF3)3Ge-Cp)(OnBu)3);

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr((CF3)3Ge-Cp)(OiBu)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OMe)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr((CF3)2HGe-Cp)(OEt)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(n- propoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OnPr)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OiPr)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(tert- butoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OtBu)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OsBu)3); (bis(trifluoromethyl)germyl)cyclopentadienyl tris(n- butoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OnBu)3);

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(iso-butoxy) Zirconium(IV) (Zr((CF3)2HGe-Cp)(OiBu)3); ((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OMe)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OEt)3); ((trifluororriethyl)dirriethylgerrriyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OnPr)3); ((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OiPr)3); ((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OtBu)3);

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OsBu)3); ((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-butoxy) Zirconium (IV) (Zr((CF3)Me2Ge-Cp)(OnBu)3); and

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr((CF3)Me2Ge-Cp)(OiBu)3).

7. The Zirconium-containing film forming composition of claim 6, wherein the precursor is (trimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) [Zr(TMG-Cp)(OiPr)3].

8. The Zirconium-containing film forming composition of claim 1 , the

composition comprising between approximately 95% w/w and approximately 100% w/w of the precursor.

9. The Zirconium-containing film forming composition of claim 1 , the

composition comprising between approximately 0.0% w/w and approximately 5.0% w/w impurities.

10. The Zirconium-containing film forming composition of claim 9, the impurities including alcohol; alkylamines; dialkylamines; alkylimines; cyclopentadiene;

dicyclopentadiene; alkylgermane; THF; ether; pentane; cyclohexane; heptane; benzene; toluene; chlorinated metal compounds; lithium, sodium, or potassium alkylamino; lithium, sodium, or potassium alkylakoxy; and/or lithium, sodium, or potassium cyclopentadienyl.

1 1 . The Zirconium-containing film forming composition of claim 1 , the

composition comprising between approximately 0 ppbw and approximately 1 ppmw metal impurities.

12. The Zirconium-containing film forming composition of claim 1 1 , the metal impurities including Aluminum (Al), Arsenic (As), Barium (Ba), Beryllium (Be), Bismuth (Bi), Cadmium (Cd), Calcium (Ca), Chromium (Cr), Cobalt (Co), Copper (Cu), Gallium (Ga), Germanium (Ge), Hafnium (Hf), Zirconium (Zr), Indium (In), Iron (Fe), Lead (Pb), Lithium (Li), Magnesium (Mg), Manganese (Mn), Tungsten (W), Nickel (Ni), Potassium (K), Sodium (Na), Strontium (Sr), Thorium (Th), Tin (Sn), Titanium (Ti), Uranium (U), and/or Zinc (Zn).

13. A process for the deposition of a Zirconium-containing film on a substrate, the process comprising the steps of: introducing a vapor of the Zirconium- containing film forming composition of any one of claims 1 to 12 into a reactor having a substrate disposed therein and depositing at least part of the

Germanium- and Zirconium-containing precursor onto the substrate.

14. The process of claim 13, further comprising introducing at least one reactant into the reactor, wherein the reactant is selected from the group consisting of H2, H2CO N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, hydrogen radicals thereof, and mixtures thereof.

15. The process of claim 13, further comprising introducing at least one reactant into the reactor, wherein the reactant is selected from the group consisting of: 02, 03, H20, H202 NO, N20, N02, oxygen radicals thereof, and mixtures thereof.

Description:
ZIRCONIUM-CONTAINING FILM FORMING COMPOSITIONS FOR VAPOR DEPOSITION OF ZIRCONIUM-CONTAINING FILMS Cross Reference to Related Applications

The present application claims the benefit of U.S. Application Serial No. 14/580,324 filed December 23, 2014, herein incorporated by reference in its entirety for all purposes. Technical Field

Disclosed are Zirconium-containing film forming compositions comprising Germanium- and Zirconium-containing precursors. Also disclosed are methods of synthesizing the disclosed compositions and using the same to deposit Zirconium- containing films on substrates via vapor deposition processes.

Background

With the scaling down of semiconductor devices such as dynamic random access memory (DRAM), new materials with high dielectric constant are required. Indeed, in order to store a sufficient charge in a capacitor with a smaller surface area, capacitors with higher permittivity are needed. Among high-k dielectrics, Group 4 based materials, such as Hf0 2 or Zr0 2 , are very promising since their dielectric constant is higher than Si0 2 or AI2O3. However, their dielectric constant varies depending on their crystalline form (Thin Solid Films 486 (2005) 125-128).

Thick Zr0 2 layers tend to have an unstable crystalline phase and to have higher leakage current (Applied Physics Reviews (2012) version 14-9-2012). To prevent these defects, a thin layer of AI2O3 has been introduced in between two layers of Zr0 2 , forming a so-called ZAZ capacitor, stabilizing the crystalline phase and reducing the leakage current (Applied Physics Letters 93, 03351 1 (2008); J . Vac. Sci. Techno. A 4 (6), 1986; Microelectronic Engineering 86 (2009) 1789- 1795).

The cubic/tetragonal crystalline phase of the Zr0 2 layer, which is the phase having the highest k-value, has also been stabilized by doping Zr0 2 with a small amount of silicon or germanium (US2013/0208403A1 for silicon and Journal of Applied Physics, 2009, 106, 024107; Microelectronic Engineering, 2009, 86, 1626; Applied Physics Letters, 201 1 , 99, 121909 for germanium).

Group 4 alkylamide precursors containing cyclopentadienyl ligands have been developed, such as the one show below (Dussarrat et al., WO2007/141059; Niinisto et al., Journal of Materials Chemistry (2008), 18(43), 5243-5247). These precursors show a higher thermal stability in comparison to tetrakis alkylamide precursors.

Similar to these compounds, a few germylcyclopentadienyl Group 4 compounds have been reported such as (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Titanium(IV) (Journal of Organometallic Chemistry, 1975,101 , 295).

A need remains for developing liquid or low melting point (<50°C), highly thermally stable, with low viscosity, zirconium precursor molecules suitable for vapor phase thin film deposition with controlled thickness and composition at high temperature.

Summary

Disclosed are Zirconium-containing film forming compositions comprising a Germanium- and Zirconium-containing precursor havin the following formula:

8 R 9 RN NR 8 R 9

wherein each R 1 , R 2 , R 3 , R 4 , R 5 , R 6 R 7 , R 8 , R 9 and R 0 is independently selected from H; a C1 -C5 linear, branched, or cyclic alkyl group; or a C1 -C5 linear, branched, or cyclic fluoroalkyl group. R 1 , R 2 and R 3 may be identical or different. R 4 , R 5 , R 6 and R 7 may be identical or different. Each R 8 and R 9 may be identical or different. Each R 10 may be identical or different. The disclosed Zirconium- containing film forming compositions may further include one or more of the following aspects:

• Each R 1 and R 2 and R 3 being independently selected from H, F, CF 3 , Me, Et, nPr, iPr, nBu, iBu, sBu or tBu;

· Each R 4 R 5 , R 6 and R 7 being independently selected from H, F, CF 3 , Me,

Et, nPr, iPr, nBu, iBu, sBu or tBu;

• Each R 8 and R 9 being independently selected from H, Me, Et, nPr, iPr, nBu, iBu, sBu or tBu;

• Each R 10 being e, Et, nPr, iPr, nBu, iBu, sBu, or tBu;

· the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(TMG-Cp)(NMe 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(methylamino) Zirconium(IV)

(Zr(TMG-Cp)(NHMe) 3 );

• the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV)

(Zr(TMG-Cp)(NEt 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV)

(Zr(TMG-Cp)(NHEt) 3 );

• the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(ethylmethylamino) Zirconium(IV) (Zr(TMG-Cp)(NEtMe) 3 );

· the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Di n-propylamino) Zirconium(IV) (Zr(TMG-Cp)(NnPr 2 ) 3 ); the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(TMG-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr(TMG-Cp)(NiPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV)

(Zr(TMG-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NnBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NHnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(TMG-Cp)(NiBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(TMG-Cp)(NHiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Di sec-butylamino)

Zirconium(IV) (Zr(TMG-Cp)(NsBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV)

(Zr(TMG-Cp)(NHsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NtBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(TMG-Cp)(NHtBu) 3 ); the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(TMG-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(TMG Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(TMG-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(iso-propoxy) Zirconium(IV) (Zr(TMG-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(TMG-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(TMG-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(n-butoxy) Zirconium(IV) (Zr(TMG-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trimethylgermyl)cyclopentadienyl tris(iso-butoxy) Zirconium (IV) (Zr(TMG-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(DMG-Cp)(NMe 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(DMG-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(DMG-Cp)(NEt 2 ) 3 ); the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(DMG-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(ethylmethylamino) Zirconium(IV) (Zr(DMG-Cp)(NEtMe) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Di n-propylamino) Zirconium(IV) (Zr(DMG-Cp)(NnPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(DMG-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr(DMG-Cp)(NiPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV)

(Zr(DMG-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NnBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NHnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(DMG-Cp)(NiBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(DMG-Cp)(NHiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Di sec-butylamino)

Zirconium(IV) (Zr(DMG-Cp)(NsBu 2 ) 3 ); the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NHsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NtBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(DMG-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(methoxy) Zirconium (IV)

(Zr(DMG-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(DMG- Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(DMG-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(DMG-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(DMG-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(DMG-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(n-butoxy) Zirconium(IV)

(Zr(DMG-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(dimethylgermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(DMG-Cp)(OiBu) 3 ); the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NMe 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Diethylamino) Zirconium (IV) (Zr(F 3 Ge-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NEtMe) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Di n-propylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NnPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NiPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NnBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHnBu) 3 ); the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NiBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NsBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NtBu 2 )3);

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(F 3 Ge-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(methoxy) Zirconium(IV)

(Zr(F 3 Ge-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(F 3 Ge- Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(F 3 Ge-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(F 3 Ge-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(F 3 Ge-Cp)(OtBu) 3 ); the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(F 3 Ge-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(n-butoxy) Zirconium(IV)

(Zr(F 3 Ge-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(trifluorogermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(F 3 Ge-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NMe 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NEtMe) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Di n-propylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NnPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NiPr 2 ) 3 ); the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NnBu 2 )3);

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NiBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NsBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium (IV) (Zr(F 2 HGe-Cp)(NtBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(F 2 HGe-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(methoxy) Zirconium(IV)

(Zr(F 2 HGe-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr(F 2 HGe-Cp)(OEt) 3 ); the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV)

(Zr(F 2 HGe-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(F 2 HGe-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(F 2 HGe-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(F 2 HGe-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(n-butoxy) Zirconium (IV)

(Zr(F 2 HGe-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(difluorogermyl)cyclopentadienyl tris(isobutoxy) Zirconium (IV)

(Zr(F 2 HGe-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(FH 2 Ge-Cp)(NMe 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(FH 2 Ge-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(FH 2 Ge-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(FH 2 Ge-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Ethylmethylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NEtMe) 3 ); • the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NnPr 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV)

(Zr(FH 2 Ge-Cp)(NHnPr) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NiPr 2 ) 3 );

· the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NHiPr) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Di n-butylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NnBu 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr(FH 2 Ge-Cp)(NHnBu) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Di isobutylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NiBu 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(isobutylamino) Zirconium (IV) (Zr(FH 2 Ge-Cp)(NHiBu) 3 );

· the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Di sec-butylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NsBu 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(sec-butylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NHsBu) 3 );

• the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(Di tert-butylamino)

Zirconium(IV) (Zr(FH 2 Ge-Cp)(NtBu 2 ) 3 ); the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(tert-butylamino) Zirconium (Zr(FH 2 Ge-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(methoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(n-butoxy) Zirconium (IV) (Zr(FH 2 Ge-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(monofluorogermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(FH 2 Ge-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Dimethylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NMe 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(methylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NHMe) 3 ); the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Diethylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(ethylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Ethylmethylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NEtMe) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Di n-propylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NnPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(n-propylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NiPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(isopropylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NnBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(n-butylamino) Zirconium (IV) (Zr(FMe 2 Ge-Cp)(NHnBu) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Di isobutylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NiBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(isobutylamino) Zirconium (IV) (Zr(FMe 2 Ge-Cp)(NHiBu) 3 ); the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Di sec-butylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NsBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(sec-butylamino) Zirconium (IV) (Zr(FMe 2 Ge-Cp)(NHsBu) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(Di tert-butylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NtBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(methoxy) Zirconium(IV)

(Zr(FMe 2 Ge-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr(FMe 2 Ge-Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(n-propoxy) Zirconium(IV)

(Zr(FMe 2 Ge-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(tert-butoxy) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(sec-butoxy) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(n-butoxy) Zirconium(IV)

(Zr(FMe 2 Ge-Cp)(OnBu) 3 ); the Germanium- and Zirconium-containing precursor being (fluoro dimethylgermyl)cyclopentadienyl tris(isobutoxy) Zirconium(IV) (Zr(FMe 2 Ge-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Dimethylamino)

Zirconium(IV) (Zr((CF 3 )3Ge-Cp)(NMe 2 )3);

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(methylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Diethylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(ethylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Ethylmethylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NEtMe) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NnPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(n-propylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di isopropylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NiPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-butylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NnBu 2 ) 3 ); the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(n-butylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHnBu) 3 )!

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di isobutylamino)

Zirconium(IV) (Zr((CF 3 )3Ge-Cp)(NiBu 2 )3);

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isobutylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di sec-butylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NsBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(Di tert-butylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NtBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(tert-butylamino)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(methoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(ethoxy) Zirconium(IV)

(Zr((CF 3 ) 3 Ge-Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(n-propoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isopropoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OiPr) 3 ); the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(tert-butoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(n-butoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(tris(trifluoromethyl)germyl)cyclopentadienyl tris(isobutoxy)

Zirconium(IV) (Zr((CF 3 ) 3 Ge-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Dimethylamino)

Zirconium(IV) (Zr((CF 3 )2HGe-Cp)(NMe 2 )3);

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(methylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Diethylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(ethylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHEt) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Ethylmethylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NEtMe) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-propylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NnPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(n-propylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHnPr) 3 ); • the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di isopropylamino) Zirconium(IV) (Zr((CF 3 )2HGe-Cp)(NiPr 2 )3);

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr((CF 3 )2HGe-Cp)(NHiPr) 3 );

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(Di n-butylamino) Zirconium(IV) (Zr((CF 3 )2HGe-Cp)(NnBu 2 )3);

· the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(n-butylamino) Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHnBu) 3 );

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl trisfDi isobutylamino) Zirconium(IV) (Zr((CF 3 )2HGe-Cp)(NiBu 2 )3);

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(isobutylamino) Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHiBu) 3 );

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl trisfDi sec-butylamino)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NsBu 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butylamino) Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHsBu) 3 );

· the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl trisfDi tert-butylamino) Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NtBu 2 ) 3 );

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(tert-butylamino) Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(NHtBu) 3 );

• the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(methoxy)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OMe) 3 ); the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(ethoxy) Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(n-propoxy)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(isopropoxy)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(tert-butoxy)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(sec-butoxy)

Zirconium(IV) (Zr((CF 3 )2HGe-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(n-butoxy)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

(bis(trifluoromethyl)germyl)cyclopentadienyl tris(iso-butoxy)

Zirconium(IV) (Zr((CF 3 ) 2 HGe-Cp)(OiBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Dimethylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NMe 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(methylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHMe) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Diethylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NEt 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(ethylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHEt) 3 ); the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl

tris(Ethylmethylamino) Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NEtMe) 3 ); the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di n- propylamino) Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NnPr 2 )3);

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-propylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHnPr) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di

isopropylamino) Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NiPr 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isopropylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHiPr) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di n-butylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NnBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-butylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHnBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di

isobutylamino) Zirconium (IV) (Zr((CF 3 )Me 2 Ge-Cp)(NiBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isobutylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHiBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di sec- butylamino) Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NsBu 2 ) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(sec-butylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHsBu) 3 ); the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(Di tert- butylamino) Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NtBu 2 )3);

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(tert-butylamino)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(NHtBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(methoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OMe) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(ethoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OEt) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-propoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OnPr) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isopropoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OiPr) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(tert-butoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OtBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(sec-butoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OsBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(n-butoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OnBu) 3 );

the Germanium- and Zirconium-containing precursor being

((trifluoromethyl)dimethylgermyl)cyclopentadienyl tris(isobutoxy)

Zirconium(IV) (Zr((CF 3 )Me 2 Ge-Cp)(OiBu) 3 );

the Zirconium-containing film forming composition comprising between approximately 95% w/w and approximately 100% w/w of the precursor; the Zirconium-containing film forming composition comprising between approximately 98% w/w and approximately 100% w/w of the precursor; the Zirconium-containing film forming composition comprising between approximately 99% w/w and approximately 100% w/w of the precursor; the Zirconium-containing film forming composition comprising between approximately 5% w/w and approximately 50% w/w of the precursor;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 5.0% w/w impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 1 .0% w/w impurities;

the impurities including ZrCp(OR 10 ) 3 ; Zr(OR 10 ) 4 ; ZrCp(NR 2 ) 3 , with each R independently being H, a C1 -C5 linear, branched or cyclic alkyl group, or a C1 -C5 linear, branched, or cyclic fluoroalkyl group; Zr(NR 2 ) 4 , with each R independently being H, a C1 -C5 linear, branched or cyclic alkyl group, or a C1 -C5 linear, branched, or cyclic fluoroalkyl group; alcohol; alkylamines; dialkylamines; alkylimines; cyclopentadiene; dicyclopentadiene;

(germyl)cyclopentadiene; alkylgermane; THF; ether; pentane; cyclohexane; heptanes; benzene; toluene; chlorinated metal compounds; lithium, sodium, or potassium alkylamino; lithium, sodium, or potassium alkoxy; and lithium, sodium, or potassium cyclopentadienyl;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w ZrCp(OR 1 0 )3

impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w Zr(OR 10 ) 4 impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w ZrCp(NR 2 )3

impurities, with each R independently being H, a C1 -C5 linear, branched or cyclic alkyl group, or a C1 -C5 linear, branched, or cyclic fluoroalkyl group; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w Zr(NR 2 ) 4 impurities, with each R independently being H, a C1 -C5 linear, branched or cyclic alkyl group, or a C1 -C5 linear, branched, or cyclic fluoroalkyl group;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w alkylamine impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w alkylimine impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w alcohol impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w cyclopentadiene impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w dicyclopentadiene impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w

(germyl)cyclopentadiene impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w alkylgermane impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w THF impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w ether impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w pentane impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w cyclohexane impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w heptanes impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w benzene impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w toluene impurities; the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w chlorinated metal compound impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w lithium, sodium, or potassium cyclopentadienyl impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w lithium, sodium, or potassium alkylamino impurities;

the Zirconium-containing film forming composition comprising between approximately 0.0% w/w and approximately 2.0% w/w lithium, sodium, or potassium alkoxy impurities;

the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 1 ppmw metal impurities;

the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw metal impurities;

the metal impurities including Aluminum (Al), Arsenic (As), Barium (Ba), Beryllium (Be), Bismuth (Bi), Cadmium (Cd), Calcium (Ca), Chromium (Cr), Cobalt (Co), Copper (Cu), Gallium (Ga), Germanium (Ge), Hafnium (Hf), Zirconium (Zr), Indium (In), Iron (Fe), Lead (Pb), Lithium (Li), Magnesium (Mg), Manganese (Mn), Tungsten (W), Nickel (Ni), Potassium (K), Sodium (Na), Strontium (Sr), Thorium (Th), Tin (Sn), Titanium (Ti), Uranium (U), and Zinc (Zn);

the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Al impurities;

the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw As impurities;

the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Ba impurities;

the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Be impurities; • the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Bi impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Cd impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Ca impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Cr impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Co impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Cu impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Ga impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Ge impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Hf impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Zr impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw In impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Fe impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Pb impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Li impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Mg impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Mn impurities; • the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw W impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Ni impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw K impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Na impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Sr impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Th impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Sn impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Ti impurities;

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw U impurities; and

• the Zirconium-containing film forming composition comprising between approximately 0 ppbw and approximately 500 ppbw Zn impurities.

Also disclosed is a Zirconium-containing film forming composition delivery device comprising a canister having an inlet conduit and an outlet conduit and containing any of the Zirconium-containing film forming compositions disclosed above. The disclosed device may include one or more of the following aspects:

• the Zirconium-containing film forming composition having a total

concentration of metal contaminants of less than 10 ppmw;

• an end of the inlet conduit end located above a surface of the Zirconium- containing film forming composition and an end of the outlet conduit located below the surface of the Zirconium-containing film forming composition;

• an end of the inlet conduit end located below a surface of the Zirconium- containing film forming composition and an end of the outlet conduit located above the surface of the Zirconium-containing film forming composition; and • further comprising a diaphragm valve on the inlet and the outlet.

Also disclosed are processes for the deposition of Zirconium-containing films on substrates. The Zirconium-containing film forming compositions disclosed above are introduced into a reactor having a substrate disposed therein. At least part of the Germanium- and Zirconium-containing precursor is deposited onto the substrate to form the Zirconium-containing film . The disclosed processes may further include one or more of the following aspects:

• introducing a reactant into the reactor;

• the reactant being plasma-treated;

• the reactant being remote plasma-treated;

• the reactant not being plasma-treated;

• the reactant being selected from the group consisting of H2, H2CO, N 2 H 4 , NH3, SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, and mixtures thereof;

• the reactant being H 2 ;

• the reactant being NH 3 ;

• the reactant being selected from the group consisting of 0 2 , O3, H 2 0, H 2 0 2i NO, N 2 0, N0 2 , oxygen radicals thereof, and mixtures thereof;

• the reactant being O3, 1 Δ 9 singlet oxygen, ∑ g + singlet oxygen, 3 g " triplet oxygen, or combinations thereof;

• the reactant being H 2 0;

• the reactant being plasma treated 0 2 ;

• the reactant being 0 3 ;

• the reactant being a Zirconium-containing precursor;

• the Zirconium-containing precursor being selected from the group consisting of ZrCp(NMe 2 ) 3 , Zr(MeCp)(NMe 2 ) 3 , Zr(EtCp)(NMe 2 ) 3 , Zr(iPrCp)(NMe 2 ) 3 , Zr(tBuCp)(NMe 2 ) 3 , Zr(Cp)(NMeEt) 3 ;

• the Zirconium-containing precursor being ZrCp(NMe 2 ) 3 ;

• m ixing the Zirconium-containing film form ing composition and the Zirconium-containing precursor to form a mixture prior to introduction into the reactor;

• the Zirconium-containing film form ing composition and the reactant being introduced into the reactor simultaneously; • the reactor being configured for chemical vapor deposition;

• the reactor being configured for plasma enhanced chemical vapor deposition;

• the Zirconium-containing film forming composition and the reactant being introduced into the chamber sequentially;

• the reactor being configured for atomic layer deposition;

• the reactor being configured for plasma enhanced atomic layer deposition;

• the reactor being configured for spatial atomic layer deposition;

• the Zirconium-containing film being a pure Zirconium film;

• the pure Zirconium film having a Zr concentration between approximately 95 atomic% to approximately 100 atomic%;

• the Zirconium-containing film being a Zirconium silicide (Zr k Sii, wherein each of k and I is an integer which inclusively range from 1 to 6);

• the Zirconium silicide being ZrSi 2 ;

• the Zirconium-containing film being a Zirconium oxide (Zr m O n , wherein each of m and n is an integer which inclusively range from 1 to 6);

• the zirconium oxide being Zr0 2 ;

• the Zirconium-containing film being a Germanium-doped Zirconium oxide (Zr 0 Ge p Oq), wherein each o and p is a decimal which inclusively ranges from 0 to 1 and q is an integer which inclusively ranges from 1 to 6);

• the germanium-doped Zirconium oxide being Zr(o.99 to o.5)Ge(o.5 to o.oi)02i

• the Zirconium-containing film being a Zirconium nitride (Zr u N v , wherein each of u and v is an integer which inclusively range from 1 to 6); and

• the Zirconium nitride being ZrN.

Notation and Nomenclature

Certain abbreviations, symbols, and terms are used throughout the following description and claims, and include:

As used herein, the indefinite article "a" or "an" means one or more.

As used herein, the terms "approximately" or "about" or "ca." (from the Latin "circa") mean ±10% of the value stated.

As used herein, the term "independently" when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula Zr(TMGCp)(NR R 2 ) 3 , the three R groups may, but need not be identical to each other or to R 2 .

As used herein, the term "alkyl group" refers to saturated functional groups containing exclusively carbon and hydrogen atoms. Further, the term "alkyl group" refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t- butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.

As used herein, the abbreviation "Me" refers to a methyl group; the abbreviation "Et" refers to an ethyl group; the abbreviation "Pr" refers to a propyl group; the abbreviation "nPr" refers to a "normal" or linear propyl group; the abbreviation "iPr" refers to an isopropyl group; the abbreviation "Bu" refers to a butyl group; the abbreviation "nBu" refers to a "normal" or linear butyl group; the abbreviation "tBu" refers to a tert-butyl group, also known as 1 , 1 -dimethylethyl; the abbreviation "sBu" refers to a sec-butyl group, also known as 1 -methylpropyl; the abbreviation "iBu" refers to an iso-butyl group, also known as 2-methylpropyl; the abbreviation "amyl" refers to an amyl or pentyl group; the abbreviation "tAmyl" refers to a tert-amyl group, also known as 1 ,1 -dimethylpropyl; the abbreviation "Cp" refers to cyclopentadienyl; the abbreviation "Cp * " refers to pentamethylcyclopentadienyl; the abbreviation "op" refers to (open)pentadienyl; the abbreviation "TMGCp" refers to the ligand (trimethylgermyl)cyclopentadienyl [Me 3 GeCp]; the abbreviation "TMGCpH" refers to the molecule (trimethylgermyl)cyclopentadiene [Me 3 GeCpH]; the abbreviation DMGCp refers to the ligand (dimethylgermyl)cyclopentadienyl [Me 2 HGeCp]; the abbreviation "TMSCp" refers to the ligand (trimethylsilyl)cyclopentadienyl [Me 3 SiCp]; and the abbreviation "TMSCpH" refers to the molecule (trimethylsilyl)cyclopentadiene [Me 3 SiCpH].

Please note that the films or layers deposited, such as Zirconium oxide, are listed throughout the specification and claims without reference to their proper stoichoimetry (i.e. , Zr0 2 ). The layers may include pure (Zr) layers, carbide (Zr 0 C p ) layers, nitride (Zr k N|) layers, oxide (Zr n O m ) layers, or mixtures thereof, wherein k, I, m, n, o, and p inclusively range from 1 to 6. For instance, Zirconium oxide is Zr k Oi, where k and I each range from 0.5 to 5. More preferably Zirconium oxide is Zr0 2 . The oxide layer may be a mixture of different binary or ternary oxides layers. For example, the oxide layer may be BaZrO x , HfZrO x , ZrYO x , ZrAIO x , ZrErO x , ZrLaO x , ZrDyO x , wherein x ranges from 1 to 6. The oxide layer may be a stack of different oxides layers, such as for example Zr0 2 /Al 2 0 3 nanolaminates. Any referenced layers may also include a Silicon oxide layer, Si n O m , wherein n ranges from 0.5 to 1 .5 and m ranges from 1 .5 to 3.5. More preferably, the silicon oxide layer is Si0 2 or Si0 3 . The silicon oxide layer may be a silicon oxide based dielectric material, such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc. Alternatively, any referenced silicon-containing layer may be pure silicon. Any silicon-containing layers may also include dopants, such as B, C, P, As and/or Ge.

The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Ge refers to germanium, Si refers to silicon, C refers to carbon, etc.).

Brief Description of the Figures

For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying figure wherein:

FIG 1 is a side view of one embodiment of the Zirconium-containing film forming composition delivery device disclosed herein;

FIG 2 is a side view of a second embodiment of the Zirconium-containing film forming composition delivery device disclosed herein;

FIG 3 is a HNMR spectrum of Zr(TMGCp)(NMe 2 ) 3 ;

FIG 4 is a HNMR spectrum of Zr(TMGCp)(OiPr) 3 ;

FIG 5 is a ThermoGravimetric Analysis (TGA)/Differential Thermal Analysis (DTA) graph demonstrating the percentage of weight loss (TGA) or the differential temperature (DTA) with increasing temperature of Zr(TMGCp)(NMe 2 ) 3 ; FIG 6 is a TGA DTA graph demonstrating the percentage of weight loss (TGA) or the differential temperature (DTA) with increasing temperature of Zr(TMGCp)(OiPr) 3 ;

FIG 7 is a graph of the ALD growth rate of germanium silicon oxide thin film as a function of the temperature using the precursor Zr(TMGCp)(NMe 2 )3 and O3 reactant;

FIG 8 is a graph of the X-ray Photoelectron Spectroscopy (XPS) analysis of the film produced as a function of temperature using the precursor

Zr(TMGCp)(NMe 2 ) 3 and 0 3 reactant;

Fig 9 is a Scanning Electron Microscope (SEM) photograph of the film deposited in a 1 : 10 aspect ratio pattern wafer using using the precursor

Zr(TMGCp)(NMe 2 ) 3 at 325 °C;

Fig 10 is a SEM photograph of the film deposited in a 1 :40 aspect ratio pattern wafer using using the precursor Zr(TMGCp)(NMe 2 )3 at 325 °C;

FIG 11 is a graph of the ALD growth rate of germanium silicon oxide thin film as a function of the temperature using the precursor Zr(TMGCp)(NMe 2 ) 3 and H 2 0 reactant; and

FIG 12 is a graph of the XPS analysis of the film produced at 300°C using the precursor Zr(TMGCp)(NMe 2 ) 3 and H 2 0 reactant.

Description of Preferred Embodiments

Disclosed are Zirconium-containing film forming compositions comprising a Germanium- and Zirconium-containing precursor having the following formula:

Formula I Formula II

wherein each R 1 , R 2 R 3 R 4 R 5 , R s R 7 , R 8 , R 9 and R 10 is independently selected from H; a C1 -C5 linear, branched, or cyclic alkyl group; or a C1 -C5 linear, branched, or cyclic fluoroalkyl group. R 1 , R 2 and R 3 may be identical or different. R 4 R 5 , R 6 and R 7 may be identical or different. Each R 8 and R 9 may be identical or different. Each R 10 may be identical or different.

Preferably R 1 , R 2 , R 3 , R 4 , R 5 , R 5 and R 7 are H or Me because smaller alkyl groups may increase the volatility and decrease the melting point of the germanium- and zirconium-containing precursor. Preferably R 8 and R 9 are H, Me or Et because smaller alkyl groups may increase the volatility and decrease the melting point of the germanium- and zirconium-containing precursor. Preferably R 10 is e : Et, iPr or tBu because the smaller alkyl groups (Me, Et) may increase the volatility and the larger alky! groups (iPr, tBu) may decrease the melting point of the germanium- and zirconium-containing precursor.

Exemplary Germanium- and Zirconium-containing precursors of Formula I include but are not limited to Zr(TMG-Cp)(NMe 2 ) 3 , Zr(TMG-Cp)(NHMe) 3 , Zr(TMG- Cp)(NEt 2 ) 3 , Zr(TMG-Cp)(NHEt) 3 , Zr(TMG-Cp)(NEtMe) 3 ), Zr(TMG-Cp)(NnPr 2 ) 3 , Zr(TMG-Cp)(NHnPr) 3 , Zr(TMG-Cp)(NiPr 2 ) 3 , Zr(TMG-Cp)(NHiPr) 3 , Zr(TMG- Cp)(NnBu 2 ) 3 ), Zr(TMG-Cp)(NHnBu) 3 , Zr(TMG-Cp)(NiBu 2 ) 3 , Zr(TMG-Cp)(NHiBu) 3 , Zr(TMG-Cp)(NsBu 2 ) 3 , Zr(TMSG-Cp)(NHsBu) 3 , Zr(TMG-Cp)(NtBu 2 ) 3 , Zr(TMG- Cp)(NHtBu) 3 , Zr(DMG-Cp)(NMe 2 ) 3 , Zr(DMG-Cp)(NHMe) 3 , Zr(DMG-Cp)(NEt 2 ) 3 , Zr(DMG-Cp)(NHEt) 3 , Zr(DMG-Cp)(NEtMe) 3 , Zr(DMG-Cp)(NnPr 2 ) 3 , Zr(DMG- Cp)(NHnPr) 3 , Zr(DMG-Cp)(NiPr 2 ) 3 , Zr(DMG-Cp)(NHiPr) 3 , Zr(DMG-Cp)(NnBu 2 ) 3 , Zr(DMG-Cp)(NHnBu) 3 , Zr(DMG-Cp)(NiBu 2 ) 3 , Zr(DMG-Cp)(NH ' iBu) 3 , Zr(DMG- Cp)(NsBu 2 ) 3 , Zr(DMG-Cp)(NHsBu) 3 , Zr(DMG-Cp)(NtBu 2 ) 3 , Zr(DMG-Cp)(NHtBu) 3 , Zr(F 3 Ge-Cp)(NMe 2 ) 3 , Zr(F 3 Ge-Cp)(NHMe) 3 , Zr(F 3 Ge-Cp)(NEt 2 ) 3 , Zr(F 3 Ge- Cp)(NHEt) 3 , Zr(F 3 Ge-Cp)(NEtMe) 3 , Zr(F 3 Ge-Cp)(NnPr 2 ) 3 , Zr(F 3 Ge-Cp)(NHnPr) 3 , Zr(F 3 Ge-Cp)(NiPr 2 ) 3 , Zr(F 3 Ge-Cp)(NHiPr) 3 , Zr(F 3 Ge-Cp)(NnBu 2 ) 3 , Zr(F 3 Ge- Cp)(NHnBu) 3l Zr(F 3 Ge-Cp)(NiBu 2 ) 3 , Zr(F 3 Ge-Cp)(NHiBu) 3 , Zr(F 3 Ge-Cp)(NsBu 2 ) 3 , Zr(F 3 Ge-Cp)(NHsBu) 3 , Zr(F 3 Ge-Cp)(NtBu 2 ) 3 , Zr(F 3 Ge-Cp)(NHtBu) 3 , Zr(F 2 HGe- Cp)(NMe 2 ) 3 , Zr(F 2 HGe-Cp)(NHMe) 3 , Zr(F 2 HGe-Cp)(NEt 2 ) 3 , Zr(F 2 HGe-Cp)(NHEt) 3 , Zr(F 2 HGe-Cp)(NEtMe) 3 , Zr(F 2 HGe-Cp)(NnPr 2 ) 3 , Zr(F 2 HGe-Cp)(NHnPr) 3 ,

Zr(F 2 HGe-Cp)(NiPr 2 ) 3 , Zr(F 2 HGe-Cp)(NHiPr) 3 , Zr(F 2 HGe-Cp)(NnBu 2 ) 3 , Zr(F 2 HGe- Cp)(NHnBu) 3 , Zr(F 2 HGe-Cp)(NiBu 2 ) 3 , Zr(F 2 HGe-Cp)(NHiBu) 3 , Zr(F 2 HGe- Cp)(NsBu 2 ) 3 , Zr(F 2 HGe-Cp)(NHsBu) 3 , Zr(F 2 HGe-Cp)(NtBu 2 ) 3 , Zr(F 2 HGe- Cp)(NHtBu) 3 , Zr(FH 2 Ge-Cp)(NMe 2 ) 3 , Zr(FH 2 Ge-Cp)(NHMe) 3 , Zr(FH 2 Ge- Cp)(NEt 2 ) 3 , Zr(FH 2 Ge-Cp)(NHEt) 3 , Zr(FH 2 Ge-Cp)(NEtMe) 3 , Zr(FH 2 Ge-Cp)(NnPr 2 ) 3 , Zr(FH 2 Ge-Cp)(NHnPr) 3 , Zr(FH 2 Ge-Cp)(NiPr 2 ) 3 , Zr(FH 2 Ge-Cp)(NHiPr) 3 , Zr(FH 2 Ge-Cp)(NnBu 2 ) 3 , Zr(FH 2 Ge-Cp)(NHnBu) 3 , Zr(FH 2 Ge-Cp)(NiBu 2 ) 3 ,

Zr(FH 2 Ge-Cp)(NHiBu) 3 , Zr(FH 2 Ge-Cp)(NsBu 2 ) 3 , Zr(FH 2 Ge-Cp)(NHsBu) 3 , Zr(FH 2 Ge-Cp)(NtBu 2 ) 3 , Zr(FH 2 Ge-Cp)(NHtBu) 3 , Zr(FMe 2 Ge-Cp)(NMe 2 ) 3 ,

Zr(FMe 2 Ge-Cp)(NHMe) 3 , Zr(FMe 2 Ge-Cp)(NEt 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHEt) 3 , Zr(FMe 2 Ge-Cp)(NEtMe) 3 , Zr(FMe 2 Ge-Cp)(NnPr 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHnPr) 3 , Zr(FMe 2 Ge-Cp)(NiPr 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHiPr) 3 , Zr(FMe 2 Ge-Cp)(NnBu 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHnBu) 3 , Zr(FMe 2 Ge-Cp)(NiBu 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHiBu) 3 , Zr(FMe 2 Ge-Cp)(NsBu 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHsBu) 3 , Zr(FMe 2 Ge-Cp)(NtBu 2 ) 3 , Zr(FMe 2 Ge-Cp)(NHtBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(NMe 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHMe) 3 , Zr((CF 3 ) 3 Ge-Cp)(NEt 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHEt) 3 , Zr((CF 3 ) 3 Ge-Cp)(NEtMe) 3 , Zr((CF 3 ) 3 Ge-Cp)(NnPr 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHnPr) 3 , Zr((CF 3 ) 3 Ge-Cp)(NiPr 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHiPr) 3 , Zr((CF 3 ) 3 Ge-Cp)(NnBu 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHnBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(NiBu 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHiBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(NsBu 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHsBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(NtBu 2 ) 3 , Zr((CF 3 ) 3 Ge-Cp)(NHtBu) 3 , Zr((CF 3 ) 2 HGe-Cp)(NMe) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHMe) 3 , Zr((CF 3 ) 2 HGe-Cp)(NEt 2 ) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHEt) 3 , Zr((CF 3 ) 2 HGe-Cp)(NEtMe) 3 , Zr((CF 3 ) 2 HGe- Cp)(NnPr 2 ) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHnPr) 3 , Zr((CF 3 ) 2 HGe-Cp)(NiPr 2 ) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHiPr) 3 , Zr((CF 3 ) 2 HGe-Cp)(NnBu 2 ) 3 , Zr((CF 3 ) 2 HGe-

Cp)(NHnBu) 3 , Zr((CF 3 ) 2 HGe-Cp)(NiBu 2 ) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHiBu) 3 ,

Zr((CF 3 ) 2 HGe-Cp)(NsBu 2 ) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHsBu) 3 , Zr((CF 3 ) 2 HGe- Cp)(NtBu 2 ) 3 , Zr((CF 3 ) 2 HGe-Cp)(NHtBu) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NMe 2 ) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NHMe) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NEt 2 ) 3 , Zr((CF 3 )Me 2 Ge- Cp)(NHEt) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NEtMe) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NnPr 2 ) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NHnPr) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NiPr 2 ) 3 , Zr((CF 3 )Me 2 Ge- Cp)(NHiPr) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NnBu 2 ) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NHnBu) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NiBu 2 ) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NHiBu) 3 , Zr((CF 3 )Me 2 Ge- Cp)(NsBu 2 ) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NHsBu) 3 , Zr((CF 3 )Me 2 Ge-Cp)(NtBu 2 ) 3 , or Zr((CF 3 )Me 2 Ge-Cp)(NHtBu) 3 .

Exemplary Germanium- and Zirconium-containing precursors of Formula II include but are not limited to Zr(TMG-Cp)(OMe) 3 , Zr(TMG-Cp)(OEt) 3 , Zr(TMG- Cp)(OnPr) 3 , Zr(TMG-Cp)(OiPr) 3 , Zr(TMG-Cp)(OtBu) 3 , Zr(TMG-Cp)(OsBu) 3 , Zr(TMG-Cp)(OnBu) 3 , Zr(TMG-Cp)(OiBu) 3 , Zr(DMG-Cp)(OMe) 3 , Zr(DMG- Cp)(OEt) 3 , Zr(DMG-Cp)(OnPr) 3 , Zr(DMG-Cp)(OiPr) 3 , Zr(DMG-Cp)(OtBu) 3 , Zr(DMG-Cp)(OsBu) 3 , Zr(DMG-Cp)(OnBu) 3 , Zr(DMG-Cp)(OiBu) 3 , Zr(F 3 Ge- Cp)(OMe) 3 , Zr(F 3 Ge-Cp)(OEt) 3 , Zr(F 3 Ge-Cp)(OnPr) 3 , Zr(F 3 Ge-Cp)(OiPr) 3 , Zr(F 3 Ge-Cp)(OtBu) 3 , Zr(F 3 Ge-Cp)(OsBu) 3 ,Zr(F 3 Ge-Cp)(OnBu) 3 , Zr(F 3 Ge- Cp)(OiBu) 3 , Zr(F 2 HGe-Cp)(OMe) 3 , Zr(F 2 HGe-Cp)(OEt) 3 , Zr(F 2 HGe-Cp)(OnPr) 3 , Zr(F 2 HGe-Cp)(OiPr) 3 , Zr(F 2 HGe-Cp)(OtBu) 3 , Zr(F 2 HGe-Cp)(OsBu) 3 , Zr(F 2 HGe- Cp)(OnBu) 3 , Zr(F 2 HGe-Cp)(OiBu) 3 , Zr(FH 2 Ge-Cp)(OMe) 3 , Zr(FH 2 Ge-Cp)(OEt) 3 , Zr(FH 2 Ge-Cp)(OnPr) 3 , Zr(FH 2 Ge-Cp)(OiPr) 3 , Zr(FH 2 Ge-Cp)(OtBu) 3 , Zr(FH 2 Ge- Cp)(OsBu) 3 , Zr(FH 2 Ge-Cp)(OnBu) 3 , Zr(FH 2 Ge-Cp)(OiBu) 3 , Zr(FMe 2 Ge- Cp)(OMe) 3 , Zr(FMe 2 Ge-Cp)(OEt) 3 , Zr(FMe 2 Ge-Cp)(OnPr) 3 , Zr(FMe 2 Ge- Cp)(OiPr) 3 , Zr(FMe 2 Ge-Cp)(OtBu) 3 , Zr(FMe 2 Ge-Cp)(OsBu) 3 , Zr(FMe 2 Ge- Cp)(OnBu) 3 , Zr(FMe 2 Ge-Cp)(OiBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(OMe) 3 , Zr((CF 3 ) 3 Ge- Cp)(OEt) 3 , Zr((CF 3 ) 3 Ge-Cp)(OnPr) 3 , Zr((CF 3 ) 3 Ge-Cp)(OiPr) 3 , Zr((CF 3 ) 3 Ge- Cp)(OtBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(OsBu) 3 , Zr((CF 3 ) 3 Ge-Cp)(OnBu) 3 , Zr((CF 3 ) 3 Ge- Cp)(OiBu) 3 , Zr((CF 3 ) 2 HGe-Cp)(OMe) 3 , Zr((CF 3 ) 2 HGe-Cp)(OEt) 3 , Zr((CF 3 ) 2 HGe- Cp)(OnPr) 3 , Zr((CF 3 ) 2 HGe-Cp)(OiPr) 3 , Zr((CF 3 ) 2 HGe-Cp)(OtBu) 3 , Zr((CF 3 ) 2 HGe- Cp)(OsBu) 3 , Zr((CF 3 ) 2 HGe-Cp)(OnBu) 3 , Zr((CF 3 ) 2 HGe-Cp)(OiBu) 3 , Zr((CF 3 )Me 2 Ge-Cp)(OMe) 3 , Zr((CF 3 )Me 2 Ge-Cp)(OEt) 3 , Zr((CF 3 )Me 2 Ge- Cp)(OnPr) 3 , Zr((CF 3 )Me 2 Ge-Cp)(OiPr) 3 , Zr((CF 3 )Me 2 Ge-Cp)(OtBu) 3 ,

Zr((CF 3 )Me 2 Ge-Cp)(OsBu) 3 , Zr((CF 3 )Me 2 Ge-Cp)(OnBu) 3 , or Zr((CF 3 )Me 2 Ge- Cp)(OiBu) 3 .

Preferably, the Germanium- and Zirconium-containing precursor is (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV), due to its vaporization results in atmospheric thermo gravimetric analysis, leaving a small amount of final residue (see Example 1 ). The low amount of residue demonstrated by the TGA results for (trimethylgermyl)cyclopentadienyl tri(isopropoxy) Zirconium (IV) in Example 2 are also promising for vapor deposition applications.

The disclosed Zirconium-containing film forming compositions may be synthesized by reacting the corresponding tetrakis(amino) Zirconium(IV) or corresponding tetrakis(alkoxy) Zirconium(IV) with the corresponding (germyl)cyclopentadiene in a suitable solvent, such as toluene, THF or ether. (Germyl)cyclopentadiene are typically prepared according to the procedure described in Organometallics 1990, 9, 2488-2492. Alternatively the disclosed (germyl)cyclopentadienyl-tris(alkoxy) Zirconium-containing compounds may be synthesized by alcoholysis of the corresponding (germyl)cyclopentadienyl- tris(amino) Zirconium-containing compounds with 3 equivalents of the corresponding alcohol in a suitable solvent, such as toluene, THF or ether. However, an excess of alcohol in this synthesis process may result in unreacted reactants, such as Zr(NR 2 ) 4 or Zr(OR) 4 , and unwanted reaction by-products, such as unsubstituted cyclopentadienyl reaction products like cyclopentadienyl tris(alkoxy) zirconium or cyclopentadienyl tris(amino) zirconium. Exemplary synthesis methods containing further details are provided in the Examples that follow.

Purity of the disclosed Zirconium-containing film forming compositions ranges from approximately 95% w/w to approximately 100% w/w, preferably higher than 98% w/w, and more preferably higher than 99% w/w. One of ordinary skill in the art will recognize that the purity may be determined by H NMR or gas or liquid chromatography with mass spectrometry. The disclosed Zirconium- containing film forming compositions may contain any of the following impurities: ZrCp(OR 0 ) 3 ; Zr(OR 0 ) 4 ; ZrCp(NR 2 ) 3 , with each R independently being H, a C1 - C5 linear, branched or cyclic alkyl group, or a C1 -C5 linear, branched, or cyclic fluoroalkyl group; Zr(NR 2 ) 4 , with each R independently being H, a C1 -C5 linear, branched or cyclic alkyl group, or a C1 -C5 linear, branched, or cyclic fluoroalkyl group; alcohol, such as isopropyl alcohol or tert-butyl alcohol; cyclopentadiene; (germyl)cyclopentadiene; dicyclopentadiene; alkylgermane; alkylamines such as tert-butylamine; dialkylamines such as dimethylamine; alkylimines; THF; ether; pentane; cyclohexane; heptanes; benzene; toluene; chlorinated metal

compounds; lithium, sodium or potassium alkoxy; lithium, sodium, or potassium alkylamino; or lithium, sodium, or potassium cyclopentadienyl. The total quantity of these impurities ranges from approximately 0% w/w to approximately 5% w/w, preferably below 2% w/w, and more preferably below 1 % w/w. The composition may be purified by recrystallisation, sublimation, distillation, and/or passing the gas or liquid through a suitable adsorbent, such as a 4A molecular sieve.

Purification of the disclosed Zirconium-containing film forming compositions may also result in metal impurities at the 0 ppbw (parts per billion weight) to 1 ppmw (parts per million weight) levels, preferably 0-500 ppbw level. These metal impurities include, but are not limited to, Aluminum (Al), Arsenic (As), Barium (Ba), Beryllium (Be), Bismuth (Bi), Cadmium (Cd), Calcium (Ca), Chromium (Cr), Cobalt (Co), Copper (Cu), Gallium (Ga), Germanium (Ge), Hafnium (Hf), Zirconium (Zr), Indium (In), Iron (Fe), Lead (Pb), Lithium (Li), Magnesium (Mg), Manganese (Mn), Tungsten (W), Nickel (Ni), Potassium (K), Sodium (Na), Strontium (Sr), Thorium (Th), Tin (Sn), Titanium (Ti), Uranium (U), Vanadium (V) and Zinc (Zn).

The Zirconium-containing film forming compositions may be delivered to a semiconductor processing tool by the disclosed Zirconium-containing film forming composition delivery devices. FIGS 1 and 2 show two non-limiting embodiments of the disclosed delivery devices 1.

FIG 1 is a side view of one embodiment of the Zirconium-containing film forming composition delivery device 1. In FIG 1 , the disclosed Zirconium- containing film forming compositions 10 are contained within a container 20 having two conduits, an inlet conduit 30 and an outlet conduit 40. One of ordinary skill in the precursor art will recognize that the container 20, inlet conduit 30, and outlet conduit 40 are manufactured to prevent the escape of the gaseous form of the Zirconium-containing film forming composition 10, even at elevated temperature and pressure.

The delivery device 1 must be leak tight and be equipped with valves

35/45 that do not permit escape of even minute amounts of the material. Suitable valves 35/45 include spring-loaded or tied diaphragm valves. The valves 35/45 may further comprise a restrictive flow orifice (RFO). The delivery device 1 may be connected to a gas manifold (not shown) and in an enclosure (not shown). The gas manifold should permit the safe evacuation and purging of the piping that may be exposed to Air when the delivery device 1 is replaced so that any residual amounts of the Zr-containing film forming composition does not react. The enclosure may be equipped with sensors and fire control capability to control the fire in the case of material release or reaction. The gas manifold may also be equipped with isolation valves, vacuum generators, and permit the introduction of a purge gas at a minimum.

The delivery device 1 fluidly connects to other components of the semiconductor processing tool, such as the gas cabinet disclosed above, via valves 35 and 45. Preferably, the container 20, inlet conduit 30, valve 35, outlet conduit 40, and valve 45 are made of 316L EP or 304 stainless steel. However, one of ordinary skill in the art will recognize that other non-reactive materials may also be used in the teachings herein and that any corrosive Zirconium-containing film forming compositions 10 may require the use of more corrosion-resistant materials, such as Hastelloy or Inconel.

In FIG 1 , the end 31 of inlet conduit 30 is located above the surface of the Zirconium-containing film forming composition 10, whereas the end 41 of the outlet conduit 40 is located below the surface of the Zirconium-containing film forming composition 10. In this embodiment, the Zirconium-containing film forming composition 10 is preferably in liquid form. An inert gas, including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 30. The inert gas pressurizes the delivery device 20 so that the liquid Zirconium-containing film forming composition 10 is forced through the outlet conduit 40 and to components in the semiconductor processing tool (not shown). The semiconductor processing tool may include a vaporizer which transforms the liquid Zirconium-containing film forming composition 10 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to a chamber where a wafer to be repaired is located and treatment occurs in the vapor phase. Alternatively, the liquid Zirconium-containing film forming composition 10 may be delivered directly to the wafer surface as a jet or aerosol.

FIG 2 is a side view of a second embodiment of the Zirconium-containing film forming composition delivery device 1. In FIG 2, the end 31 of inlet conduit 30 is located below the surface of the Zirconium-containing film forming composition 10, whereas the end 41 of the outlet conduit 40 is located above the surface of the Zirconium-containing film forming composition 10. FIG 2 also includes an optional heating element 25, which may increase the temperature of the Zirconium- containing film forming composition 10. In this embodiment, the Zirconium- containing film forming composition 10 may be in solid or liquid form. An inert gas, including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 30. The inert gas bubbles through the Zirconium- containing film forming composition 10 and carries a mixture of the inert gas and vaporized Zirconium-containing film forming composition 10 to the outlet conduit 40 and on to the components in the semiconductor processing tool.

Both FIGS 1 and 2 include valves 35 and 45. One of ordinary skill in the art will recognize that valves 35 and 45 may be placed in an open or closed position to allow flow through conduits 30 and 40, respectively. Either delivery device 1 in FIGS 1 or 2, or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Zirconium-containing film forming composition 10 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase. In this case, the Zirconium-containing film forming composition 10 is delivered in vapor form through the conduit 40 simply by opening the valve 45. The delivery device 1 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Zirconium-containing film forming composition 10 to be delivered in vapor form, for example by the use of an optional heating element 25.

While FIGS 1 and 2 disclose two embodiments of the Zirconium- containing film forming composition delivery device 1 , one of ordinary skill in the art will recognize that the inlet conduit 30 and outlet conduit 40 may both be located above or below the surface 11 of the Zirconium-containing film forming composition 10 without departing from the disclosure herein. Furthermore, inlet conduit 30 may be a filling port. Finally, one of ordinary skill in the art will recognize that the disclosed Zirconium-containing film forming composition may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.

Also disclosed are methods for forming Zirconium-containing layers on a substrate using a vapor deposition process. The method may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices. The disclosed Zirconium-containing film forming compositions may be used to deposit thin Zirconium-containing films using any deposition methods known to those of skill in the art.

Examples of suitable deposition methods include, without limitation, chemical vapor deposition (CVD) or atomic layer deposition (ALD). Exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD), atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat- CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, and combinations thereof. Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hotwire ALD (HWALD), radicals incorporated ALD, and combinations thereof. Supercritical fluid deposition may also be used. The deposition method is preferably ALD, PE-ALD, or spatial ALD in order to provide suitable step coverage and film thickness control.

The cubic/tetragonal crystalline phase of Zr0 2 provides the highest dielectric constant of the different Zr0 2 crystalline forms (cubic, tetragonal, amorphous, monoclinic, orthorhombic, and combinations thereof are the available crystalline phases). It is experimentally reported that a doping level (3-12%) of small ionic radius tetravalent dopant such as Ge is the most efficient in stabilizing the tetragonal zirconia phase. The substitution of a Zr atom by Ge in the tetragonal Zr0 2 structure results in reduced Ge-0 bond with length similar to that in Ge0 2 . Therefore, Zr0 2 is an excellent host for Ge, which is easily incorporated into the "friendly" local environment of the oxide (J. Appl. Phys. 106, 024107, 2009). The advantage is that Ge is tetravalent therefore it substitutes Zr in the lattice without introducing O vacancies. The preliminary R&D test results provided in Example 4 show that deposition using Zr(Me3GeCp)(NMe2)3 produced 14 atomic % Ge with little impurity contamination, demonstrating the potential of the these precursors to be able to deposit the desired crystalline phase of the zirconium oxide films.

Applicants believe that the vapor deposition process conditions may be controlled so that Zr alone or both Zr and Ge may be deposited in the zirconium- containing layer. For instance, adjusting the ALD parameters to exhibit some parasitic CVD might be useful to deposit a finite amount of Ge in the Zr0 2 layer. Alternatively, the germanium content in Zr0 2 film may be controlled by alternating the deposition of (Zr, Ge)0 2 film using the disclosed Zirconium-containing film forming compositions and the deposition of Zr0 2 using another zirconium- containing precursor. For example, ZrCp(NMe 2 ) 3 , Zr(MeCp)(NMe 2 ) 3 or Zr(EtCp)(NMe 2 ) 3 may serve as the Zr-containing precursor to produce Zr0 2 films. In other words x subcycles of (Zr, Ge)C> 2 deposition using the Zirconium- containing film forming compositions may be alternated with y subcycles of ZrC> 2 deposition using a zirconium-containing precursor, such as ZrCp(NMe 2 )3. The supercycle consisting of x subcycles of (Zr, Ge)0 2 and y subcycles of Zr0 2 may be repeated to obtain a desired thickness of (Zr, Ge)0 2 film, wherein x and y are integers which inclusively range from 1 to 20. Zr and Ge content may be controlled by adjusting x and y.

Alternatively, the germanium content in the Zr0 2 film may be controlled by depositing the (Zr, Ge)0 2 film using a mixture containing both the disclosed Zirconium-containing film forming compositions and a zirconium-containing precursor. For example, ZrCp(NMe 2 ) 3 , Zr(MeCp)(NMe 2 ) 3 , Zr(EtCp)(NMe 2 ) 3 , Zr(iPrCp)(NMe 2 )3, or Zr(tBuCp)(NMe 2 )3 may serve as the Zr-containing precursor. The Zr and Ge content may be controlled by adjusting the ratio between the Zirconium-containing film forming composition and the zirconium-containing precursor in the mixture.

The disclosed Zirconium-containing film forming compositions may be supplied either in neat form or in a blend with a suitable solvent, such as ethyl benzene, xylene, mesitylene, decane, or dodecane. The disclosed compositions may be present in varying concentrations in the solvent.

The neat or blended Zirconium-containing film forming compositions are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters. The composition in vapor form may be produced by vaporizing the neat or blended composition through a conventional vaporization step such as direct vaporization, distillation, direct liquid injection, by bubbling, or by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al. The neat or blended composition may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor. Alternatively, the neat or blended composition may be vaporized by passing a carrier gas into a container containing the composition or by bubbling the carrier gas into the composition. The carrier gas may include, but is not limited to, Ar, He, N 2 ,and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the neat or blended composition. The carrier gas and composition are then introduced into the reactor as a vapor. If necessary, the container of disclosed composition may be heated to a temperature that permits the composition to be in its liquid phase and to have a sufficient vapor pressure. The container may be maintained at temperatures in the range of, for example, approximately 0°C to approximately 150°C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of composition vaporized.

The reactor may be any enclosure or chamber within a device in which deposition methods take place such as without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor (i.e., a batch reactor), or other types of deposition systems under conditions suitable to cause the precursors to react and form the layers.

Generally, the reactor contains the substrate(s) onto which the thin films will be deposited. A substrate is generally defined as the material on which a process is conducted. The substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers. The wafer may have one or more layers of differing materials deposited on it from a previous manufacturing step. For example, the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combination thereof. Additionally, the wafers may include copper layers, tungsten layers, or noble metal layers (e.g., platinum, palladium rhodium, or gold). Plastic layers, such as poly(3,4-ethylenedioxythiophene)poly (styrenesulfonate) [PEDOTPSS], may also be used. The layers may be planar or patterned.

The disclosed processes may deposit the Zr-containing layer directly on the wafer or directly on one or more than one (when patterned layers from the substrate) of the layers on top of the wafer. The substrate may be patterned to include vias or trenches having high aspect ratios. For example, a conformal Zr- containing film, such as Zr0 2 , may be deposited using any ALD technique on a through silicon via (TSV) having an aspect ratio ranging from approximately 20: 1 to approximately 100:1. Furthermore, one of ordinary skill in the art will recognize that the terms "film" or "layer" used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates. For example, a ZrC> 2 film may be deposited onto a TiN substrate. In subsequent processing, a TiN layer may be deposited on the Zr0 2 layer, forming a TiN/Zr0 2 /TiN stack used as DRAM capacitor.

The temperature and the pressure within the reactor are held at conditions suitable for vapor depositions. In other words, after introduction of the vaporized composition into the chamber, conditions within the chamber are such that at least part of the precursor is deposited onto the substrate to form a Zirconium- containing film. For instance, the pressure in the reactor may be held between about 1 Pa and about 10 5 Pa, more preferably between about 25 Pa and about 10 3 Pa, as required per the deposition parameters. Likewise, the temperature in the reactor may be held between about 100°C and about 500°C, preferably between about 150°C and about 400°C. One of ordinary skill in the art will recognize that "at least part of the precursor is deposited" means that some or all of the precursor in the disclosed Zr-containing film forming composition reacts with or adheres to the substrate, either by itself or with the aid of a reactant.

The temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art. The reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 100°C to approximately 500°C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 150°C to approximately 400°C. Alternatively, when a thermal process is performed, the deposition temperature may range from approximately 200°C to approximately 500°C.

In addition to the disclosed Zr-containing film forming composition, a reactant may also be introduced into the reactor. The reactant may be an oxidizing gas such as one of 0 2 , O3, H 2 0, Η 2 0 2 , NO, N 2 0, N0 2 , oxygen- containing radicals such as O- or OH-, NO, N0 2 ,carboxylic acids, formic acid, acetic acid, propionic acid, and mixtures thereof. 0 2 may include Δ 9 singlet oxygen, 1 g + singlet oxygen, the conventional 3 g " triplet oxygen, or combinations thereof. The higher energy states of 0 2 may be generated using electric discharge, irradiation of a photosensitizer such as that disclosed by Ito et al. in JP2012/087025, or by a MEMS chip, such as the one disclosed by Velasquez- Garcia et al. (J Microelectromechanical Systems Vol. 16, No. 6, December 2007). Applicants believe that a mixture of singlet oxygen with triplet oxygen and/or ozone may provide suitable reactivity without damaging the underlying substrate due to the short lifespan of the higher energy state O2. Alternatively, the oxidizing gas may be selected from the group consisting of O2, O3, H 2 0, H2O2, oxygen- containing radicals thereof such as O- or OH-, and mixtures thereof.

Alternatively, the reactant may be a reducing gas such as one of H2, H2CO,

NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , (CH 3 ) 2 SiH 2 , (C 2 H 5 )2SiH2, (CH 3 )SiH 3 , (C 2 H 5 )SiH 3 , phenyl silane, N 2 H 4 , N(SiH 3 ) 3 , N(CH 3 )H 2 , N(C 2 H 5 )H 2 , N(CH 3 ) 2 H, N(C 2 H 5 ) 2 H, N(CH 3 ) 3 , N(C 2 H 5 ) 3 , (SiMe 3 ) 2 NH, (CH 3 )HNNH 2 , (CH 3 ) 2 NNH 2 , phenyl hydrazine, N-containing molecules, B 2 H 6 , 9-borabicyclo[3,3, 1 ]nonane, dihydrobenzenfuran, pyrazoline, trimethylaluminium, dimethylzinc, diethylzinc, radical species thereof, and mixtures thereof. Preferably, the reducing gas is H2, NH 3 , SiH 4 , S 12H6, Si 3 Hs, SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, or mixtures thereof.

The reactant may be treated by plasma, in order to decompose the reactant into its radical form. N2 may also be utilized as a reducing gas when treated with plasma. For instance, the plasma may be generated with a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 400 W. The plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system. One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.

For example, the reactant may be introduced into a direct plasma reactor, which generates plasma in the reaction chamber, to produce the plasma-treated reactant in the reaction chamber. Exemplary direct plasma reactors include the Titan™ PECVD System produced by Trion Technologies. The reactant may be introduced and held in the reaction chamber prior to plasma processing. Alternatively, the plasma processing may occur simultaneously with the introduction of the reactant. In-situ plasma is typically a 13.56 MHz RF inductively coupled plasma that is generated between the showerhead and the substrate holder. The substrate or the showerhead may be the powered electrode depending on whether positive ion impact occurs. Typical applied powers in in- situ plasma generators are from approximately 30 W to approximately 1000 W. Preferably, powers from approximately 30 W to approximately 600 W are used in the disclosed methods. More preferably, the powers range from approximately 100 W to approximately 500 W. The disassociation of the reactant using in-situ plasma is typically less than achieved using a remote plasma source for the same power input and is therefore not as efficient in reactant disassociation as a remote plasma system, which may be beneficial for the deposition of Zirconium-containing films on substrates easily damaged by plasma.

Alternatively, the plasma-treated reactant may be produced outside of the reaction chamber. The MKS Instruments' ASTRONi ® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber. Operated at 2.45 GHz, 7kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant 0 2 may be decomposed into two O ' radicals. Preferably, the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.

The vapor deposition conditions within the chamber allow the disclosed precursors and the reactant to react and form a Zirconium-containing film on the substrate. In some embodiments, Applicants believe that plasma-treating the reactant may provide the reactant with the energy needed to react with the disclosed precursor.

Depending on what type of film is desired to be deposited, an additional precursor compound may be introduced into the reactor. The additional precursor may be used to provide the same (i.e., Zr) or additional elements to the Zirconium- containing film. The additional elements may include Hafnium, Niobium, Tantalum, lanthanides (Ytterbium, Erbium, Dysprosium, Gadolinium, Praseodymium, Cerium, Lanthanum, Yttrium), germanium, silicon, titanium, manganese, cobalt, nickel, ruthenium, bismuth, lead, magnesium, aluminum, or mixtures of these. When an additional precursor compound is utilized, the resultant film deposited on the substrate may contain the Zirconium in combination with at least one additional element. The Zirconium-containing film forming compositions and reactants may be introduced into the reactor either simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or different combinations thereof. The reactor may be purged with an inert gas between the introduction of the composition and the introduction of the reactant. Alternatively, the reactant and the composition may be mixed together to form a reactant/com position mixture, and then introduced to the reactor in mixture form. Another example is to introduce the reactant continuously and to introduce the Zirconium-containing film forming composition by pulse (pulsed chemical vapor deposition).

The vaporized composition and the reactant may be pulsed sequentially or simultaneously {e.g. pulsed CVD) into the reactor. Each pulse of composition may last for a time period ranging from about 0.01 seconds to about 10 seconds, alternatively from about 0.3 seconds to about 3 seconds, alternatively from about 0.5 seconds to about 2 seconds. In another embodiment, the reactant may also be pulsed into the reactor. In such embodiments, the pulse of each gas may last for a time period ranging from about 0.01 seconds to about 10 seconds, alternatively from about 0.3 seconds to about 3 seconds, alternatively from about 0.5 seconds to about 2 seconds. In another alternative, the vaporized composition and one or more reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).

Depending on the particular process parameters, deposition may take place for a varying length of time. Generally, deposition may be allowed to continue as long as desired or necessary to produce a film with the necessary properties. Typical film thicknesses may vary from several angstroms to several hundreds of microns, depending on the specific deposition process. The deposition process may also be performed as many times as necessary to obtain the desired film thickness.

In one non-limiting exemplary CVD type process, the vapor phase of the disclosed Zirconium-containing film forming composition and a reactant are simultaneously introduced into the reactor. The two react to form the resulting Zirconium-containing thin film. When the reactant in this exemplary CVD process is treated with plasma, the exemplary CVD process becomes an exemplary PECVD process. The reactant may be treated with plasma prior or subsequent to introduction into the chamber.

In one non-limiting exemplary ALD type process, the vapor phase of the disclosed Zirconium-containing film forming composition is introduced into the reactor, where it is contacted with a suitable substrate. Excess composition may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, H 2 ) is introduced into the reactor where it reacts with the physi- or chemi-sorbed precursor in a self-limiting manner. Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. If the desired film is a Zirconium film, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.

Alternatively, if the desired film contains Zirconium and a second element, the two-step process above may be followed by introduction of the vapor of an additional precursor compound into the reactor. The additional precursor compound will be selected based on the nature of the Zirconium film being deposited. After introduction into the reactor, the additional precursor compound is contacted with the substrate. Any excess precursor compound is removed from the reactor by purging and/or evacuating the reactor. Once again, a reactant may be introduced into the reactor to react with the physi- or chemi-sorbed precursor compound. Excess reactant gas is removed from the reactor by purging and/or evacuating the reactor. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Zirconium-containing film forming composition, additional precursor compound, and reactant, a film of desired composition and thickness can be deposited.

When the reactant in this exemplary ALD process is treated with plasma, the exemplary ALD process becomes an exemplary PEALD process. The reactant may be treated with plasma prior or subsequent to introduction into the chamber.

In a second non-limiting exemplary ALD type process, the vapor phase of one of the disclosed Zr-containing film forming compositions, for example (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV), is introduced into the reactor, where it is contacted with a TiN substrate. Excess Zr-containing film forming composition may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, 0 3 ) is introduced into the reactor where it reacts with the physi- or chemi-sorbed precursor in a self-limiting manner to form a ZrC> 2 or (Zr, Ge)C> 2 film. Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. These two steps may be repeated until the ZrC> 2 or (Zr, Ge)0 2 film obtains a desired thickness. A TiN layer may then be deposited on top of the Zr0 2 or (Zr, Ge)0 2 layer. The resulting TiN/Zr0 2 /TiN or TiN/(Zr, Ge)0 2 /TiN stack may be used in DRAM capacitors.

In a third non-limiting exemplary ALD type process, the vapor phase of one of the disclosed Zr-containing film forming compositions, for example (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV), is introduced in a first step into the reactor, where it is contacted with a TiN substrate. Excess Zr-containing film forming composition may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, O3) is introduced into the reactor where it reacts with the physi- or chemi-sorbed precursor in a self- limiting manner to form a (Zr, Ge)C> 2 film. Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. These two steps may be considered as a subcycle and may be repeated x times to obtain a desired thickness of the (Zr, Ge)0 2 film.

In a second step the vapor phase of a Zr-containing precursor, for example (methyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV) or

(ethyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV) is introduced into the same reactor. Excess Zr-containing precursor may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, O3) is introduced into the reactor where it reacts with the physi- or chemi-sorbed Zr- containing precursor in a self-limiting manner to form a Zr0 2 film. Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. These two steps may be considered as a subcycle and may be repeated y times to obtain a desired thickness of Zr0 2 film.

The supercycle consisting of x subcycles of (Zr, Ge)0 2 and y subcycles of Zr0 2 may be be repeated to obtain a desired thickness of (Zr, Ge)0 2 film. Zr and Ge content may be controlled by adjusting the number of x and y cycles (x and y may independently range from 1 to 20). A TiN layer may then be deposited on top of the Zr0 2 or (Zr, Ge)0 2 layer. The resulting TiN/Zr0 2 TiN or TiN/(Zr, Ge)0 2 /TiN stack may be used in DRAM capacitors.

In a fourth non-limiting exemplary ALD type process, the vapor phase of a mixture containing the disclosed Zr-containing film forming composition, for example (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium (IV), and a Zr-containing precursor, for example (isopropyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV) or (tertbutyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV), is introduced into the reactor, where it is contacted with a substrate, for example TiN, NbN, Ru, Ti0 2 , Mo0 2 or M0O 3 . Excess mixture may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, O3) is introduced into the reactor where it reacts with the physi- or chemi-sorbed precursors in a self-limiting manner to form a (Zr, Ge)0 2 film. Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. These two steps may be repeated until the (Zr, Ge)0 2 film obtains a desired thickness. The Zr and Ge content may be controlled by adjusting the ratio between the Zirconium-containing film forming composition and the zirconium- containing precursor in the mixture. A TiN layer may be deposited on top of the (Zr, Ge)0 2 layer. The resulting TiN/Zr0 2 /TiN or TiN/(Zr, Ge)0 2 /TiN stack may be used in DRAM capacitors.

The Zirconium-containing films resulting from the processes discussed above may include a pure Zirconium, Zirconium silicide (Zr k Sii), Zirconium oxide (Zr m O n ), Germanium-doped Zirconium oxide (Zr r Ge s Ot), Zirconium nitride (Zr u N v ), or germanium-doped silicon nitride (Zr t Ge u N v ), wherein k, I, m, n, o, p, q, r, s, t, u and v are integers which inclusively range from 1 to 6. One of ordinary skill in the art will recognize that by judicial selection of the appropriate disclosed Zr- containing film forming composition, optional precursor compounds, and reactant species, the desired film composition may be obtained.

Upon obtaining a desired film thickness, the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure. Those skilled in the art recognize the systems and methods utilized to perform these additional processing steps. For example, the Zirconium-containing film may be exposed to a temperature ranging from approximately 200°C and approximately 1000°C for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, a H-containing atmosphere, a N-containing atmosphere, an O-containing atmosphere, or combinations thereof. Most preferably, the temperature is 400°C for 3600 seconds under a H-containing atmosphere or an O-containing atmosphere. The resulting film may contain fewer impurities and therefore may have an improved density resulting in improved leakage current. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon and nitrogen contamination of the Zirconium-containing film. This in turn tends to improve the resistivity of the film.

Examples

The following examples illustrate experiments performed in conjunction with the disclosure herein. The examples are not intended to be all inclusive and are not intended to limit the scope of disclosure described herein.

Example 1 : (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV) synthesis [Zr(TMG-Cp)(NMe 2 ) 3 ]

To a solution of Zr(NMe 2 )4 (1 33 g, 0.005 mol) in ca. 20 ml. of toluene at room temperature, was added dropwise a freshly distillated TMGCpH (0.93 g, 0.005 mol). The mixture was stirred overnight. Solvent was then removed under vacuum to give yellow oil. The material was then purified by distillation at 120 ° C @ 6 mTorr to give 1.38 g (68%) of pure yellow oil. The NMR 1 H spectrum is provided in FIG 3. NMR 1 H (δ, ppm, C6D6): 6.28 (t, 2H), 6.23 (t, 2H), 2.95 (s, 18H), 0.38 (s, 9H).

The oil left a 3.9% residual mass during TGA analysis measured at a temperature rising rate of 10°C/min in an atmosphere which flows nitrogen at 200 mL/min. These results are shown in FIG 5, which is a TGA/DTA graph illustrating the percentage of weight loss (TGA) and differential temperature (DTA) upon temperature increase. Decomposition occurs at approximately 285°C. Volatility is 127°C at 1 Torr.

Example 2: (trimethylgermyl)cyclopentadienyl tris(isopropoxy) Zirconium(IV) synthesis [Zr(TMG-Cp)(OiPr) 3 ]

To a solution of Zr(TMGCp)(NMe 2 ) 3 ( .26 g, 2.8 mmol) in ca. 20 mL of THF, was added drop wise anhydrous isopropanol (0.63 g, 10.5 mmol). The mixture was stirred overnight at room temperature. Solvent was then removed under vacuum to give bright yellow oil. The material was then purified by distillation at 90 °C @ 20 mTorr to give 0.39 g (31 %) of pure slightly yellow oil. The NMR 1 H spectrum is provided in FIG 4. NMR 1 H (δ, ppm, C6D6): 6.56 (t, 2H), 6.43 (t, 2H),

4.25 (m, 3H), 1.17 (d, 18H), 0.48 (s, 9H).

The oil left a 1 .6% residual mass during TGA analysis measured at a temperature rising rate of 10°C/min in an atmosphere which flows nitrogen at 200 mL/min. These results are shown in FIG 6, which is a TGA/DTA graph illustrating the percentage of weight loss (TGA) and differential temperature (DTA) upon temperature increase. Decomposition occurs at approximately 385°C. Volatility is

104°C at 1 Torr. Example 3: Atomic Layer Deposition of Zirconium Germanium Oxide from Zr(TMG-Cp)(NMe 2 ) 3 and 0 3

ALD tests were performed using the Zr(TMG-Cp)(NMe 2 )3 prepared similarly to that of Example 1 , which was be placed in a vessel heated to 80°C and 0 3 as oxidizing reactant. Typical ALD conditions were used with a reactor pressure fixed at ~0.5 Torr. ALD behavior with complete surface saturation and reaction was assessed in a temperature window of 250-425°C on silicon wafers. The growth rates in ALD window of 250-350°C were in the range 0.35 - 0.50 A/cycle. FIG 7 shows the growth rates of Zr0 2 thin films using Zr(TMG-Cp)(NMe 2 )3/03 as a function of the temperature. FIG 8 shows the X-ray Photoelectron Spectroscopy (XPS) of the resulting Zr0 2 films and shows that all impurities are below the detection limit of the analytic tool (<1 %).

Fig 9 shows the Scanning Electron Microscope (SEM) of the film deposited in a 1 :10 aspect ratio pattern wafer using (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium (IV) at 325°C and shows 100% step coverage performance. Fig 10 also shows the SEM of the film deposited in a 1 :40 aspect ratio pattern wafer using (trimethylgermyl)cyclopentadienyl tris(dimethylamino) Zirconium(IV) at 325°C and shows 100% step coverage performance.

Example 4: Atomic Layer Deposition of Zirconium Germanium Oxide from Zr(TMG-Cp)(NMe 2 ) 3 and H 2 0

ALD tests were performed using the Zr(TMG-Cp)(NMe 2 )3 prepared similarly to that of Example 1 , which was be placed in a vessel heated to 80°C and O3 as oxidizing reactant. Typical ALD conditions were used with a reactor pressure fixed at ~0.5 Torr. ALD behavior with complete surface saturation and reaction was assessed in a temperature window of 250-375°C on silicon wafers. The growth rates in ALD window of 250-375°C was 0.50 A cycle. FIG 11 shows the growth rates of Zr0 2 thin films using Zr(TMG-Cp)(NMe 2 ) 3 /H 2 0 as a function of the temperature. FIG 12 shows the X-ray Photoelectron Spectroscopy (XPS) of the resulting ZrGe0 2 film grown at 300°C and shows that all impurities are below the detection limit of the analytic tool (<1 %).

It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.