Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ATOMIC LAYER ETCHING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BINDING ENERGY MATERIALS
Document Type and Number:
WIPO Patent Application WO/2019/190781
Kind Code:
A1
Abstract:
Etching a refractory metal or other high surface binding energy material on a substrate can maintain or increase the smoothness of the metal/high EO surface, in some cases produce extreme smoothing. A substrate having an exposed refractory metal/high EO surface is provided. The refractory metal/high EO surface is exposed to a modification gas to modify the surface and form a modified refractory metal/high EO surface. The modified refractory metal/high EO surface is exposed to an energetic particle to preferentially remove the modified refractory metal/high EO surface relative to an underlying unmodified refractory metal/high EO surface such that the exposed refractory metal/high EO surface after removing the modified refractory metal/high EO surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.

Inventors:
YANG WENBING (US)
MUKHERJEE TAMAL (US)
BROURI MOHAND (US)
TAN SAMANTHA (US)
PAN YANG (US)
KANARIK KEREN JACOBS (US)
Application Number:
PCT/US2019/022520
Publication Date:
October 03, 2019
Filing Date:
March 15, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/3213; H01L21/3065; H01L21/311; H01L21/67
Domestic Patent References:
WO2017099718A12017-06-15
Foreign References:
US20170069462A12017-03-09
US20170040214A12017-02-09
US20160358782A12016-12-08
US20150345029A12015-12-03
US201862650469P2018-03-30
US201615253481A2016-08-31
US201715841205A2017-12-13
Other References:
MIN K S ET AL.: "Atomic layer etching of AI203 using BC13/Ar for the interface passivation layer of II I-V MOS devices", MICROELECTRONIC ENGINEERING, vol. 110, 9 April 2013 (2013-04-09), pages 457 - 460
See also references of EP 3776636A4
Attorney, Agent or Firm:
AUSTIN, James E. et al. (US)
Download PDF:
Claims:
CLAIMS

WHAT IS CLAIMED:

1. A method of etching a refractory metal or other high surface binding energy (high Eo) material on a substrate, the method comprising:

providing a substrate comprising an exposed refractory metal/high Eo surface;

exposing the refractory metal/high Eo surface to a modification gas to modify the surface and form a modified refractory metal/high Eo surface; and

exposing the modified refractory metal/high E0 surface to an energetic particle to preferentially remove the modified refractory metal/high Eo surface relative to an underlying unmodified refractory metal/high Eo surface;

wherein the exposed refractory metal/high Eo surface after removing the modified refractory metal/high E0 surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.

2. The method of claim 1, wherein the smoothness of the refractory metal/high Eo surface is maintained.

3. The method of claim 1, wherein the smoothness of the refractory metal/high E0 surface is increased.

4. The method of claim 3, wherein the smoothness of the refractory metal/high Eo surface is increased by more than 10% RMS, more than 20%, more than 30%, more than 40%, more than 50%, more than 60%, more than 70%, 75% or more, more than 80%, or more than 90% RMS, on the order of an order of magnitude increase in smoothness.

5. The method of claim 1, wherein the refractory metal/high Eo surface is a refractory metal selected from the group consisting of Nb, Mo, Ta, W, Re, Ru, Rh, Os, Ir, Ti, V, Cr, Zr and Hf. 6. The method of claim 5, wherein the refractory metal is selected from the group consisting of Mo, Ta and Ru.

7. The method of claim 6, wherein the refractory metal is Ru.

8 The method of claim 6, wherein the refractory metal is Ta.

9. The method of claim 6, wherein the refractory metal is Mo.

10. The method of claim 1, wherein the modification gas comprises 02 or other oxygen- containing gas.

11. The method of claim 1, wherein the modification gas comprises Cl2 or other chlorine- containing gas.

12. The method of claim 1, wherein the modification gas comprises a mixture of 02 or other oxygen-containing gas, and Cl2 or other chlorine-containing gas.

13. The method of claim 7, wherein the modification gas comprises 02 or other oxygen- containing gas. 14. The method of claim 9, wherein the modification gas comprises a mixture of 02 or other oxygen-containing gas, and Cl2 or other chlorine-containing gas.

15. The method of claim 9, wherein the modification gas comprises a mixture of about 10-20% 02 and about 90-80% Cl2.

16. The method of claim 1, wherein the energetic particle is an inert ion plasma. 17. The method of claim 16, wherein the plasma is an Ar plasma.

18. The method of claim 12, wherein the modification gas mixture is selective to refractory metal.

19. The method of claim 1, wherein the refractory metal/high Eo is a material selected from the group consisting of oxides such as Al203, ln203, MgO, SnO, Ta2Os, Ti02 and Zr02; carbides such as BC, SiC and WC; nitrides such as BN, TaN, TiN; sulfides such as ZnS and MOS2; and superconductors such as YBCO.

20. The method of claim 1, wherein the substrate surface is smoothened for non semiconductor processing applications.

21. An apparatus for processing a substrate, the apparatus comprising: a process chamber comprising a showerhead and a substrate support for holding the substrate having a material, a plasma generator, and a controller having at least one processor and a memory, wherein the at least one processor and the memory are communicatively connected with one another, the at least one processor is at least operatively connected with flow-control hardware, and the memory stores machine-readable instructions for etching a refractory metal/high Eo on a substrate, the instructions comprising:

providing a substrate comprising an exposed refractory metal/high E0 surface;

exposing the refractory metal/high Eo surface to a modification gas to modify the surface and form a modified refractory metal/high Eo surface; and

exposing the modified refractory metal surface to an energetic particle to preferentially remove the modified refractory metal/high Eo surface relative to an underlying unmodified refractory metal/high Eo surface;

wherein the exposed refractory metal/high Eo surface after removing the modified refractory metal/high Eo surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.

Description:
ATOMIC LAYER ETCHING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BINDING ENERGY

MATERIALS

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority to U.S. Provisional Patent Application No. 62/650,469, filed March 30, 2018, titled ATOMIC LAYER ETCHING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BINDING ENERGY MATERIALS, which is incorporated herein by reference in its entirety and for all purposes.

[0002] This application also incorporates by reference the following U.S. patent applications: U.S. Patent Application No. 15/253,481, filed August 31, 2016, and titled“ALE SMOOTHNESS IN AND OUTSIDE SEMICONDUCTOR INDUSTRY” and U.S. Patent Application No. 15/841,205, filed December 13, 2017, and titled“DESIGNER ATOMIC LAYER ETCHING,” for their disclosures relating to atomic layer etching of refractory metals.

BACKGROUND

[0003] Semiconductor fabrication processes include etching of various materials. As feature sizes shrink, there is a growing need for atomic scale processing such as Atomic Layer Etch (ALE).

SUMMARY

[0004] Etch processes that yield smooth, in at least some cases extremely smooth, etch front and line edge for refractory metals and other high surface binding energy materials, and in some cases improved selectivity to surrounding materials, are disclosed. Certain Atomic Layer Etch (ALE) processes have been demonstrated on refractory metals such as Mo, Ta and Ru, and could be used to process a variety of materials composed of grains. While ALE can be used for directional pattern transfer to produce smooth metal lines, it can also be applied for other purposes. For example, it is desired for both reliability and device electrical performance, to provide conformal liners (e.g., diffusion barrier or adhesion promoting layer) that are continuous, smooth and atomically thin. If, for example, an as-deposited liner is thicker and/or rougher than desired as deposited, ALE etchback may be utilized to appropriately thin and smooth the liner at the same time, thereby providing the desired result. [0005] According to various embodiments, a method of etching a refractory metal or other high surface binding energy (high EO) material on a substrate is provided. The method can include providing a substrate comprising an exposed refractory metal/high EO surface, exposing the refractory metal/high EO surface to a modification gas to modify the surface and form a modified refractory metal/high EO surface, and exposing the modified refractory metal/high EO surface to an energetic particle to preferentially remove the modified refractory metal/high EO surface relative to an underlying unmodified refractory metal/high EO surface. The exposed refractory metal/high EO surface after removing the modified refractory metal/high EO surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.

[0006] The smoothness of the refractory metal/high EO surface may be maintained or increased by the method, for example by more than 10% RMS, more than 20%, more than 30%, more than 40%, more than 50%, more than 60%, more than 70%, 75% or more, more than 80%, or more than 90% RMS, on the order of an order of magnitude increase in smoothness.

[0007] The refractory metal/high EO surface may be a refractory metal selected from the group of Nb, Mo, Ta, W, Re, Ru, Rh, Os, Ir, Ti, V, Cr, Zr and Hf. For example, the refractory metal may be selected from the group of Mo, Ta and Ru.

[0008] The modification gas may include 0 2 , or another oxygen-containing gas.

[0009] The modification gas may include Cl 2 , or another chlorine-containing gas.

[0010] The modification gas may include a mixture of 0 2 , or another oxygen-containing gas and Cl 2 , or another chlorine containing gas.

[0011] The energetic particle may be an inert ion plasma, such as an Ar plasma.

[0012] A modification gas mixture selective to refractory metal may be used.

[0013] The refractory metal/high E 0 may be a material selected from the group of oxides such as Al 2 0 3 , ln 2 0 3 , MgO, SnO, Ta 2 Os, Ti0 2 and Zr0 2 ; carbides such as BC, SiC and WC; nitrides such as BN, TaN, TiN; sulfides such as ZnS and MoS2; and superconductors such as YBCO.

[0014] The substrate surface may be smoothened for semiconductor or non-semiconductor processing applications. [0015] An apparatus configured for processing a substrate is also provided. The apparatus may include a process chamber comprising a showerhead and a substrate support for holding the substrate having a material, a plasma generator, and a controller having at least one processor and a memory. The at least one processor and the memory may be communicatively connected with one another, the at least one processor may be at least operatively connected with flow-control hardware, and the memory may store machine- readable instructions for etching a refractory metal/high EO on a substrate, the instructions comprising: providing a substrate comprising an exposed refractory metal/high EO surface; exposing the refractory metal/high EO surface to a modification gas to modify the surface and form a modified refractory metal/high EO surface; and exposing the modified refractory metal surface to an energetic particle to preferentially remove the modified refractory metal/high EO surface relative to an underlying unmodified refractory metal/high EO surface. The exposed refractory metal/high EO surface after removing the modified refractory metal/high EO surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.

[0016] These and other aspects of this disclosure are further described in the detailed description that follows, including with reference to the figures.

BRIEF DESCRIPTION OF THE DRAWINGS

[0017] Fig. 1 shows two example schematic illustrations of an ALE cycle in accordance with embodiments of this disclosure.

[0018] Fig. 2 depicts SEM images of, on the left, an incoming substrate surface with visible damage, roughness, or grain boundaries that are all reduced by ALE, on the right, in accordance with an embodiment of this disclosure.

[0019] Figs. 3A-B present data showing a comparison of the ALE results obtained for Ru smoothing in accordance with an embodiment of this disclosure compared to other etch processes and chemistries.

[0020] Fig. 4 depicts SEM images showing the high selectivity of an ALE process in accordance with an embodiment of this disclosure that has been demonstrated with Mo utilizing an 0 2 /Cl 2 modification chemistry.

[0021] Fig. 5 shows a plot of data demonstrating that Cl 2 and 0 2 modification mixture chemistries show 10-20 times faster etch rate of Mo blanket films compared to Cl 2 only or C>2-only modification chemistries in accordance with embodiments of this disclosure.

[0022] Fig. 6 depicts a flow chart of a method of etching a refractory metal or other high Eo material on a substrate in accordance with embodiments this disclosure.

[0023] Fig. 7 schematically shows a cross-sectional view of an inductively coupled plasma etching apparatus appropriate for implementing certain embodiments herein.

[0024] Fig. 8 depicts a semiconductor process cluster architecture with various modules that is appropriate for implementing certain embodiments herein.

DETAILED DESCRIPTION

[0025] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

[0026] Etching processes often involve exposing a material to be etched to a combination of etching gases to remove the material. However, such removal may in some cases etch more than desired, or result in an undesirable feature profile. As feature sizes shrink, there is a growing need for atomic scale processing.

[0027] Some reactive ion etch (RIE) regimes are known to improve line width roughness (LWR) of sidewalls, however rarely less than 2nm. Moreover, at the etch front in RIE, stochastic behavior forming the selvage layer tends to roughen the surface on a similar scale to 5nm. There are many proposed mechanisms for why RIE would roughen the surface, including stochastic effects, ion-scattering, and micro-masking. These mechanisms kinetically hinder flattening of the surface, which would be thermodynamically favorable due to lower surface tension.

[0028] Smooth etch lines are increasingly desirable to help meet electric requirements for advanced semiconductor manufacturing. As feature size continues to shrink, the critical dimension of metals lines reaches the sub-lOnm regime. However metals have a crystalline grain structure. Reactive ion etching typically has a faster reaction rate at grain boundaries than on the crystalline grains themselves. This preferential etch at the metal grain boundaries generates line edge roughness that causes variation and increases resistivity of metal contact lines.

[0029] Etch processes that yield smooth, in at least some cases extremely smooth (e g., up to 50% or more, 60% or more, 70% or more, 75% or more, 80% or more, or 90% or more root mean square (RMS) smoother than pre-etch surface roughness) etch front and line edge for refractory metals and other high surface binding energy materials, and in some cases improved selectivity to surrounding materials, are disclosed. Certain Atomic Layer Etch (ALE) processes have been demonstrated on refractory metals such as Mo, Ta and Ru, and could be used to process a variety of materials composed of grains. While ALE can be used for directional pattern transfer to produce smooth metal lines, it can also be applied for other purposes. In this regard, it is desired for both reliability and device electrical performance, to provide conformal liners (e.g., diffusion barrier or adhesion promoting layer) that are continuous, smooth and atomically thin. If, for example, an as-deposited liner is thicker and/or rougher than desired as deposited, ALE etchback may be utilized to appropriately thin and smooth the liner at the same time, thereby providing the desired result.

[0030] ALE is a multi-step process used in advanced semiconductor manufacturing (e.g. technology node < 10 nm) for the blanket removal or pattern-definition etching of ultra-thin layers of material with atomic scale in-depth resolution and control. ALE is a technique that removes thin layers of material using sequential self-limiting reactions. Examples of atomic layer etch techniques are described in U S. Patent No. 8,883,028 and U S. Patent No. 8,808,561, which are herein incorporated by reference for purposes of describing example atomic layer etch and etching techniques.

[0031] The concept of an“ALE cycle” is relevant to the discussion of various embodiments herein. Generally an ALE cycle is the minimum set of operations used to perform an etch process one time, such as etching a monolayer. The result of one cycle is that at least some of a film layer on a substrate surface is etched. Typically, an ALE cycle includes a modification operation to form a modified layer, followed by a removal operation to remove or etch only this modified layer. The cycle may include certain ancillary operations such as sweeping, or purging, one of the reactants or byproducts. Generally, a cycle contains one instance of a unique sequence of operations. As an example, an ALE cycle may include the following operations: (i) delivery of a modification gas, (ii) purging of the reactant gas from the chamber, (iii) delivery of a removal gas and an optional plasma, and (iv) purging of the chamber. In some embodiments, etching may be performed nonconformally, including such that the resulting surface may be smoother, including much smoother, than the starting surface.

[0032] Fig. 1 shows two example schematic illustrations of an ALE cycle. Diagrams 17la- l7le show a generic ALE cycle. In l7la, the substrate is provided. In 17 lb, the surface of the substrate is modified. In l7lc, the next step is prepared. In l7ld, the modified layer is being etched. In l71e, the modified layer is removed. Similarly, diagrams l72a-l72e show an example of an ALE cycle for etching a refractory metal film. In 172a, an exposed Ru film surface on a substrate is provided, which includes many Ru metal atoms. In l72b, modification gas, for example including oxygen gas, introduced to the substrate modifies the Ru metal surface of the substrate. The schematic in l72b shows that some modification gas is adsorbed onto the surface of the substrate as an example. Although oxygen is depicted in Fig. 1, a suitable oxygen-containing compound that forms volatile species with the metal atom may be used. In other embodiments, chlorine or suitable chlorine-containing gas that forms volatile species with the metal atom, may be used, or a combination of oxygen and chlorine gases, or suitable oxygen- and chlorine-containing gases, may be used to advantage with particular refractory metals, as further described below. In l72c, the modification gas is purged from the chamber. In 172d, a removal gas such as an inert gas including nitrogen, argon, neon, helium, or combinations thereof, for example argon, is introduced with a plasma, forming argon ions (energetic particles) as indicated by the Ar + plasma species and arrows, and anisotropic ion bombardment is performed to remove the modified refractory metal surface of the substrate. During this operation, a bias is applied to the substrate to attract ions toward it. In l72e, the chamber is purged and the byproducts are removed.

[0033] A cycle may only partially etch about 0.1 nm to about 50 nm of material, or between about 0.1 nm and about 20 nm of material, or between about 0.1 nm and about 2 nm of material, or between about 0.1 nm and about 5 nm of material, or between about 0.2 nm and about 50 nm of material, or between about 0.2 nm and about 5 nm of material. The amount of material etched in a cycle may depend on the purpose of etching in a self-limiting manner. In some embodiments, a cycle of ALE may remove less than a monolayer of material.

[0034] ALE process conditions, such as chamber pressure, substrate temperature, plasma power, frequency, and type, and bias power, depend on the material to be etched, the composition of the gases used to modify the material to be etched, the material underlying the material to be etched, and the composition of gases used to remove the modified material. [0035] ALE involves spliting the etch process into two (or more) separate operations: modification (operation A) and removal (operation B). For example, the modification operation modifies the surface layer so that it can be removed easily during the removal operation. A thin layer of material is removed per cycle, where a cycle includes modification and removal, and the cycle can be repeated until the desired depth is reached. Synergy means that favorable etching occurs due to interaction of operations A and B. In ALE, operations A and B are separated in either space or time.

[0036] Favorable atomic layer etching occurs due to the interaction of operations A and B, and the following“ALE synergy” metric is used to quantify the strength and impact of the synergistic interaction. ALE synergy is calculated by:

ALE Synergy (eqn. 1) where EPC (“etch per cycle”) is the thickness of substrate material removed in one ALE cycle, typically averaged over many cycles, and A and B are contributions to the EPC from the stand-alone modification and removal operations, respectfully, measured as reference points by performing these operations independently.

[0037] Synergy is a test that captures many aspects of ALE behavior, and is well-suited to compare different ALE conditions or systems. It is an underlying mechanism for why etching in operation B stops after reactants from operation A are consumed. It is therefore responsible for the self-limiting behavior in ALE benefits such as aspect ratio independence, uniformity, smoothness, and selectivity.

[0038] Disclosed embodiments are structured to achieve an ALE process with high synergy - the ideal being an ALE process with synergy being 100%. This ideal may not be possible to achieve in all cases given practical considerations such as the accessible range of process conditions, wafer throughput requirements, etc. However, tolerance for synergy less than the ideal of 100% will depend on the application and the technology node, and presumably each successive technology generation will demand higher levels of ideality.

[0039] Disclosed embodiments for designing an ALE process with high synergy is based on achieving a hierarchical relationship between energies that characterize an overall ALE process and the energy barriers that are overcome to achieve etch with synergy close to 100%.

[0040] This relationship is as follows: Emod Edes < EQ

[0041] Eo, E mod , and E des are determined by properties of the material to be etched and the reactant.

[0042] Eo is the surface binding energy of the unmodified material and is the cohesive force that keeps atoms from being removed from the surface.

[0043] E m od (sometimes E a d S ) is the adsorption barrier to modify the surface and arises from the need to dissociate reactants or reorganize surface atoms.

[0044] E des is the desorption barrier, the energy used to remove a by-product from the modified surface.

[0045] Disclosed embodiments are suitable for performing ALE of refractory metals, including Nb, Mo, Ta, W, Re, Ru, Rh, Os, Ir, Ti, V, Cr, Zr and Hf, in particular Mo, Ta and Ru. While W has long been integrated and studied in a semiconductor processing contexts, including our recent work on tungsten ALE removal and smoothing, ALE of other refractory metals has not to date been addressed to any significant extent. Anisotropic, or directional, ALE, in particular, is shown herein to provide advantageous smoothing, including extreme smoothing, results on refractory metals not previously studied to significant extent. Other high surface binding energy materials may also benefit from ALE processing as described.

[0046] Refractory metals are good candidates for ALE because they have high Eo. As further explained in“Atomic Layer Etching: Rethinking the Art of Etch”, Keren J. Kanarik and Richard A. Gottscho, Journal of Physical Chemistry Letters, 9 (16), pp. 4814-4821, 2018, incorporated by reference herein for its explanation of high Eo materials relating to aspects and embodiments according to this disclosure. As explained therein, high Eo materials are expected to do well in terms of high synergy and self-limiting ALE. Excellent candidate ALE elemental materials with Eo > 6 eV include C, along with refractory metals, such as W, Ta, Mo, Re, and Ru, for example. Other high surface binding energy (high E 0 ) materials include oxides such as AI 2 O 3 , In 2 0 3 , MgO, SnO, Ta 2 0 5 , Ti0 2 and Zr0 2 ; carbides such as BC, SiC and WC; nitrides such as BN, TaN, TiN; sulfides such as ZnS and MoS 2 ; and superconductors such as YBCO. While materials with high Eo (e.g., refractory metals and diamond) are known for resistance to heat, wear, and etching, the analysis indicates that when such materials are etched with ALE, it is more controllable (i.e., more ideal due to higher synergy). [0047] Embodiments can be used to develop new or improved unit or integrated processes as well as standalone or clustered hardware for semiconductor processing or other applications. The methodology can be implemented with appropriate computer software for offline use or embedded in a process tool for recipe development, process qualification, or process control. In the following discussion, non-limiting examples are provided for ALE resulting in smoothing, in some cases unexpected extreme smoothing, of molybdenum (Mo), ruthenium (Ru) and Tantalum, for example by more than 10% RMS, more than 20%, more than 30%, more than 40%, or more than 50%, more than 60%, more than 70%, as much as 75% or more, 80% or more, or 90% RMS or more, on the order of an order of magnitude increase in smoothness (decrease in roughness) from the initial film surface roughness.

[0048] Within one ALE cycle the reaction rate on the surface has been found to have been equalized, without differentiating grain boundaries from grains. This leads to technical advantages, including:

[0049] 1) A metal surface can be recessed while maintaining or even decreasing the roughness of the pristine surface as deposited.

[0050] 2) High selectivity of a metal etch to mask to liner/filling dielectric materials can be achieved by manipulation of the modification gas chemistry. The chemistry can be selected to react with the metal line, while not modifying surrounding materials. The selectivity can be achieved between different metals, and between metals and semiconductor or dielectric materials.

[0051] Results show that ALE can produce an even smoother surface than that on which etching began. Einexpectedly, the effect can be particularly dramatic, producing extreme smoothing, for example more than 50% RMS, more than 60%, more than 70%, more than 75%, more than 80%, or more than 90%; such as for the 75% smoothening after 100 cycles of Ru ALE using 0 2 as the modification gas and Ar plasma for removal (0.8 nm RMS roughness to 0.2 nm), as seen in Fig. 2. Fig. 2 depicts scanning electron microscope (SEM) images of, on the left, an incoming substrate surface with visible damage, roughness, or grain boundaries that are all reduced by ALE, on the right, in accordance with an embodiment of this disclosure.

[0052] Suitable modification gas chemistry can react to form a volatile compound. Thermal desorption temperature measurements can usefully be referenced. Suitable modification gases can include 0 2 , Cl 2 , BCk, H 2 and CF 4 . For example, 0 2 has been shown to be effective for etching and smoothing C, and to provide extreme smoothing of Ru; Cl 2 has been shown to be effective for etching and smoothing of Ta and W; and mixtures of Cl? and 0 2 have been shown to be effective for etching and smoothing of Mo. And BCb, H 2 and CF4 are effective with the he oxides.

[0053] In many instances, high synergy, for example greater than 80%, or above 90%, enhances smoothing.

[0054] Suitable conditions can be in the range of:

[0055] For operation A (modification):

Pressure: about 50-100mT, e.g., 50, 60, 70, 80, 90, or lOOmT;

Power: no bias; source power about 100-1000W, e.g., 100, 200, 300, 400, 500, 600, 700, 800, 900 or 1000W;

Temperature: material specific, set to avoid spontaneous etching e.g., about -70 to l50°C, e.g., -70, -60, -50, -40, -30, -20, -10, 0, 10, 20, 30, 40, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140 orl50°C;

Time: about 0.1-5 seconds, e.g., 0.1, 0.2, 0.5, 1, 2, 3, 4 or 5s.

Operation B (removal):

Pressure: about 0.5-20mT, e.g., 0.5, 1, 2, 5, 10, 15, 20mT

Power: bias about 10-150V, e,g., 10, 20, 30, 40, 50, 60, 70, 80, 90, 100, 11, 120, 130, 140, or 150V bias; source power 100-1000W, e.g., 100, 200, 300, 400, 500, 600, 700, 800, 900 or 1000W;

Temperature: material specific, set to avoid spontaneous etching e.g., about -70 to l50°C, e.g., -70, -60, -50, -40, -30, -20, -10, 0, 10, 20, 30, 40, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140 orl50°C;

Time: about 0.1-10 seconds, e.g., 0.1, 0.2, 0.5, 1, 2, 3, 4, 5, 6, 7, 8, 9 or lOs, for ion flux about 2 x 10 16 /cm 2 *S at 50eV.

[0056] Additional data is presented in Figs. 3A-B, showing a comparison of the ALE results obtained for Ru smoothing in accordance with an embodiment of this disclosure compared to other etch processes and chemistries. Fig. 3A shows a plot of etch per cycle (EPC) as a function of Ar bias for a Ru substrate for 0 /Ar ALE as described herein, compared to other etch processes and chemistries. Fig. 3B shows SEM plot of the corresponding substrate surfaces, incoming, Cb reactive ion etch (RIE) alone, Ar sputtering alone, and 0 ? /Ar ALE. Both Cb RIE and Ar sputtering alone resulted in rougher surfaces, while Cb/Ar ALE resulted in a much smoother surface.

[0057] While this disclosure is not limited by any particular theory of operation, it is believed this smoothening phenomenon may be due to high-synergy self-limiting ALE processes, and there could be multiple reasons why the smoothening is so extreme. In the ALE modification operation, a small radius of curvature has higher reactivity which could preferentially etch sharp comers; a corner can bond to 2 to 3 modification gas atoms instead of 0 to 1 on flat or concave surfaces. Furthermore, in the ALE removal operation inert ions in the absence of reactants can smooth surfaces by amorphization of the top nm of the surface, which promotes diffusion of surface atoms. In contrast, in RIE, diffusion is hindered by strong bonds of etch species (e.g., Cl) attached to the crystal structure of the material to be etched.

[0058] The resulting ultra-smooth a nanoscopic metal films would be expected to have decreased electrical resistivity due to less electron scattering at its surface, and might be able to be etched very thin while still keeping continuous to make a better barrier metal taking up less volume in a tiny 3D feature. In addition to the evident semiconductor processing applications, there may also be applications beyond the semiconductor industry.

[0059] Another example is Ta ALE in which an about 33% reduction in surface roughness has been achieved (1.04 to 0.7 nm RMS).

[0060] Still another example relates to smoothing via a ALE process that can also achieve high selectivity. Such a process has been demonstrated with Mo utilizing an O2/CI2 modification chemistry, as described and depicted in Figs. 4 and 5. Fig. 4 shows that a Cb/Ar ALE process maintains the initial Mo surface roughness prior to ALE. Fig. 5 shows that Cl 2 and 0 2 modification mixture chemistries show 10-20 times faster etch rate of Mo blanket films compared to C only or O2 only modification chemistries. Also, a 10% 0 2 /90% C modification chemistry provided a high degree (>400: 1) of etch selectivity relative to S1O2 dielectric (compared to just 10: 1 for 100% C modification chemistry).

[0061] Such processes may be extended to other refractory metals or to other high surface binding energy (high Eo) materials to, depending on the specific metal and process conditions, provide ultra-smoothening with high etch rate and/or high selectivity with respect to a mask material (e.g., an ashable amorphous carbon hard mask). The chemistry could be a suitably chosen admixture of oxidizing/chlorinating species. For example, a very high O2/CI2 ratio or even 100% O2 could be used for Ru; and a very low CUCh ratio could be used for Mo (e.g., 10% O 2 /90% Cl 2 ).

[0062] Fig. 6 shows a flow chart of a method of etching a refractory metal or other high E 0 material on a substrate in accordance with this disclosure. At 601 a substrate having an exposed refractory metal/high Eo material surface is provided. At 603 the refractory metal/high Eo surface is exposed to a modification gas to modify the surface and form a modified refractory metal surface. At 607 the modified refractory metal/high Eo surface is exposed to an energetic particle to preferentially remove the modified refractory metal/high Eo surface relative to an underlying unmodified refractory metal/high Eo surface such that the exposed refractory metal / high Eo surface after removing the modified refractory metal/high E 0 surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas. The modification and removal operations may be followed by purging 605, 609 of the process chamber, and are generally repeated until the desired level of etch and/or smoothness is achieved.

APPARATUS

[0063] Inductively coupled plasma (ICP) reactors which, in certain embodiments, may be suitable for atomic layer etching (ALE) operations are now described. Such ICP reactors have also described in U S. Patent Application Publication No. 2014/0170853, filed 12/10/2013, and titled“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING,” hereby incorporated by reference in its entirety and for all purposes. Although ICP reactors are described herein, in some embodiments, it should be understood that capacitively coupled plasma reactors may also be used.

[0064] Fig. 7 schematically shows a cross-sectional view of an inductively coupled plasma etching apparatus 700 appropriate for implementing certain embodiments herein, an example of which is a Kiyo™ reactor, produced by Lam Research Corp. of Fremont, CA. The inductively coupled plasma apparatus 700 includes an overall process chamber 701 structurally defined by chamber walls 701 and a window 711. The chamber walls 701 may be fabricated from stainless steel or aluminum. The window 711 may be fabricated from quartz or other dielectric material. An optional internal plasma grid 750 divides the overall processing chamber 701 into an upper sub-chamber 702 and a lower sub-chamber 703. In most embodiments, plasma grid 750 may be removed, thereby utilizing a chamber space made of sub-chambers 702 and 703. A chuck 717 is positioned within the lower sub chamber 703 near the bottom inner surface. The chuck 717 is configured to receive and hold a semiconductor wafer 719 upon which the etching and deposition processes are performed. The chuck 717 can be an electrostatic chuck for supporting the wafer 719 when present. In some embodiments, an edge ring (not shown) surrounds chuck 717, and has an upper surface that is approximately planar with a top surface of a wafer 719, when present over chuck 717. The chuck 717 also includes electrostatic electrodes for chucking and dechucking the wafer. A filter and DC clamp power supply (not shown) may be provided for this purpose. Other control systems for lifting the wafer 719 off the chuck 717 can also be provided. The chuck 717 can be electrically charged using an RF power supply 723. The RF power supply 723 is connected to matching circuitry 721 through a connection 727. The matching circuitry 721 is connected to the chuck 717 through a connection 725. In this manner, the RF power supply 723 is connected to the chuck 717.

[0065] Elements for plasma generation include a coil 733 is positioned above window 711. In some embodiments, a coil is not used in disclosed embodiments. The coil 733 is fabricated from an electrically conductive material and includes at least one complete turn. The example of a coil 733 shown in Fig.7 includes three turns. The cross-sections of coil 733 are shown with symbols, and coils having an“X” extend rotationally into the page, while coils having a“·” extend rotationally out of the page. Elements for plasma generation also include an RF power supply 741 configured to supply RF power to the coil 733. In general, the RF power supply 741 is connected to matching circuitry 739 through a connection 745. The matching circuitry 739 is connected to the coil 733 through a connection 743. In this manner, the RF power supply 741 is connected to the coil 733. An optional Faraday shield 749 is positioned between the coil 733 and the window 711. The Faraday shield 749 is maintained in a spaced apart relationship relative to the coil 733. The Faraday shield 749 is disposed immediately above the window 711. The coil 733, the Faraday shield 749, and the window 711 are each configured to be substantially parallel to one another. The Faraday shield may prevent metal or other species from depositing on the dielectric window of the plasma chamber 701.

[0066] Process gases (e.g. chlorine, argon, oxygen, etc.) may be flowed into the processing chamber 701 through one or more main gas flow inlets 760 positioned in the upper chamber 702 and/or through one or more side gas flow inlets 770. Likewise, though not explicitly shown, similar gas flow inlets may be used to supply process gases to a capacitively coupled plasma processing chamber. A vacuum pump, e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 740, may be used to draw process gases out of the process chamber 701 and to maintain a pressure within the process chamber 701. For example, the pump may be used to evacuate the chamber 701 during a purge operation of ALE. A valve-controlled conduit may be used to fluidically connect the vacuum pump to the processing chamber 701 so as to selectively control application of the vacuum environment provided by the vacuum pump. This may be done employing a closed-loop-controlled flow restriction device, such as a throttle valve (not shown) or a pendulum valve (not shown), during operational plasma processing. Likewise, a vacuum pump and valve controlled fluidic connection to the capacitively coupled plasma processing chamber may also be employed.

[0067] During operation of the apparatus, one or more process gases may be supplied through the gas flow inlets 760 and/or 770. In certain embodiments, process gas may be supplied only through the main gas flow inlet 760, or only through the side gas flow inlet 770. In some cases, the gas flow inlets shown in the figure may be replaced more complex gas flow inlets, one or more showerheads, for example. The Faraday shield 749 and/or optional grid 750 may include internal channels and holes that allow delivery of process gases to the chamber 701. Either or both of Faraday shield 749 and optional grid 750 may serve as a showerhead for delivery of process gases. In some embodiments, a liquid vaporization and delivery system may be situated upstream of the chamber 701, such that once a liquid reactant or precursor is vaporized, the vaporized reactant or precursor is introduced into the chamber 701 via a gas flow inlet 760 and/or 770. Example liquid precursors include SiCU and silicon amides.

[0068] Radio frequency power is supplied from the RF power supply 741 to the coil 733 to cause an RF current to flow through the coil 733. The RF current flowing through the coil 733 generates an electromagnetic field about the coil 733. The electromagnetic field generates an inductive current within the upper sub-chamber 702. The physical and chemical interactions of various generated ions and radicals with the wafer 719 selectively etch features of and deposit layers on the wafer.

[0069] If the plasma grid is used such that there is both an upper sub-chamber 702 and a lower sub-chamber 703, the inductive current acts on the gas present in the upper sub chamber 702 to generate an electron-ion plasma in the upper sub-chamber 702. The optional internal plasma grid 750 limits the amount of hot electrons in the lower sub-chamber 703. In some embodiments, the apparatus is designed and operated such that the plasma present in the lower sub-chamber 703 is an ion-ion plasma.

[0070] Both the upper electron-ion plasma and the lower ion-ion plasma may contain positive and negative ions, though the ion-ion plasma will have a greater ratio of negative ions to positive ions. Volatile etching and/or deposition byproducts may be removed from the lower sub-chamber 703 through port 722. The chuck 717 disclosed herein may operate at temperatures ranging between about -200°C and about 600°C or between about -20°C and about 250°C for processing a substrate to etch tantalum, the chuck 717 may be set at a temperature less than about 0°C. The temperature will depend on the process operation and specific recipe and the tool used.

[0071] Chamber 701 may be coupled to facilities (not shown) when installed in a clean room or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to chamber 701, when installed in the target fabrication facility. Additionally, chamber 701 may be coupled to a transfer chamber that allows robotics to transfer semiconductor wafers into and out of chamber 701 using typical automation.

[0072] In some embodiments, a system controller 730 (which may include one or more physical or logical controllers) controls some or all of the operations of a processing chamber. The system controller 730 may include one or more memory devices and one or more processors. In some embodiments, the apparatus includes a switching system for controlling flow rates and durations when disclosed embodiments are performed. In some embodiments, the apparatus may have a switching time of up to about 500 ms, or up to about 750 ms. Switching time may depend on the flow chemistry, recipe chosen, reactor architecture, and other factors.

[0073] In some implementations, a controller 730 is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The controller 730, depending on the processing parameters and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

[0074] Broadly speaking, the controller 730 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

[0075] The controller 730, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller 730 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller 730 may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

[0076] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an ALE chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0077] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

[0078] Fig. 8 depicts a semiconductor process cluster architecture with various modules that interface with a vacuum transfer module 838 (VTM). The arrangement of transfer modules to“transfer” wafers among multiple storage facilities and processing modules may be referred to as a“cluster tool architecture” system. Airlock 830, also known as a loadlock or transfer module, is shown in VTM 838 with four processing modules 820a-820d, which may be individual optimized to perform various fabrication processes. By way of example, processing modules 820a-820d may be implemented to perform substrate etching, deposition, ion implantation, wafer cleaning, sputtering, and/or other semiconductor processes. One or more of the substrate etching processing modules (any of 820a-820d) may be implemented as disclosed herein, i.e., for introducing a modification gas, for introducing a removal gas, and other suitable functions in accordance with the disclosed embodiments. Airlock 830 and process module 820 may be referred to as“stations.” Each station has a facet 836 that interfaces the station to VTM 838. Inside each facet, sensors 1-18 are used to detect the passing of wafer 826 when moved between respective stations.

[0079] Robot 822 transfers wafer 826 between stations. In one embodiment, robot 822 has one arm, and in another embodiment, robot 822 has two arms, where each arm has an end effector 824 to pick wafers such as wafer 826 for transport. Front-end robot 832, in atmospheric transfer module (ATM) 840, is used to transfer wafers 826 from cassette or Front Opening Unified Pod (FOUP) 834 in Load Port Module (LPM) 842 to airlock 830. Module center 828 inside process module 820 is one location for placing wafer 826. Aligner 844 in ATM 840 is used to align wafers.

[0080] In an exemplary processing method, a wafer is placed in one of the FOUPs 834 in the LPM 842. Front-end robot 832 transfers the wafer from the FOUP 834 to an aligner 844, which allows the wafer 826 to be properly centered before it is etched or processed. After being aligned, the wafer 826 is moved by the front-end robot 832 into an airlock 830. Because airlock modules have the ability to match the environment between an ATM and a VTM, the wafer 826 is able to move between the two pressure environments without being damaged. From the airlock module 830, the wafer 826 is moved by robot 822 through VTM 838 and into one of the process modules 820a-320d. In order to achieve this wafer movement, the robot 822 uses end effectors 824 on each of its arms. Once the wafer 826 has been processed, it is moved by robot 822 from the process modules 820a-820d to an airlock module 830. From here, the wafer 826 may be moved by the front-end robot 832 to one of the FOUPs 834 or to the aligner 844.

[0081] It should be noted that the computer controlling the wafer movement can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. A controller as described above with respect to Fig. 7 may be implemented with the tool in Fig. 8.

CONCLUSION

[0082] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of this disclosure and the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.