Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
BATCH PROCESSING OVEN AND METHOD
Document Type and Number:
WIPO Patent Application WO/2021/173360
Kind Code:
A1
Abstract:
A batch processing oven includes a processing chamber configured to support multiple device-holding panels disposed one above another. Each device-holding panel of the multiple device-holding panels is configured to support one or more semiconductor wafers or devices. A plurality of thermal plates is positioned in the processing chamber. The plurality of thermal plates are disposed one above another with a gap between adjacently positioned thermal plates. At least one device-holding panel of the multiple device-holding panels is disposed between, and spaced apart from, a pair of adjacently positioned thermal plates. A first gas port is configured to direct a first gas into the processing chamber, and a second gas port is configured to direct a second gas into the processing chamber.

Inventors:
KARIM ZIAUL M (US)
HALL RANDY (US)
KROTOV PETER (US)
Application Number:
PCT/US2021/017634
Publication Date:
September 02, 2021
Filing Date:
February 11, 2021
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
YIELD ENG SYSTEMS INC (US)
International Classes:
H01L21/67
Foreign References:
US20090145890A12009-06-11
US20080023141A12008-01-31
US5516283A1996-05-14
US20120067521A12012-03-22
US20120000425A12012-01-05
US4597736A1986-07-01
US6198075B12001-03-06
US10147617B22018-12-04
US10490431B22019-11-26
US20190314738A12019-10-17
US20200013591A12020-01-09
Attorney, Agent or Firm:
CHANDRAN, Biju (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A batch processing oven, comprising: a processing chamber configured to support mul tiple device-holding panels disposed one above another, wherein each device -holding panel of the multiple device-holding panels is configured to support one or more semiconductor wafers ordevices; a plurality of thermal plates positioned in the processing chamber, wherein the plurality of thermal plates are disposed one above another with agap between adjacently positioned thermal plates, and wherein at least one device -holding panel of the multiple device -hoi ding panels is disposed between, and spaced apart from, a pair of adjacently positioned thermal plates; a first gas port configured to direct a first gas into the processing chamber; and a second gas port configured to direct a second gas into the processing chamber.

2. The oven of claim 1 , wherein each device-holding panel of the multiple deviceholding panels is disposed between, and spaced apart from, a different pair of adjacently positioned thermal plates of the plurality of thermal plates.

3. The oven of claim 1 , wherein, during operation of the oven, each thermal plate of the pair of adjacently positioned thermal plates is configured to heat and cool the one or more semiconductor w afers or devices supported in the at least one device -holding panel disposed between the pair of adjacently positioned thermal plates,’

4. The oven of claim 1 , wherein each thermal plate of the plurality of thermal plates includes conduits configured to pass a heat transfer fluid therethrough.

5. The oven of claim 4, wherein the conduits are fluidly connected to a heat exchanger.

6. The oven of claim 4, wherein the conduits include two independent fluid- flow passages configured to pass two separate streams of the heat transfer ft uid through the thermal plate.

7. The oven of claim 4, further including one or more valves fluidly connected to the conduits, wherein the one or more valves are configured to vary a temperature and a flow rate of the heat transfer fluid passing through the thermal plate.

8. The oven of claim 1 , wherein each thermal plate of plurality of thermal plates includes one or more resistive heating elements.

9. The oven of claim 1, wherein the gap between adjacently positioned thermal plates is between 15-50 mm.

10. Amethod of using a batch processing oven, comprising: positioning multiple device-bolding panels in a processing chamber that includes a plurality of thermal plates disposed one above another with a gap between adjacently positioned thermal plates, wherein each device -holding panel of the multiple device -holding panels supports one or more semiconductor wafers or devices, and at least one device -holding panel of the multiple device holding panels is disposed between, and spaced apart from, a pair of adjacently positioned thermal plates; heating the pair of adjacently positioned thermal plates to increase a temperature of the one or more semiconductor w afers or devices supported in the at least one device -holding panel disposed between the pair of adjacently positioned thermal plates; and cooling the pair of adjacently positioned thermal plates to decrease a temperature of the one or more semiconductor wafers or devices supported in the at least one device -holding panel disposed between the pair of adjacently positioned thermal plates.

11. The method of claim 10, wherein positioning multiple device -holding panels in the processing chamber includes disposing each device-holding panel of the multiple device- holding panels between, and spaced apart from, a different pair of adjacently positioned thermal plates of the plurality of thermal plates.

12. The method of claim 10, wherein the one or more semiconductor wafers or devices supported on each device -holding panel includes a solder and heating the pair of adjacently positioned thermal plates includes heating the pair of adjacen tly positioned thermal plates to a reflow temperature of the solder.

13. The method of claim 10, wherein heating the pair of adj acently posi ti oned thermal plates includes passing a heat transfer fluid through each thermal plate of the pair of adjacently positioned thermal plates, wherein the heat transfer fluid is at a higher temperature than the one or more semiconductor wafers or devices supported in the at least one deviceholding panel disposed between the pair of adjacently positioned thermal plates.

14. The method of claim 10, wherein cooling the pair of adjacently positioned thermal plates includes passing a heat transfer fluid through each thermal plate of the pair of adjacently positioned thermal plates, wherein the heat transfer fluid is at a lower temperature than the one or more semiconductor wafers or devices supported in the at least one deviceholding panel disposed between the pair of adjacently positioned thermal plates.

15. The method of claim 10, wherein heating the pair of adjacently positioned thermal plates includes: (a) increasing a temperature of the one or more semiconductor wafers or devices supported in the at least one device-holding panel disposed between the pair of adjacently positioned thermal plates to a first temperature;

(b) directing a process gas into the processing chamber after step (a); and

(c) increasing the temperature of the one or more semiconductor wafers or devices supported in the at least one device -holding panel disposed between the pair of adjacently positioned thermal plates to a second temperature higher than the first temperature after step (b).

16. A batch processing oven, comprising: a processing chamber configured to support multiple device-holding panels disposed one above another, wherein each device -holding panel of the multiple de vice -holding panels is configured to support one or more semiconductor wafers or devices; a plurality of thermal plates positioned in the processing chamber, wherein the plurality of thermal plates are disposed one above another with a gap between adjacently positioned thermal plates, and wherein each device -holding panel of the multiple device-holding panels is disposed between, and spaced apart from, a different pair of adjacently positioned thermal plates of the plurality of thermal plates; one or more heaters positioned on a wall of the processing chamber; a first gas port configured to direct a first gas into the processing chamber; and a second gas port configured to direct a second gas into the processing chamber.

17. The oven of claim 16, wherein the gap between adjacently positioned thermal plates is between 15-50 mm.

18. The oven of claim 16, wherein each thermal plate of the plurality of thermal plates includes conduits configured to pass a heat transfer fluid therethrough.

19, The oven of claim 18, wherein the conduits are fluidly connected to a heat exchanger.

20, The oven of claim 16, wherein each thermal plate of plurality of thermal plates includes one or more resistive heating elements.

21, A vertical oven for fluxless solder reflow process performed on a batch of wafers with solder bumps thereon, the vertical oven comprising: a reflow chamber inside which the hatch of wafers is loaded, the reflow chamber housing a plurality of wafer-support plates, each wafer-support plate holding a respective wrafer of the batch of wafers in a contactless maimer: a, first port to bring the reflow- chamber to a vacuum or near-vacuum level after the batch of wafers is loaded and the reflow chamber is sealed from atmosphere; a second port to introduce a non-reactive gas into the reflow chamber after the reflow- chamber is brought down to the vacuum or the near -vacuum level, wherein the non-reactive gas brings the reflow chamber to a predetermined sub-atmospheric pressure customized for the fluxless solder reflow process; a, third port, to inject a reducing agent into the reflow chamber, wherein a predetermined laminar flow of the reducing agent inside the reflow chamber assists the fluxless solder reflow process; a temperature control mechanism for controlled ramping up of temperature for the solder reflow to occur uniformly across all the wafers in the batch of wafers, and for controlled ramping down of temperature uniformly across all the wafers in the batch of wafers after the solder reflow- has occurred.

22. The vertical oven of claim 21 , wherein the reducing agent is formic acid in fluidic form.

23. The vertical oven of claim 22, wherein the predetermined laminar flow is achieved by designing a flow path between a formic acid vapor delivery system and an exhaust system, wherein the flow path includes uniform fluidic contact with the batch of w'afers loaded inside the reflow' chamber.

24. The vertical oven of claim 21 , wherein the temperature control mechanism comprises a heating mechanism and a cooling mechanism that are both housed in the reflow chamber.

25. The vertical oven of claim 21 , wiierein the temperature control mechanism comprises flow of hot thermal transfer fluid through a first set of passages built into a respective channel included in each wafer-support plate holding a respective wafer of the batch of w'afers in the contactless manner.

26. The vertical oven of claim 45, wherein the temperature control mechanism comprises flow' of cold thermal transfer fluid through a second set of passages built into the respective channel included in each wafer-support plate holding the respective wafer of the batch of wafers in the contactless manner.

27. The vertical oven of claim 26, wherein the first set of passages and the second set of passages are both housed within the respective channel included in each wafer-support plate, but fluid flow in the first set of passages is independent of fluid flow' in the second set of passages.

28. The vertical oven of claim 21 , wherein the temperature control mechanism comprises a heating mechanism housed in the reflow chamber and a cooling mechanism housed in a second chamber separate and distinct from the reflow chamber.

29. The vertical oven of claim 28, wherein the heating mechanism housed in the reflow' chamber comprises a plurality of infrared heaters disposed along walls of the reflow chamber at a predetermined distance from an edge of individual wafers in the batch of wafers.

30. The vertical oven of claim 29, wherein each of the plurality of infrared heaters is divided into a plurality of heating zones for fine-grain control of temperature uniformity across the batch of wafers during the reflow process.

31. The vertical oven of claim 28, wherein the reflowr chamber and the second chamber are disposed vertically with respect to each other.

32. The vertical oven of claim 28, wherein the cooling mechanism in the second chamber comprises forced convection of a non-reactive fluid wherein a flow path of the non- reactive fluid includes uniform fluidic contact with the batch of wafers loaded inside the second chamber.

33. A method for fluxless solder reflow' process performed on a batch of wafers with solder bumps thereon inside a vertical oven, the method comprising: loading a batch of wafers inside a reflow chamber of the vertical oven, the reflow chamber housing a plurality of wafer-support plates, each wafer-support plate holding; a respective wafer of the batch of wafers in a contactless manner; bringing the reflow chamber to a vacuum or near-vacuum level after the batch of wafers is loaded and the reflow chamber is sealed from atmosphere; introducing a non-reactive gas into the reflow chamber after the reflow chamber is brought down to the vacuum or the near -vacuum level, wherein the non-reactive gas brings the reflow chamber to a predetermined sub-atmospheric pressure customized for the fluxless solder reflow- process; injecting a reducing agent into the reflow chamber, wherein a predetermined laminar flow of the reducing agent inside the reflow- chamber assists the fluxless solder reflow process; performing controlled ramping up of temperature for the solder reflow to occur uniformly across all the wafers in the batch of wafers, and controlled ramping down of temperature uniformly across all the wafers in the batch of wafers after the solder reflow- has occurred, wherein the controlled ramping up and ramping down of temperature is achieved by a temperature control mechanism included in the vertical oven.

34. The method of claim 33, wherein the reducing agent is formic acid vapor,wherein the predetermined laminar flow of the formic acid vapor is achieved by designing a flow path between a vapor delivery system and an exhaust system, wherein the flow path includes uniform fluidic contact with the batch of w afers loaded inside the reflow chamber.

35. The method of claim 33, wherein the temperature control mechanism comprises a heating mechanism and a cooling mechanism that are both boused in the reflow- chamber.

36. The method of claim 33, wherein the temperature control mechanism comprises flow of hot thermal transfer fluid through a first set of passages built into a respective channel included in each wafer-support plate holding a respective wafer of the batch of wafers in the contactless manner,

37. The method of claim 36, wherein the temperature control mechanism comprises flow of cold thermal transfer fluid through a second set of passages built into the respective channel included in each wafer-support plate holding the respective wafer of the batch of wafers in the contactless manner.

38. The method of claim 33, wherein the temperature control mechanism comprises a heating mechanism housed in the reflow chamber and a cooling mechanism housed in a second chamber separate and distinct from the reflow chamber, and wherein the reflow chamber and the second chamber are disposed vertically with respect to each other.

39. The method of claim 38, wherein the heating mechanism housed in the reflow chamber comprises a plurality of infrared heaters disposed along walls of the reflow' chamber at a predetermined distance from an edge of individual wafers in the batch of wafers.

40. The method of claim 39, wherein each of the plurality of infrared heaters is divided into a plurality of heating zones for fine-grain control of temperature uniformity' across the batch of w'afers during the reflow process.

Description:
BATCH PROCESSING OVEN AND METHOD

CROSS-REFERENCE TO RELATED APPLICATION

[01] This application claims priority to U.S. Provisional Application No. 62/981,441, filed February 25, 2020, and U.S. Non-Provisional Application No. 16/851 ,977, filed on April 17,

2020, the entireties of which are incorporated herein by reference.

TECHNICAL FIELD

[02] The present disclosure relates to heterogeneous integration, assembly, and packaging of integrated circuits in general, and fluxless solder reflow process and tools, in particular, in vertical batch processing of wafers.

BACKGROUND

[03] Semiconductor wafer packaging involves many complicated tools and processes. Some of the tools and processes have been disclosed in previously filed patents/published patent applications, e.g,, US 4,597,736 (“Method and apparatus for heating semiconductor wafers”),

US 6,198,075 (“Rapid heating and cooling vacuum oven”), US 10,147,617 (“Method for the rapid processing of polymer layers in support of imidization process and fan out wafer level packaging including efficient drying of precursor layers”), US 10,490,431 (“Combination vacuum and over- pressure process chamber and methods related thereto”), US 2019/0314738 (“Trap assembly' and system for trapping polymer vapors in process oven vacuum systems”), and US 2020/0013591 (“Plasma spreading apparatus and system, and method for spreading plasma in process oven”).

[04] Reflow soldering is a process in which a solder paste is used to attach one physical component to another physical component in an electronic circuit, after which the entire assembly is subjected to controlled heat to make a permanent bond between the components. Traditional solder reflow ovens have a horizontal configuration with in-line wafer transfer in the horizontal direction. This introduces complexities, including une ven heat distribution, contamination, and lower throughput, while performing fluxless reflow of solder in advanced packaging appl ieations. Additionally, traditional horizontal solder reflow ovens have a large footprint and substantial wafer-to-wafer variation.

[OS] A vertical oven with multiple wafers has the advantage of a smaller footprint, higher throughput, and improved temperature control. What is needed is modification of existing vertical ovens to suit fluxiess solder reflow process to allow' soldering of metal parts with surface oxides for high quality wetting of the solder to metals to form void-free solder joints. SUMMARY

[06] The following summary provides a basic understanding of some aspects of the disclosure. This summary is not an extensive overview of the disclosure, it is intended to neither identify key or critical elements of the disclosure, nor delineate any scope of the particular implementations of the disclosure or the scope of the claims. Its sole purpose is to present some concepts of the disclosure in a simplified form as a prelude to the more detailed description that is presented later.

Aspects of the disclosure describe m ethods and systems for fluxless solder reflow process performed on a batch of wafers with solder bumps thereon inside a vertical oven. A vertical o ven comprises a reflow chamber inside which the batch of wafers is loaded. The reflow chamber houses a plurality of wafer-support plates, each w'afer-support plate holding a respective wafer of the batch of wafers in a contactless manner. The vertical oven comprises: a first port to bring the reflow' chamber to a vacuum or near-vacuum level after the batch of wafers are loaded and the reflow' chamber is sealed from atmosphere; a second port to introduce a non -reactive gas into the reflow chamber after the reflow chamber is brought down to the vacuum or the near- vacuum level, wherein the non -reactive gas brings the reflow' chamber to a predetermined sub- atmospheric pressure adapted for the fluxless solder reflow process; and, a third port to inject a reducing agent into the reflow chamber, wherein a predetermined laminar flow of the reducing agent inside the reflow- chamber assists the fluxless solder reflow' process. Further, the vertical o ven comprises a temperature control mechanism for controlled ramping up of temperature for the solder reflow to occur substantially uniformly across all the wafers in the batch of wafers, and for controlled ramping down of temperature substantially uniformly across all the wafers in the hatch of wafers after the solder reflow has occurred.

[07] In one embodiment, the temperature control mechanism may be based on flow' of high- temperature thermal transfer fluid. In another embodiment, the temperature control mechanism may be based on specially designed infrared heaters with independent zone control capabilities. [08] Corresponding methods of effectively perform a solder reflow process in the specially designed vertical oven are also claimed.

BRIEF DESCRIPTION OF THE DRAWINGS

[0010] The present disclosure will be understood more fully from the detailed description given below and from the accompanying drawings of various implementations of the disclosure.

Please note that the dimensions shown in the figures are for illustrative purposes only and not drawn to scale.

[00111 FIG. 1 illustrates steps of processing a batch of wafers in a reflow chamber in a vertical oven, according to an embodiment of the present disclosure.

[0012] FIG. 2 illustrates the concept of heating and cooling wafers/panels using high temperature thermal transfer (HTF) fluid, according to a first embodiment of the present disclosure,

[0013] FIGs. 3A and 3B respectively illustrate the longitudinal cross-sectional view and top view' of aheating/cooling plate, according to the first embodiment of the present disclosure.

[0014] FIG. 4 illustrates a schematic plot to show a fluxless solder reflow process, according to embodiments of the present disclosure.

[001S] FIGs. 5A and 5B respectively illustrate the concept of heating panels with infra-red (IR) radiation and cooling in a separate zone within the chamber, according to a second embodiment of the present disclosure.

[0016] FIG. 6 schematically illustrates different views of the IR heater arrangement around the heating chamber, according to the second embodiment of the present disclosure.

DETAILED DESCRIPTION

[0017] Aspects of the present disclosure are directed to compact vertical oven for reflow ' of solder bumps for backend processes in semiconductor wafer assembly and packaging that results in void-free solder joints. The backend processes include but are not limited to wafer-to-wafer interconnect bonding anneal and degas/bake before physical vapor deposition (PVD) metallization, with possible extension to underfill and environmental molding cure/anneal in heterogeneous integration that encompasses metal -to-polymer wafer bondi ng. Though formic acid is described as an illustrative example of reducing agent for fluxless soldering, the systems and methods described herein are effective tor other reducing agents introduced in a fluidic (gas/liquid) form. The reducing agent may also be referred as process gas. The materials of the solder may vary and the disclosed oven is versatile enough to handle different types of solder. Most of the solders are lead-free. Tin (Sn)-based solders are common for fluxless processes, and example being gold-tin (AuSn) solder.

[0018] As mentioned in the background section, traditional solder reflow ovens have an establ ished formi c acid (or other reducing agent) reflow process where wafer transfer occurs in a horizontal direction. This process has significant drawbacks, such as very large footprint, high consumption of energy, excessive contamination, and lowerthroughput.

[0019] This disclosure addresses those issues by providing a vertical oven for treating a plurality of wafers (e.g., an example value is 50-100 wafers) in a batch with controlled injection of the reducing agent (e.g., formic acid), resulting in a process substantially free of contamination.

[0020] This disclosure describes controlled formic acid flow through a vertical system using laminar flow technology in a sub-atmospheric pressure environment, which is not currently available in the industry. The efficacy of the process depends on effective formic acid vapor delivery, integrated temperature control during heating and cooling, and careful design of the vapor flow path with exhaust. Zone -dependent reaction dynamics managed by vapor delivery process, two-steps temperature ramp control, and controlled cooling process and formic acid content ensures the effective reaction without any flux.

[0021] Persons skilled in the art would understand that there are many possible configurations of the solder reflow oven to achieve the goals of effective vertical batch processing. Below two of the possible embodiments are described in somewhat greater detail, while other modifications are within the scope of the disclosure.

Example Embodiment it! : Panels Heating and Cooling Using High Temperature

Thermal Transfer Fluid (HTF)

[0022] As shown in FIG. 1, diagram “A” shows a cassette 100 that is loaded with a stack of wafers/wafer-holding panels (101) in a vertical configuration. The number of wafers can be any number based on the capacity of the cassette. In diagram “B”, a reflow chamber 103 is shown. The reflow chamber 103 has built-in stack of heating/cooling plates 102. Tire number of heating/cooling plates 102 is greater than or equal to the number of panels 101. The chamber 103 also has vacuum port (104), acid port (105) and gas port (106). For example, formic acid is injected via port 105 when certain temperature and vacuum level are reached. Controlled rate heating can be done either in vacuum or in a non-reactive gas environment, such as helium, nitrogen, argon, hydrogen etc. Diagram “C” shows that the cassette loaded with the wafers 101 is inserted wi thin a reflow chamber 103, so that each wafer/panel (101) is adjacent to its corresponding heating/cooling plate 102 within the chamber 103. Diagram “D” show's controlled rate cooling. Optionally, cooling gas flow' 107 can be introduced in the chamber 103. The cooling gas flow can use forced convection or other methods. Diagram “E” show's the cassette 100 is taken out of the chamber 103 after the reflow process is completed.

[0023] The cassette 100 can be loaded in the chamber 103 whose door (not shown) can be closed/sealed after loading and a vacuum condition is achieved (or non -reactive gas is injected in the chamber). Alternatively, the chamber racks may be robotically loaded with wafers/panels 101. Electrical connections (not shown) enable mounting of thermocouples. Chamber also has extemal/intemal wall heaters to keep w'alls at certain temperature to reduce heat losses from the side areas of the wafers/paneis to the wall when the wafers/panels are heated up. This reduces wafer/panel temperature non-uniformity.

[0024] Within the chamber 103, the heating/cooling plates 102 may have a predefined vertical separation (e.g., 15-50 mm). The wafers/panels 101, retained by the cassette 100, are parallel and adjacent to the heating/cooling plates 102 and are kept at a certain distance from the plates to prevent formation of hot/cold zones that may be created when the wafers/panels 101 touch the heating/cooling plates 102 or occlude the flow paths because ofwarpage.

[0025] FIG. 2 shows a single heating/cooling plate 102 of the stack of heating/cooling plates shown in FIG. 1 to show that each heating/cooling plate 102 has a built-in channel 202 inside where hot/cold fluid comes in at one end 210 and hot/ cold fluid comes out at the other end 212. The hot/cold fluid can be thermal transfer fluid (HTF), such as synthetic oil, Gulden, etc., that flows through the channels for heating/cooling purposes. The chamber can be kept at vacuum or filled with other non-reactive gases (e.g., nitrogen, helium. Argon, etc.) to a certain pressure that improves heat transfer between the heating/cooling plates 102 and the wafers/panels 101. The chamber may have gas manifolds inside to flow cold or heated gas across the wafers/panels 101 to speed tip heating/cooling by introducing forced convection, and/or to assist in improving temperature uniformity across the wafers/panels 101 that may have been caused by wafer/panel warpage or any other reason.

[0026] The hot heat transfer fluid is pumped thru the heating/cooling plates to initiate heating of the wafers/panels by radiation, and/or convection and/or conduction heat transfer mechanism. The HTF temperature and flow rate may be adj usted to control the panels/wafers heat-up rate, or to stop heating when it is required by the process. Two 3 -way valves 206 and 207 (or any other hardware that assist with maintaining the required fluid temperature) can switch between cold/hot fluid though the channel 202. The cold/hot fluids come from the two zones 205 and 204 of a two- zone HTF heat exchanger 203. Alternatively, 203 can be a combination of two heat exchangers instead of a single two-zone heat exchanger. In yet another alternative, 203 can be a heat exchanger with hot HTF and is coupled to a chiller that recirculates cold or warm fluid (30 - 45°C are typical but other ranges are possible). Generieal!y, the term “heat exchanger 203” is intended broadly to encompasses alternative configurations.

[0027] Each heating/cooling plate 102 has two independent passages to separately flow hot and cold HTF through the same plate. This is shown in greater detail in FIGs. 3A and 3B (longitudinal cross-sectional view and top view respectively).

[0028] The heating/cooling plate liquid inlets are connected to the heat exchanger 203. The HTF flow rate thru the cooling/heating plates may be adj usted by bypassing portion of the fluid back to a heat exchanger 203. Maintaining required temperature of the heating/cooling plates 102 can be achieved by mixing hot and cold HTF or by pumping hot and cold HTFs through the heating/cooling plates simultaneously with different flow rates to maintain the desired temperature,

[0029] Heating/cooling plates 102 may be any suitable shape and made from any suitable material that has a good heat transfer coefficient and an appropriate emissivity for better heat transfer via radiation.

[0030] The heating/cooling plates 102 may have textured surface, or extruded type of surface to increase surface area to improve heat transfer. The plates’ surface may have coating to prevent plate material from chemical atack by process gases (i.e., formic acid that may result in particle creation and plate damage).

[0031] The heating/cooling plates’ internal channels may be any suitable shape and any size to optimize heat transfer and uniform heating of the wafers/panels, which will result in fast and controlled heating/cooling ramp rates of the panels/wafers. One of the plates’ design approaches is shown in Figs. 3A and 3B. The plate has numerous channels for hot and for cold HTFs, Hot fluid channels are shown as 302 and cold fluid channels are shown as 303. There is a separation between the hot fluid and cold fluid channels. Alternatively, the heating/ cooling plates 102 may have a single set of channels for both hot and cold HTF supplied by the heat exchanger 203. [0032] In yet another alternative embodiment, the heating/cooling plates for HTF can be replaced with, for example, ceramic resistive heating elements for heating only. Cooling in this case can be done with a gas flowing across the panels.

[0033] Temperature of the wafer/panel 101 may be monitored by any known method, and when temperature is reached required value (e.g., 150 - 180°C), the process gas (e.g., formic acid) can be injected inside the chamber 103 for being soaked by the wafers/panels to remove oxides. At this point, the wafer/panels’ heating rate may he reduced to certain value (can even be reduced to zero) to control the chemical reaction involving the process gas.

[0034] After certain time (e.g., -80 sec), the heating with high rate may be resumed to reach temperature required for the reflow process (e.g., 232 - 265 °C).

[0035] At certain time, the hot HTF flow is stopped and the cold HTF starts flowing thru the heating/cooling plates 102 to cool panels down with the controlled rate. At this stage, the wafers/panels 101 radiate energy back to the cold heating/cooling plates 102.

[0036] During cooling cycle, a gas at certain pressure may be introduced into the chamber to speed up cooling, and/or to reduce temperature non-uniformity among the wafers/panels. If desired, the chamber may have gas manifolds to flaw gas across the wafers/panels to speed up cooling by forced convection. For reflow processes, any gas (except reactive gases like oxygen) can be used for cooling purpose. To reduce gas consumption, gas can be recirculated through a chiller or a cooling tower.

[0037] After wafer/panel temperature is below a certain value (e.g., 82 °C), the chamber can be vented to atmosphere and the cassette with the wafers/panels can he removed from the chamber. [0038] In order to increase throughput, the cassette with wafers/panels can be removed from the chamber when the wafers/panels are still hot and put into a buffer station in a non -reactive gas (e.g., N2) environment to complete cooling to a temperature when they can be safely exposed to atmosphere and removed from the module . This hardware may be connected to equipment front end module (EFEM) to load/unload front -opening unified pods(FOUPs).

[0039] FIG. 4 shows a time versus temperature profile of a typical solder reflow process, where the liquidus temperature is between T4 and T5 within the reflow time (from t3 to t4). The design of the vertical chamber of this disclosure makes it possible to control the temperature ramps of this plot accurately.

[0040] Some of the major advantages of the proposed concept include but not limited to: a single chamber operation for heating and cooling, to perform reflow process; and the wafers/panels being parallel to the heating/cooling plates, resulting in more efficient heat transfer by radiation/convection, and reduction in wafer/panei temperature non-uniformity.

[0041] A single process chamber mayprovide a reduced footprint, reduced hardware cost, reduced complexity, increased system reliability, and the possibility of changing heating and cooling ramp rates almost instantaneously to follow the reflow' process requirements. This improvement over current state-of-the-art conventional reflow ovens that comprise multiple chambers (for example, a dozen) for different processing stages and, therefore, occupy substantial factory/fab floor space for a throughput that is lower than what is achievable by the disclosed reflow oven.

Method and apparatus # 2 : Panels Heating with Infrared Radiant Heaters and Cooling In a

Separate Zone

[0042] An alternative design of the vertical oven has two separate zones for heating and cooling the wafers/panels. As shown in FIG. 5A, wafers/panels are loaded on a cassette 1 Of) (similar to that depicted in FIG. 1) and the cassette 100 is moved into the sealed enclosure 520 inside a frame 526. Sealed enclosure 520 may have gas manifolds 522 to flow gas to purge the enclosure of oxygen before cassete cooling. Cassette 100 may have susceptors between the wafers/panels to achieve uniform heating. Cassette 100 loaded with the wafers/panels into the sealed enclosure 520 is lifted into a reflow chamber 103 (similar to that depicted in FIG. 1) using a door lift assembly 528. [0043] The reflow chamber 103 comprises a quartz tube 514, top plate 510, botom plate 516 and has cooling channels 508 (e.g., to protect O-rings and to prevent parts from overheating), and a temperature measurement device (not shown).

[0044] An infrared (IR) radiant heating structure 512 is disposed around the walls of chamber 103, as shown in the top view (diagram A of FIG. 6), to heat wafers/panels 101 in a controlled manner. Four IR heaters are shown in FIG. 6 and the number may be varied. The distance ‘d’ from heater to edge of panel 101 and width ‘w’ of the heater, as shown in the top view' (diagram A of FIG. 6), as well as height of heater ‘If as shown in side view (diagram B of FIG, 6) are design parameters that can be varied. Fleater(s) may have independently controlled zones (such as zones 1, 2, 3 as shown in diagram “C” of FIG. 6) to improve temperature uniformity of the wafers/panels. Door 536 is in the up position (FIG. 5A) or down position (FIG. 5B), depending on whether the cassette 100 is in reflow' chamber 103 or in cool-down chamber 520.

[0045] Reflow chamber 103 is sealed and pumped down to remove water vapor on wafers/panels. This may take multiple cycles. N2 or other gas may be pumped into the chamber to help with the vapor removal. Multiple cycles may be required. 02 levels will be monitored to verify correct 02 levels are achieved. N2 may be pre -heated to speed up vapor removal process. Chamber may be filled with gas to improve panels/wafers temperature uniformity.

[0046] Radiant heaters 512 are set to target temperature and the wafers/panels start heating at a controlled rate, if necessary , heating can be paused to wait until panels/wafers are at the desired temperature range.

[0047] After reflow process completed, heaters 512 are turned off (as shown in FIG. 5B), chamber 103 is vented and cassette 100 is moved down into area 530 within the air-tight enclosure 520 with N2 environment.

[0048] Wafers/panels are cooled down with incoming N2 gas 524 flowing across wafers/panels in a controlled manner. Outgoing N2 gas 534 can be recirculated thru chiller or exhausted to atmosphere (using release valve 532). Rather than N2, other non-reactive gases (such as Ar) may be used . Sealed enclosure 520 may have N2 collector 518 and optionally fan 538 to cool down the walls of enclosure 520. After cool down is complete, cassette 100 is unloaded from enclosure 520 and a new cassette is loaded to repeat solder reflow process.

[0049] Advantages of the current design and method include but are not limited to: separation of heating and cooling zones resulting in high heat up and cool down rates: and better temperature control via infrared heaters (e.g., IR heaters can be turned on/off, or set to desired temperature per the process requirements almost instantaneously).

[0050] Note that though overall volume of the disclosed design with separate heating and cooling zones may be larger than the first embodiment shown in FIG. 2, the footprint remains small relative to prior known ovens. The disclosed over is oriented in a vertical rather than a horizontal configuration. A controlled profile as shown in FIG. 4 is achievable. A substantial advantage in both embodiments is achieving ramp rates and a level of control more substantial (e.g., ten times or more) than current state-of-the-art designs based on horizontal atmospheric furnaces or even currently available vertical furnaces.

[0051] implementations of the disclosure have been described with reference to specific example implementations thereof. It will be evident that various modifications may be made thereto without departing from the broader spirit and scope of implementations of the disclosure as set forth in the following claims. The specification and draw ings are, accordingly, to be regarded in an illustrative sense rather than a restrictive sense. Additionally, the directional terms, e.g., ' ‘top, ' ” “bottom,” etc., are not restrictive of the scope of the disclosure to any fixed orientation but, rather, encompasses various permutations and combinations of orientations.

II