Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ELECTROCHEMICAL ASSEMBLY FOR FORMING SEMICONDUCTOR FEATURES
Document Type and Number:
WIPO Patent Application WO/2022/165129
Kind Code:
A1
Abstract:
Methods, apparatuses, and systems for forming deposited features on workpieces are provided herein. Generally, the techniques herein employ a deposition head to define an electrical field that facilitates electrochemical deposition. Other systems and controllers can be employed, which can assist in aligning or positioning the deposition head in proximity to a workpiece and controlling the size and location of the deposited feature.

Inventors:
MAYER STEVEN T (US)
THORKELSSON KARI (US)
Application Number:
PCT/US2022/014241
Publication Date:
August 04, 2022
Filing Date:
January 28, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
C25D5/02; C25D5/04; C25D5/18; C25D17/00; C25D17/12; C25D21/12; H01L21/288
Foreign References:
EP1491653A22004-12-29
US20030067298A12003-04-10
EP2351472B12012-09-12
CN101328599A2008-12-24
JP2017135018A2017-08-03
Attorney, Agent or Firm:
WEAVER, Jeffrey K. (US)
Download PDF:
Claims:
CLAIMS what is claimed is:

1. An assembly comprising: a deposition head comprising an array of anode pixels disposed on a proximal surface of the deposition head, wherein the array of anode pixels comprises a plurality of inert electrodes and a plurality of control devices configured to supply current to selected one or more of the plurality of inert electrodes; a gap measurement system comprising one or more sensing elements, wherein the gap measurement system is configured to measure a distance between the proximal surface of the deposition head to a surface of a workpiece by measuring an impedance of a region between at least one sensing element of the one or more sensing elements and an underlying portion of the workpiece; and a controller connected to the deposition head and configured to cause supplying a current and/or a voltage to the array or supplying a potential difference between the workpiece and the array, thereby forming an electric field defined by one or more of the anode pixels.

2. The assembly of claim 1, further comprising an alignment system comprising: a plurality of fine actuator elements attached to the deposition head, wherein the fine actuator elements are configured to position the proximal surface of the deposition head within a first gap distance to the surface of the workpiece and/or to make the proximal surface of the deposition head to be on a plane that is parallel to the surface of the workpiece.

3. The assembly of claim 2, wherein the alignment system is configured to control motion along five axes, including three mutually perpendicular linear axes, and two rotational axes oriented such that the deposition head planarity can be adjusted relative to the workpiece.

4. The assembly of claim 3, wherein the alignment system is configured to control motion along the two rotational axes by a set of three fine actuator elements arranged in a triangle, or two fine actuator elements and a third fixed point arranged in a triangle.

5. The assembly of any of claims 1 to 4, wherein at least one of the one or more sensing elements is disposed on the proximal surface of the deposition head and electrically connected to a circuit to determine a distance between the sensing element and the surface of the workpiece.

42

6. The assembly of any of the foregoing claims, wherein at least one of the one or more sensing elements is electrically coupled to a powering circuit and a sensing circuit.

7. The assembly of claim 6, wherein the at least one sensing element comprises one of the plurality of inert electrodes.

8. The assembly of any of the foregoing claims, wherein the controller is configured to supply the current and/or the voltage or supply the potential difference in a manner that provides a deposited feature, and wherein the deposited feature is deposited by a single anode pixel or by a plurality of anode pixels.

9. The assembly of claim 8, wherein the controller is configured to cause: supplying the current, the voltage, or the potential difference to a set of contiguous anode pixels to define a shape or a dimension of the deposited feature.

10. The assembly of any of the foregoing claims, further comprising a powering circuit electrically coupled to the plurality of inert electrodes, wherein the powering circuit is configured to apply a first electrical potential and/or current to have the inert electrodes serve as anodes relative to the workpiece and apply a second electrical potential and/or current to have the inert electrodes serve as cathodes relative to a secondary electrode.

11. The assembly of claim 10, wherein the secondary electrode comprises a metal that electroplates onto the inert electrodes.

12. The assembly of any of the foregoing claims, wherein the gap measurement system is configured to measure the impedance of the region between the at least one sensing element and the underlying portion of the workpiece by applying an input signal wave to the at least one sensing elements.

13. The assembly of claim 12, wherein the input signal wave has an amplitude of about 1 to 100 millivolts.

14. The assembly of claim 12, wherein the input signal wave has a frequency of about 100 kHz to 10 Mhz.

43

15. The assembly of claim 12, wherein the input signal wave has a frequency of about 1MHz to

10 MHz.

16. The assembly of any of the foregoing claims, wherein the controller is further configured to use measured distances from the gap measurement system to maintain a distance between the proximal surface of the deposition head and a surface of a growing deposited feature on the workpiece.

17. The assembly of claim 16, wherein the controller is further configured to maintain a constant distance between the proximal surface of the deposition head and the surface of the growing deposited feature on the workpiece.

18. The assembly of claim 16 the controller and/or the gap measurement system employs an empirical model relating impedance information to the distance between the proximal surface of the deposition head and the surface of the growing deposited feature on the workpiece.

19. The assembly of any of the foregoing claims, wherein the plurality of inert electrodes is recessed within holes in an insulating workpiece to thereby allow metal to be electroplated onto the plurality of inert electrodes from a secondary electrode and deplated from the plurality of inert electrodes onto a workpiece.

20. The assembly of claim 19, wherein the holes in the insulating workpiece confine the location of the metal electroplated onto the plurality of inert electrodes.

21. A method of electroplating a plurality laterally separated features onto a workpiece, the method comprising:

(a) positioning a deposition head in a first position, and while in the first position, electroplating metal onto a plurality of inert electrodes of a plurality of anode pixels of the deposition head;

(b) before or after (a), measuring a gap between the deposition head and the workpiece or another substrate positioned at the location of the workpiece, wherein measuring the gap comprises determining an impedance of electrolyte proximate the gap; and

(c) positioning, by using the measured gap from (b), the deposition head in a second position proximate the workpiece, and while in the second position, electroplating metal from the

44 plurality of inert electrodes onto the workpiece to, at least partially, form the laterally separated features.

22. The method of claim 21, further comprising:

(d) determining that the plurality laterally separated features have not been completely formed; and

(e) repeating operations (a), (b), and (c).

23. The method of claim 21 or 22, further comprising after positioning a deposition head in the first position, and before electroplating metal onto the plurality of inert electrodes, delivering electrolyte between the deposition head and the workpiece.

24. The method of any of claims 21-23, further comprising moving the deposition to a third position proximate the workpiece and electroplating an additional plurality of features onto the workpiece.

25. The method of any of claims 21-24, further comprising etching a portion of a conductive seed layer on the workpiece.

26. The method of any of claims 21-25, wherein measuring the gap between the workpiece and the deposition head comprises measuring gaps at three or more separated positions not in a line.

27. The method of claim 26, wherein positioning the deposition head in a second position proximate the workpiece comprises modifying the position of the deposition head so that the workpiece and the deposition head are aligned on parallel planes.

28. The method of any of claims 21-27, wherein positioning the deposition head in a second position proximate the workpiece comprises actuating one or more of a plurality of fine actuator elements attached to the deposition head to position a proximal surface of the deposition head within a first gap distance to the surface of the workpiece and/or to make the proximal surface of the deposition head to be on plane parallel to the surface of the workpiece.

29. The method of any of claims 21-28, wherein positioning the deposition head in a second position proximate the workpiece comprises controlling motion along one or more of five axes, including three mutually perpendicular linear axes and two rotational axes.

Description:
ELECTROCHEMICAL ASSEMBLY FOR FORMING SEMICONDUCTOR FEATURES

INCORPORATION BY REFERENCE

[0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in their entireties and for all purposes.

FIELD

[0002] The present disclosure relates to substrate processing systems and more particularly to electrochemical assemblies to provide semiconductor electrical interconnects.

BACKGROUND

[0003] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

[0004] Semiconductor interconnects are generally formed using various semiconductor tools to effectuate aspects of the semiconductor process to deposit metal. Such semiconductor tools can include a metal deposition tool (e.g., a physical vapor deposition (PVD) tool, chemical vapor deposition (CVD) tool, or atomic layer deposition (ALD) tool to provide seed metal layers and/or bulk metal layers), a photoresist deposition tool (e.g., a spincoater or a dry photoresist deposition tool), a lithography tool (e.g., a photolithography tool), a photoresist development tool, a descumming or ashing tool (e.g., a photoresist descumming too), a plating tool (e.g., an electroplating tool), a photoresist stripping tool, and/or a metal etch tool (e.g., a wet metal etch tool).

[0005] Such semiconductor tools can be used, in combination, for Damascene processing (an additive process to deposit metal) or through resist processing and metallization. Damascene processing is commonly used for interconnects of higher aspect ratio through silicon vias (TSVs) and levels greater than three with sub 0.5 micrometer (pm) fluid holes and line interconnects. Through resist processing and metallization are commonly used for packaging interconnect formation (re-distribution layers, copper pillar bumping, controlled collapse chip connection (C4) plated solder bumps, etc.) of sizes greater than about 1 pm and less than about three layers.

[0006] Each of these semiconductor tools and processes uses several ancillary processes and hardware (photoresist applications, lithography, photoresist development, photoresist stripping and cleaning, chemical mechanical polishing, wet etching), in addition to electroplating of the desired current carrying metal interconnect line/via.

[0007] Damascene semiconductor processes (including formation of through silicon vias (TSVs)) can form a recessed cavity in a dielectric film (such as low dielectric constant (K) silicon dioxide (SiCh)). An etch region is defined in the dielectric film using a photolithography tool to provide form a mask (such as a metal film). This step is usually followed by a PVD tool for PVD metallization of an exposed surface to coat external and internal surfaces with a seed layer and a barrier layer (typically copper (Cu) and tantalum (Ta), titanium (Ti), titanium nitride (TiN), or tantalum nitride (TaN)).

[0008] The PVD metallization typically has high side wall coverage selectivity so that edge walls of the Damascene structures, particularly at the bottom of the structure, are sufficiently covered to allow for complete electrical connection and plating bottom up filling. Then, the recessed structure is plated from the “bottom up”, and a metal etch tool can be used for chemical mechanical polishing (CMP) of the surface to leave an isolated line/via lying below the general surface.

[0009] Through resist processing and metallization are used to form bumps and/or lines that, at the end of processing, yield interconnect structures that he above the general surface. Through resist processing and metallization generally involve using a metal deposition tool to seed an exposed surface (for example, blanket PVD metal layers of Cu/2000 Angstroms (A) over Ta/200A). Then, a photoresist deposition tool can be used to apply a dry photoresist film or a wet photoresist layer (by way of a spincoater, in which the photoresist layer is then dried/cured). The photoresist layer can be either positive or negative tone (exposed areas are removed or remain after development). Then, a photolithography tool is employed to expose the photoresist to light in a lithographic step. Next, a photoresist development tool is employed to selectively removed the photoresist by immersion in a developing solution suitable for the particular type and chemical formulation of the photoresist. After development, a descumming tool can be employed to remove residual photoresist that remains at the base of the features, which may be removed by exposing the wafer surface to an oxygen plasma (sometimes referred to as a “descum step”). Generally during this step, oxygen end groups replace hydrophobic organic end groups at the photoresist surface, which makes the organic photoresist film more hydrophilic. The wafer then has a set of photoresist openings down to the seed layer, and a plating tool is used to plate and to fill those openings to form a bump, a line, a thick solder film (reflowed to form a ball), or a thinner layer of solder on the top of a copper bump to form a copper/solder (e.g. Cu/SnAg) pillar. SUMMARY

[0010] Various embodiments herein relate to methods, apparatus, and systems for electrochemical deposition. The techniques described herein enable photoresist-free formation of metal features, substantially simplifying the process scheme for forming such features and minimizing the associated capital and processing costs. In certain embodiments, the techniques herein employ a deposition head (e.g., a printhead) to define an electrical field that facilitates electrochemical deposition. Some embodiments optionally employ a flow distribution head (FDH) to provide a source of metal ions that can be deposited. Systems and controllers can be employed, which can assist in aligning or positioning the deposition head and/or FDH in proximity to a workpiece, replenishing electrolytes in proximity to the deposition head, and/or controlling the size and location of the deposited feature (e.g., the printed feature).

[0011] Some aspects of the disclosure pertain to assemblies that may be characterized by the following features: (a) a deposition head comprising an array of anode pixels disposed on a proximal surface of the deposition head, wherein the array of anode pixels comprises a plurality of inert electrodes and a plurality of control devices configured to supply current to selected one or more of the plurality of inert electrodes; (b) a gap measurement system comprising one or more sensing elements, wherein the gap measurement system is configured to measure a distance between the proximal surface of the deposition head to a surface of a workpiece by measuring an impedance of a region between at least one sensing element of the one or more sensing elements and an underlying portion of the workpiece; and (c) a controller connected to the deposition head and configured to cause supplying a current and/or a voltage to the array or supplying a potential difference between the workpiece and the array, thereby forming an electric field defined by one or more of the anode pixels.

[0012] In some embodiments, the assembly additionally includes an alignment system comprising: a plurality of fine actuator elements attached to the deposition head, wherein the fine actuator elements are configured to position the proximal surface of the deposition head within a first gap distance to the surface of the workpiece and/or to make the proximal surface of the deposition head to be on a plane that is parallel to the surface of the workpiece. In some embodiments, the alignment system is configured to control motion along five axes, including three mutually perpendicular linear axes, and two rotational axes oriented such that the deposition head planarity can be adjusted relative to the workpiece. In some embodiments, the alignment system is configured to control motion along the two rotational axes by a set of three fine actuator elements arranged in a triangle, or two fine actuator elements and a third fixed point arranged in a triangle.

[0013] In certain embodiments, at least one of the one or more sensing elements is disposed on the proximal surface of the deposition head and electrically connected to a circuit to determine a distance between the sensing element and the surface of the workpiece. In certain embodiments, at least one of the one or more sensing elements is electrically coupled to a powering circuit and a sensing circuit. In some implementations, the at least one sensing element comprises one of the plurality of inert electrodes.

[0014] In some embodiments, the controller is configured to supply the current and/or the voltage or supply the potential difference in a manner that provides a deposited feature, and wherein the deposited feature is deposited by a single anode pixel or by a plurality of anode pixels. In some cases, the controller is configured to cause: supplying the current, the voltage, or the potential difference to a set of contiguous anode pixels to define a shape or a dimension of the deposited feature.

[0015] In some embodiments, the assembly additionally includes a powering circuit electrically coupled to the plurality of inert electrodes, wherein the powering circuit is configured to apply a first electrical potential and/or current to have the inert electrodes serve as anodes relative to the workpiece and apply a second electrical potential and/or current to have the inert electrodes serve as cathodes relative to a secondary electrode. In some implementations, the secondary electrode comprises a metal that electroplates onto the inert electrodes.

[0016] In some embodiments, the gap measurement system is configured to measure the impedance of the region between the at least one sensing element and the underlying portion of the workpiece by applying an input signal wave to the at least one sensing elements.

[0017] The input signal wave may have an amplitude of about 1 to 100 millivolts. The input signal wave may have a frequency of about 100 kHz to 10 Mhz. The input signal may have a frequency of about 1MHz to 10 MHz.

[0018] In certain embodiments, the controller the controller is further configured to use measured distances from the gap measurement system to maintain a distance between the proximal surface of the deposition head and a surface of a growing deposited feature on the workpiece. In certain embodiments, the controller is further configured to maintain a constant distance between the proximal surface of the deposition head and the surface of the growing deposited feature on the workpiece. In some implementations, the controller and/or the gap measurement system employs an empirical model relating impedance information to the distance between the proximal surface of the deposition head and the surface of the growing deposited feature on the workpiece. [0019] In some embodiments, the plurality of inert electrodes is recessed within holes in an insulating workpiece to thereby allow metal to be electroplated onto the plurality of inert electrodes from a secondary electrode and deplated from the plurality of inert electrodes onto a workpiece. In some implementations, the holes in the insulating workpiece confine the location of the metal electroplated onto the plurality of inert electrodes.

[0020] Some aspects of this disclosure pertain to methods of electroplating a plurality laterally separated features onto a workpiece. Such methods may be characterized by the following operations: (a) positioning a deposition head in a first position, and while in the first position, electroplating metal onto a plurality of inert electrodes of a plurality of anode pixels of the deposition head; (b) before or after (a), measuring a gap between the deposition head and the workpiece or another substrate positioned at the location of the workpiece, wherein measuring the gap comprises determining an impedance of electrolyte proximate the gap; and (c) positioning, by using the measured gap from (b), the deposition head in a second position proximate the workpiece, and while in the second position, electroplating metal from the plurality of inert electrodes onto the workpiece to, at least partially, form the laterally separated features.

[0021] In some embodiments, the method additionally includes: (d) determining that the plurality laterally separated features have not been completely formed; and (e) repeating operations (a), (b), and (c). In some embodiments, the method additionally includes: after positioning a deposition head in the first position, and before electroplating metal onto the plurality of inert electrodes, delivering electrolyte between the deposition head and the workpiece.

[0022] In some embodiments, the method additionally includes moving the deposition to a third position proximate the workpiece and electroplating an additional plurality of features onto the workpiece. In some embodiments, the method additionally includes etching a portion of a conductive seed layer on the workpiece.

[0023] In certain embodiments, measuring the gap between the workpiece and the deposition head comprises measuring gaps at three or more separated positions not in a line. In some implementations, positioning the deposition head in a second position proximate the workpiece comprises modifying the position of the deposition head so that the workpiece and the deposition head are aligned on parallel planes.

[0024] In certain embodiments, positioning the deposition head in a second position proximate the workpiece comprises actuating one or more of a plurality of fine actuator elements attached to the deposition head to position a proximal surface of the deposition head within a first gap distance to the surface of the workpiece and/or to make the proximal surface of the deposition head to be on plane parallel to the surface of the workpiece. In some implementations, positioning the deposition head in a second position proximate the workpiece comprises controlling motion along one or more of five axes, including three mutually perpendicular linear axes and two rotational axes.

[0025] The following portion of this summary section identifies certain alternative aspects of the disclosure. In a first such aspect, the present disclosure encompasses an assembly (e.g., a deposition head assembly or a printhead assembly) including: a deposition head (e.g., a printhead) including at least one anode disposed on a proximal surface of the deposition head; and a fluid distribution head (FDH). In some embodiments, the deposition head is at least partially surrounded by or incorporated into the FDH, wherein the FDH includes a plurality of ports in fluidic communication with a proximal surface of the FDH. In other embodiments, the ports are configured to supply and/or remove an electrolyte in proximity to the at least one anode.

[0026] In a second aspect, the present disclosures encompasses an assembly including: a gap measurement system including one or more sensing elements (e.g., any described herein). In some embodiments, the gap measurement system is configured to measure a distance between a proximal surface of a deposition head or a proximal surface of an FDH to a surface of a workpiece. [0027] In a third aspect, the present disclosures includes an assembly (e.g., a deposition head assembly or a printhead assembly) including: a deposition head (e.g., a printhead) including an array of anode pixels; an FDH configured to surround the array; and a gap measurement system including one or more sensing elements, wherein the gap measurement system is configured to measure a distance between the proximal surface of the deposition head or the proximal surface of the FDH to a surface of a workpiece. In some embodiments, the array is disposed on a proximal surface of the deposition head, wherein each anode pixel includes a virtual electrode, an active electrode, or an inert electrode. In other embodiments, the FDH includes a plurality of ports in fluidic communication with a proximal surface of the FDH, wherein the ports are configured to supply and/or remove an electrolyte in proximity to the anode pixels.

[0028] In a fourth aspect, the present disclosure includes an assembly (e.g., a deposition head assembly or a printhead assembly) including: a deposition head (e.g., a printhead or any described herein); an FDH (e.g., any described herein) configured to surround the array; a gap measurement system (e.g., any described herein) including one or more sensing elements; and an alignment system. In some embodiments, the alignment system includes: a plurality of fine actuator elements directly or indirectly attached to the deposition head; and a mounting assembly directly or indirectly attached to the FDH. In particular embodiments, the fine actuator elements are configured to position the array within a first gap distance to the surface of the workpiece and/or to make the proximal surface of the deposition head to be coplanar to the surface of the workpiece. In other embodiments, the mounting assembly includes a coarse actuator to vertically position the FDH within a second gap distance to the surface of the workpiece.

[0029] In a fifth aspect, the present disclosure includes a method of providing a deposited feature (e.g., a printed feature), the method including: receiving a workpiece including a seed layer disposed on a surface thereof, wherein the seed layer is conductive; positioning a deposition head (e.g., a printhead or any described herein) in proximity to the surface of the workpiece; delivering an electrolyte to the anode pixels through an FDH configured to surround the deposition head; and activating one or more anode pixels, thereby providing the deposited feature (e.g., the printed feature) at a first position. In some embodiments, the deposition head includes an array of a plurality of anode pixels, and the FDH is configured to surround the array.

[0030] In some embodiments, said positioning includes: determining a distance between a proximal surface of the deposition head and the surface of the workpiece; and aligning the proximal surface of the deposition head within a first gap distance to the surface of the workpiece and/or making the proximal surface of the deposition head to be coplanar to the surface of the workpiece. In particular embodiments, a ratio of the first gap distance to a dimension of the array is from 0.1 : 1 to 1 :0.5. In other embodiments, the dimension of the array is a distance between two anode pixels or a feature size (e.g., width, height, or diameter) of a single anode pixel.

[0031] In some embodiments, said positioning includes (e.g., prior to said aligning): vertically positioning a proximal surface of the FDH within a second gap distance to the surface of the workpiece. In particular embodiments (e.g., during said delivering), a first gap (between a proximal surface of the deposition head to the surface of the workpiece) is less than a second gap (between a proximal surface of the FDH and the surface of the workpiece).

[0032] In some embodiments, said delivering includes: flowing the electrolyte through two or more ports disposed within the FDH; and removing the electrolyte through one or more ports disposed within the FDH.

[0033] In some embodiments, said activating includes supplying a current and/or a voltage to the array or supplying a potential difference between the workpiece and the deposition head (or array thereol). In other embodiments, said activating includes: supplying the current, the voltage, or the potential difference to one anode pixel or a plurality of anode pixels. In yet other embodiments, said supplying includes supplying the current, the voltage, or the potential difference to a set of contiguous anode pixels to define a shape or a dimension of the deposited feature (e.g., the printed feature).

[0034] In some embodiments, the method further includes (e.g., after said activating): moving the deposition head to a second position on the surface of the workpiece; further delivering the electrolyte to the second position by way of the FDH; and further activating the one or more anode pixels at the second position, thereby providing a further deposited feature (e.g., a further printed feature) at the second position. In particular embodiments (e.g., after said activating and/or said further activating), the method further includes: etching a portion of the seed layer lacking the deposited feature and the further deposited feature at the first and second positions, respectively.

[0035] In any embodiment herein, the deposition head is a printhead. In other embodiments, the assembly is a printhead assembly including one or more printheads. [0036] In any embodiment herein, the array is disposed on a proximal surface of the deposition head.

[0037] In any embodiment herein, the anode or the anode pixel includes a virtual electrode, an active electrode, or an inert electrode.

[0038] In any embodiment herein, the deposition head includes an inner anode, an insulating substrate, and an inner chamber formed between the inner anode and the insulating substrate; wherein the insulating substrate includes a plurality of holes; and wherein each hole forms the virtual electrode.

[0039] In any embodiment herein, the deposition head includes a plurality of inert electrodes and a plurality of control devices configured to supply current to a selected anode pixel or to selected plurality of anode pixels.

[0040] In any embodiment herein, the deposition head and the FDH may be directly or indirectly attached.

[0041] In any embodiment herein, the proximal surface of the deposition head extends past the proximal surface of the FDH.

[0042] In any embodiment herein, a plurality of ports (e.g., of an FDH) surround a periphery of the deposition head. In some embodiments, the assembly (e.g., the deposition head assembly or the printhead assembly) further includes a valve associated with each port, wherein each valve can be configured to supply or remove pressure or flow through the port associated with each valve.

[0043] In any embodiment herein, the assembly (e.g., the deposition head assembly or the printhead assembly) further includes: a plurality of deposition heads (e.g., a plurality of printheads) and a plurality of fluid distribution heads, wherein each FDH is configured to surround one deposition head.

[0044] In any embodiment herein, the assembly (e.g., the deposition head assembly or the printhead assembly) further includes: a plurality of deposition heads (e.g., a plurality of printheads), wherein the FDH is configured to surround each of the plurality of deposition heads. [0045] In any embodiment herein, the assembly (e.g., the deposition head assembly or the printhead assembly) includes a gap measurement system. In some embodiments, the gap measurement system includes one or more sensing elements (e.g., any described herein). In other embodiments, the gap measurement system is configured to measure a distance between the proximal surface of a deposition head or the proximal surface of an FDH to a surface of a workpiece. In yet other embodiments, the FDH includes a plurality of ports in fluidic communication with a proximal surface of the FDH, wherein the ports are configured to supply and/or remove an electrolyte in proximity to the array and/or anode pixels. [0046] In any embodiment herein, one or more sensing elements are disposed on the proximal surface of the deposition head and electrically connected to a circuit to determine a distance between the sensing element and the surface of the workpiece. In some embodiments, the sensing element includes one of the plurality of anode pixels.

[0047] In any embodiment herein, the assembly (e.g., the deposition head assembly or the printhead assembly) further includes an alignment system. In some embodiments, the alignment system includes: a plurality of fine actuator elements directly or indirectly attached to the deposition head, wherein the fine actuator elements are configured to position the array within a first gap distance to the surface of the workpiece and/or to make the proximal surface of the deposition head to be coplanar to the surface of the workpiece. In other embodiments, the alignment system further includes: a mounting assembly directly or indirectly attached to the FDH, wherein the mounting assembly further includes a coarse actuator to vertically position the FDH within a second gap distance to the surface of the workpiece.

[0048] In any embodiment herein, the assembly (e.g., the deposition head assembly or the printhead assembly) further includes: a controller (e.g., a printhead controller) connected to the deposition head (e.g., the printhead), wherein the controller is configured to cause: supplying a current and/or a voltage to the array or supplying a potential difference between the workpiece and the array, thereby forming an electric field defined by one or more of the anode pixels. In some embodiments, said supplying provides a deposited feature (e.g., a printed feature), wherein the deposited feature is deposited (e.g., printed) by a single anode pixel or by a plurality of anode pixels. In other embodiments, the controller is configured to cause: supplying the current, the voltage, or the potential difference to a set of contiguous anode pixels to define a shape or a dimension of the deposited feature.

[0049] In any embodiment herein, the assembly further includes: a fluidic controller connected to the FDH, wherein the fluidic controller is configured to cause: flow of the electrolyte into and/or out of the plurality of ports, thereby replenishing reactants and removing reaction products formed between the array and the workpiece.

BRIEF DESCRIPTION OF THE DRAWINGS

[0050] FIG. 1 is a flowchart describing a method of forming metal features using photoresistbased techniques.

[0051] FIG. 2 is a flowchart describing a method of forming deposited features according to an embodiment herein.

[0052] FIG. 3A-3D shows non-limiting apparatuses having a plurality of anodes. Provided are apparatuses having (A,C) virtual electrodes and (B,D) inert electrodes. [0053] FIG. 4 shows simulation results of non-limiting 1x1 gm deposited features produced with various micro-electrode to workpiece gaps (a gap from 0.75 pm to 3.5 pm).

[0054] FIG. 5A-5B shows non-limiting schematics of an assembly having a deposition head 501 and a flow distribution head (FDH) 502. Provide are (A) a cross-sectional view showing flow creation and concentric flow confinement between the deposition head 501 and the workpiece 509; and (B) a top view showing a deposition head 501, an FDH 502 configured to surround the deposition head, and ports 503 disposed within the FDH.

[0055] FIG. 6 shows a non-limiting structure having a redistribution layer (RDL, 1505), which can be a deposited feature according to an embodiment herein.

[0056] FIG. 7A-7D shows non-limiting embodiments of assemblies using multiple deposition heads. Provided are (A) a depositing operation that employs a plurality of independently positioning deposition heads; (B) a depositing operation on a wafer 701 that employs a plurality of deposition heads, in which a single FDH surrounds each deposition head; (C) a depositing operation on a panel 702 with a plurality of deposition heads; and (D) a close-up view of a deposition head 705 and an FDH 705 having ports 706.

[0057] FIG. 8 shows a non-limiting embodiment of an FDH having arrangements of fluidic ports.

[0058] FIG. 9A-9C shows non-limiting embodiments of sensing elements for different current flow schemes. Provided are (A) a sensing operation to determine a gap distance 902a by sensing current flow between the sensing element 903a and a conductive layer 904; (B) another sensing operation to determine a gap distance 902b by sensing current flow between the sensing element 903a and a protrusion or other feature disposed on the conductive layer 904; and (C) yet another sensing operation to determine a gap distance 902b by sensing current flow between the sensing elements 903a/b and conductive layer 904.

[0059] FIG. 10A-10B shows non-limiting embodiments of an assembly having a component of an alignment system. Provided are an alignment operation for (A) bringing the assembly to a first position on a workpiece 1007; and (B) vertically positioning the assembly by employing the mounting assembly 1005.

[0060] FIG. 11A-11B shows non-limiting embodiments of an assembly having a further component alignment system. Provided are (A) an alignment operation to align the deposition head within a gap distance 1008 to the surface of the workpiece 1007 and/or to make the proximal surface of the deposition head to have a plane that is parallel to the plane of the surface of the workpiece 1007; and (B) atop view of the deposition head 1001 having a plurality of fine actuator elements lOlOa/b/c. [0061] FIG. 12A-12B shows non-limiting embodiments of a deposition head having (A) a single anode and (B) a plurality of anode pixels. Provided are (A) an anode 1201 configured to provide a single deposited feature 1204 and (B) a plurality of anode pixels configured to an activated cluster 1202 of anode pixels to provide a single deposited feature 1205.

[0062] FIG. 13A-B show embodiments in which a deposition head interacts with a secondary anode to electroplate metal onto the deposition head and interacts with a workpiece to electroplate the metal from the deposition head onto features of the workpiece.

[0063] FIG. 13C shows an example or an anode pixel having an inert electrode and associated metal for electroplating onto a feature of a workpiece.

[0064] FIG. 14 shows a non-limiting embodiment of a system 1400 including an assembly having a deposition head 1401, FDH 1402, mounting assembly 1405, and a controller 1410.

[0065] FIG. 15 is a flowchart describing a method of forming a deposited feature according to an embodiment herein.

[0066] FIG. 16 is a flowchart describing a method of forming a deposited feature according to a two-step embodiment herein.

DETAILED DESCRIPTION

[0067] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

[0068] Systems and methods according to the present disclosure relate to an efficient, high-rate, two dimensional (2D) (or single layer) and/or three dimensional (3D) (multi-level) metal printing process with semiconductor-interconnect-scale resolution to create interconnects at lower cost, using less equipment, and with higher productivity. More particularly, the systems and methods described herein can be performed without the common steps, equipment, and material of photoresist lithography, develop, dielectric etch, clean, and/or other steps described above.

[0069] Systems and methods according to the present disclosure relate to formation of integrated circuit-scale metal interconnect wires using a direct electrochemical depositing process. In some implementations, electrochemical depositing is employed to form wafer level packaging features. The metal interconnect wires are deposited onto a substrate including a metal-seed layer by supplying a metal-cation-containing electrolyte solution in a gap between the workpiece and a deposition head. [0070] Three dimensional (3D) electroprinting (or 3DEP) is a process whereby micron-sized anodes are used to grow an electroplatable metal feature directly onto a globally metalized (or seeded) workpiece. The growing features evolve and take on a shape and size defined by the local electrode shape, the proximity of the electrode to the surface, and the various processing conditions and composition of the electrolyte. Hardware and processes herein can allow for the creation of plated interconnect features for packaging applications that eliminate the need for the multiple photolithographic processing hardware and processing steps. Applications enabled by the hardware and processes disclosed herein include depositing interconnect bumps and lines in copper, barrier metals such as nickel and cobalt, and solder balls or capping films in materials such as tin and tin-silver alloy. Other materials and deposited features are described herein. Certain hardware and processes designs may be inadequate to create conditions suitable for forming defect-free, large-scale, uniformly deposited structures on packaging feature size scales. In particular embodiments, the assemblies, apparatuses, systems, and processes herein can overcome such inadequacies.

[0071] For some applications, traditional semiconductor packaging processes use a series of photolithographic-related hardware and process steps to create a through-resist plateable surface (FIG. 1). In a typical embodiment, there are at least eight sequential operations used to create an interconnecting line or connection bump as shown in operations 101-108.

[0072] In FIG. 1, the method begins with operation 101, where a conductive seed layer is deposited on a substrate. This deposition can occur in a physical vapor deposition apparatus, an atomic layer deposition apparatus, or a chemical vapor deposition apparatus. Next, the substrate is transferred to a photoresist deposition apparatus or spincoater; and in operation 102, a layer of photoresist is formed on the seed layer. The photoresist may be formed through wet processing methods such as spin coating, or it may be formed through dry methods such as applying a roll of pre-formed photoresist material over the substrate, for example.

[0073] After the photoresist layer is formed, the substrate is transferred to a photoresist patterning apparatus or lithography tool, where the photoresist layer is patterned through exposure to particular light conditions in operation 103. In operation 104, the substrate is transferred to a photoresist (PR) developing apparatus or PR develop tool, where the pattern exposed on the substrate is developed. In one example, the photoresist is developed through a wet chemical treatment that involves exposing the substrate to a solution having a dissolution salt therein, such as a solution of potassium carbonate in water. Together, these patterning operations result in formation of recessed features in the photoresist layer. These recessed features define the spaces where metal will later be deposited. [0074] Next, the substrate is transferred to a plasma etching apparatus or descum/ashing tool; and at operation 105, a descumming process takes place to remove excess photoresist material from the bottoms of the features. The descumming process typically involves exposure to an oxy gen-containing plasma that acts to bum off excess photoresist at the bottom of the features.

[0075] The substrate is then transferred to an electroplating apparatus or plating tool; and at operation 106, metal is plated (e.g., through electroplating or electroless plating) into the features defined in the photoresist layer. The substrate is then transferred to a photoresist stripping apparatus or tool; and in operation 107, the photoresist layer is stripped from the substrate. The photoresist may be stripped through dry plasma etching techniques (e.g., by exposing the substrate to oxygen-containing plasma) or through wet techniques (e.g., by exposing the substrate to photoresist solvents to dissolve or swell the photoresist film, after which the photoresist may be removed with high flow, ultrasonic energy, or other methods). After the photoresist layer is removed, the substrate is transferred to a chemical etching apparatus or wet metal etch tool; and in operation 108, the seed layer is removed in areas that were previously protected by the photoresist layer.

[0076] In many cases, the apparatuses used to perform the processes shown in FIG. 1 are distinct apparatuses, each configured to perform a particular operation in the process flow described in FIG. 1, thus illustrating that the conventional process flow for forming metalized features such as fine line interconnects is complex, time consuming, and expensive. Many different specialized semiconductor processing apparatuses are required, and each must be appropriately configured for a particular application. The large number of steps and apparatuses involved with the conventional process flow make it difficult to make any changes or adjustments to workpiece processing techniques (including, e.g., substrate design and layout), since every process and piece of equipment must be appropriately adjusted. This makes it difficult to switch between production of one substrate type or substrate design to another. Similarly, it is difficult to run tests, make prototype substrates, etc., due to the complex process flow and large number of apparatuses involved.

[0077] In addition, each of those steps in FIG. 1 not only requires a tool but also involves the consumption of chemicals/materials; for example, the spin-coating step 102 consumes spin-on photoresist. Each of these steps adds to the total cost of production operation, typically measured as $/wafer-pass through the sequence. For a die-to-die or a die-to-substrate bump-connection, the sequence will be run once. When creating on-die or packaging substrate interconnections, the sequence can be repeated as multiple layers of interconnections are created. Such multi-die level wiring, often referred to as WFO (wafer fan out) or RDL (redistribution layer) interconnections, can include multiple dies with multiple levels of horizontal and/or vertical interconnections, such as by way of RDLs, conductive lines, pillars, solder bumps, etc. Each sequence of operations 101- 108 will have to be repeated for each layer of interconnections.

[0078] Alternatively, the methods herein can employ 3DEP hardware and processes to directly provide a deposited feature on a surface of the seed/barrier layer. The techniques described herein allow for formation of fine line interconnects, pads, and other similar metalized features, without the need for many of the processes and apparatuses described in FIG. 1. As a result, the fabrication process is significantly simplified, the number of processing apparatuses is substantially reduced, and the cost associated with processing is similarly reduced (e.g., because fewer steps are involved, and because a substantial portion of the processing cost is directly related to the capital expense of acquiring the processing apparatuses).

[0079] As seen in FIG. 2, a non-limiting method begins at operation 201, where a conductive seed layer is formed on a substrate. The seed layer may be formed through physical vapor deposition (PVD) in a PVD apparatus or tool. As is known in the art, an alternative method of forming the seed layer for subsequent electroplating can be used, such as electroless plating; in some embodiments, electroless plating starts with electroless activation (e.g., with stannic ion exposure to the substrate) followed by tin(II) to tin(IV) displacement/activation with a palladium ion-containing electrolyte, which leaves the substrate surface with palladium electrocatalyst thereon and allows for the metallization of many dielectric materials. Other seed deposition techniques can include chemical vapor deposition (CVD), atomic layer deposition (ALD), or other deposition methods of a conductive material, such as a metal or an alloy. Non-limiting materials for seed/barrier layers include copper (Cu).

[0080] The method can further include operation 202 of 3D electrochemical depositing via a solution containing desired metal ions to be provided in the deposited feature. Any useful deposition head, FDH, or assembly described herein can be employed with the solution for depositing. In some embodiments, electrolyte is provided from a source external to the deposition. For example, a conventional electrolyte supply system may provide electrolyte to the workpiece and no FDH is employed. In various embodiments, no head or other similar movable device is employed to manage electrolyte flow. After depositing the feature, the workpiece can be transferred to a chemical etching apparatus or a wet metal etch tool; and in operation 203, the substrate is chemically etched to remove seed layer in areas between the deposited features. In other words, the seed layer are removed in areas that experienced a relatively lower deposition rate during 3DEP. This etching acts to spatially isolate the metal features from one another.

[0081] The methods and apparatuses herein can be employed to provide a deposited feature that is an RDL, for example. Using an adapted process of FIG. 1, a conventional method can include steps of depositing 101 a seed and/or barrier layer within a via formed in a dielectric layer to provide an electrical connection to a pad, spin-coating 102 a photoresist on the seed/barrier layer, patterning 103 the photoresist to define a trench in proximity to the via (i. e. , define a top down 2D pattern of the RDL), developing 104 the pattern, descumming 105 to remove any residual photoresist within the trench or the via, electroplating 106 a metal within the trench and the via to form the RDL feature, stripping 107 the remaining photoresist to release the line portion of the RDL (formed in the PR trench), and etching 108 any accessible seed/barrier layer. In contrast, using the 3DEP apparatuses herein and an adapted process of FIG. 2, the method can include depositing 201 a seed and/or barrier layer within a via to provide an electrical connection to a pad, 3D electrochemical depositing 202 an RDL feature on a surface of the seed/barrier layer, and etching 203 any accessible seed/barrier layer for electrical isolation. The RDL feature contour may be defined by depositing, rather than by patterning a photoresist.

[0082] FIG. 6 provides a non-limiting deposited feature that is an RDL 605, which in turn is electrically connected to a seed layer 604; a patterned dielectric 603 having a via defined therein; and a pad 602 that is electrically connected to the substrate 601, the seed layer 604, and the RDL 605. Note that the RDL has a via portion defined by the patterning on dielectric layer 603 and a trench or line portion defined by electrodepositing (or electroprinting).

[0083] The various pieces of processing equipment may be combined in various ways. In one example, a system includes a PVD apparatus, a 3DEP apparatus, and a chemical etching apparatus, with each apparatus being distinct and separate from the others. In another embodiment, one or more of the apparatuses or tools shown in FIG. 2 may be provided in a module of a larger apparatus that performs multiple processes. For example, the PVD apparatus may be a separate apparatus, while the 3DEP apparatus and chemical etching apparatus may be provided as modules in a unified processing apparatus. In another example, the chemical etching apparatus is a separate, distinct apparatus, while the PVD apparatus and 3DEP apparatus are each provided as modules in a larger, unified processing apparatus. In yet other embodiments, one or more of the PVD apparatus and/or the electroplating apparatus may be modified to include hardware for performing 3DEP. Many configurations of the apparatuses are possible, and any such combinations are considered to be within the scope of the embodiments herein. The tools so configured can be of a linear, multi level, carousel, conveyer, cluster, or other general tool design, and the number of modules for each type of process can be substantially more than one (e.g., 10), where the mix of the number of each type of process module operating in parallel is optimized based on the tool’s productivity /output.

ANODES

[0084] 3DEP may be implemented in many ways. In some implementations, 3DEP extends a single micro-electrode plating operation to enable massively parallel processing by employing appropriately configured hardware, controls, and processes. One common aspect of the microelectrode plating process is the act of bringing a micro-size anode in proximity to a conductive (e.g., PVD metalized or electroless deposition metalized) surface of a workpiece and applying a potential difference between the anode and the workpiece (see, FIG 3A-3D). In some implementations, consumable (active metal) microanodes are not used; the anode material would need to be repetitively replenished, and if forming a high aspect ratio structure, one would need to repeat the plating onto the anodes multiple times during a single formation of a high aspect ratio feature. Thus, in particular embodiments, either a virtual remote anode or a dimensionally stable inert anode can be used.

[0085] In a virtual anode configuration (examples illustrated in FIGs. 3A and 3C), a nonconducting element (or mask) 303 has micro-size openings 304 therein. In use, the electrolyte is present within the holes and around them. An inner chamber 302A is disposed between the nonconducting mask 303 and an inner anode 302B. The inner anode 302B can be either an active (corroding metal) anode or an inert anode 302B, which is connected to a positive pole of a power supply (not shown). In use, the inner chamber also contains the electrolyte, thus providing a conductive medium surrounding the holes 304, inner anode 302B, and workpiece 301. In various embodiments, the virtual anode includes a sheet electrode that has a much larger surface than the openings in the non-conductive element and is separated from the workpiece on which electrodepositing occurs by the non-conductive element. In particular, the openings in the non- conductive element define electrical potential field lines and ionic current distributions that promote electrodepositing of features under the openings.

[0086] The mask can contain a plurality of openings or through-holes that are spatially and electrically isolated from each other and do not form interconnecting channels within the body of non-conducting (or ionically resistive) element, in many but not all implementations. Such through-holes typically extend in one dimension, often, but not necessarily, normal to the plated surface of the workpiece (in some embodiments, the non-communicating holes are at an angle with respect to the wafer which is generally parallel to the ionically resistive element front surface). In some embodiments, the through-holes are parallel to one another. These through-holes are distinct from 3-D porous networks, where the channels extend in three dimensions and form interconnecting pore structures, because the through-holes restructure both ionic current flow and (in certain cases) fluid flow parallel to the surface therein, and straighten the path of both current and fluid flow towards the workpiece surface. In some embodiments, the non-conducting mask includes a ceramic material (e.g., aluminum oxide, stannic oxide, titanium oxide, or mixtures of metal oxides) or a plastic material (e.g., polyethylene, polypropylene, polyvinylidene difluoride (PVDF), polytetrafluoroethylene, polysulphone, polyvinyl chloride (PVC), polycarbonate, and the like).

[0087] Below the mask 303 and above the workpiece 301 is a small gap 307 between the virtual anode hole opening 305 and the metalized workpiece 301. The gap can be characterized by an aspect ratio from about 0.5:1 to 1:0.1 of an anode dimension (e.g., an opening or electrode dimension, such as width, diameter, or other geometric parameter described herein) to a gap distance between the anode and the surface of the workpiece. In some embodiments, the aspect ratio is about 1:1 or less. In certain embodiments, the anode dimension is the largest cross- sectional dimension on a face or surface of the electrode facing the workpiece. When the distance from the mask’s proximal surface to the workpiece is small, divergence of both current flow and fluid flow is locally restricted, imparted and aligned with the openings.

[0088] During operation, the metalized workpiece is connected to the negative pole of a power supply (note shown). An electrolyte containing metal ions is placed within this gap from which metal ions are reduced to plate/deposit/print metal on the workpiece. Within each of the openings of holes, the electric field is confined and collimated, and current emerges from the hole opening in a manner similar to if there were an active anode located there (hence the term virtual anode).

[0089] In a dimensionally stable anode configuration (examples in FIGs. 3B and 3D), the substrate 318 contains associated wiring and circuity to connect to, address (select), and power each of a multitude of inert anodes 319 located thereupon (wiring not shown). The surface of the anodes may be coated with dimensionally stable materials as known and used in the art for macroscale inert anodes (e.g., materials which are catalytic for the water electrolysis oxidation reaction and do not undergo corrosion). In the gap 317 is a metal ion containing electrolyte from which metal ions are converted to plated metal by reduction at the surface of the workpiece 311.

[0090] Inert anodes can be made of a corrosion resistant inert material. An inert anode can electrochemically oxidize an element of an electrolyte (e.g. water) while not being substantially oxidized/corroded itself. Inert type anodes can be exposed to the electrolyte solution and can be made of a dimensionally and oxidatively chemically-stable material. For example, the inert type electrodes can be made of one or more noble metals whose oxidation potential is positive relative to the oxidation potential of water (1.23V vs. NHE) and other metals which can form a stable oxidation film and thereby can oxidize water without themselves being significantly corroded. For example, the anodes can be made of gold, platinum, palladium, ruthenium, rhodium, niobium, vanadium, and alloys of these materials. Carbon (including various amorphous and graphitic forms) may also be used for the inert anodes if the composition of the electrolyte solution does not lead to substantial oxidation. [0091] A dimensionally stable inert anode may provide a predictable and constant distance between the anode surface and the surface of the workpiece over time. However, using inert anodes leads to the depletion of the supply of metal ions in the electrolyte during deposition.

[0092] The two half reactions that occur can combine to create the overall reaction in the system and can be as follows:

Workpiece/Substrate/Cathode Reduction: M +z + ze' — ► M (1);

Micro-Inert Anode Oxidation: z/2 * [2H2O —> 02 + 2H + + 2e" ] (2); and

Overall reaction: M +z + z H2O- M + z/2 O2 + z H + (3), where M +z is a dissolved metal ion (e.g. copper, nickel, tin, silver, etc.) that has an oxidation state of z (e.g., z = +2 for a cupric ion). Without replenishment, the ions of the metal are depleted in the small gap as half reaction (1) progresses and metal is deposited. A larger gap would allow for more metal to be deposited. However, the small gap is maintained so that each separate anode writes only in the area directly opposite its position. With a larger gap than about 1 : 1 (gap distance/anode size), the electric field spreads out from the element, and the plating area is large and can overlap the plating of adjacent anodes.

[0093] Such anodes can be provided within an array, thereby providing an array of anode pixels. As an aside, in some embodiments the term anode pixel refers to a structure that includes an inert electrode that serves as an anode during electroplating on a workpiece but serves as a cathode when metal is electroplated from a secondary anode onto the inert electrode. See the discussion of two-step processes described elsewhere herein.

[0094] A single pixel may define an entire region of a deposited feature, or a collection of pixels may define a region of a deposited feature. In one embodiment, a single deposition region comprises multiple pixels that collectively define the shape and size of a single deposition region. In some embodiments, each pixel of an array is randomly activatable to define different deposition patterns based on the collection of pixels that are activated at a given time.

[0095] Each anode or anode pixel can include an electrode, such as a virtual electrode, an active electrode, or an inert electrode. Furthermore, each electrode can have a micro-sized dimension (e.g., having a dimension from about 1 to 1000 pm or about 10 pm or less). Such dimensions can include a radius, diameter, circumference, width, length, height, slant height, major axis, minor axis, perimeter, a distance between two opposing vertices of a polygon, a gap distance between electrodes, a center-to-center distance between electrodes, or other cross-sectional geometric parameter. [0096] In one instance, the electrode is a micro-electrode. The electrode itself can be any useful geometry, such as a cone, cylinder, disc, tube, rectangular prism, annular cylinder, hemisphere, sphere, triangular prism, etc. The cross-section of the electrode, e.g., the cross-sectional surface of the electrode that is closest to the surface of the workpiece, can have any useful geometry, such as a circle, ellipse, square, rectangle, triangle, etc. Each anode pixel within an array can have any useful arrangement, such as periodic, staggered, or random arrangements. Furthermore, arrays can be comprised of any of many different electrode arrangements, e.g., a line of electrodes or a two- dimensional arrangement that may define a rectangle, a circle, etc.

[0097] For example, as seen in FIG. 3C, the cross-section of the electrode presented to the workpiece represents a circle, and a dimension for the electrode can include a radius 305a or a diameter 305b of the circular opening. In another example, as seen in FIG. 3D, the cross-section of the electrode presented to the workpiece represents a rectangle, and the dimension for the electrode can include a width 319a and a length 319b of the inert electrode 319. Other dimensions can include a gap distance 305c/319c between two electrodes and a center-to-center distance 305d/319d between two electrodes.

[0098] The electrode, including the micro-electrode, can be provided with or as a substrate. In one instance, the electrode can be a protruding, conductive structure extending from a surface of an insulating substrate. In another instance, the electrode can be a planar electrode, in which a conductive surface is coplanar with a surrounding insulating substrate. In yet another instance, the electrode can be a recessed electrode, in which a conductive surface is recessed from an opening provided within a surrounding insulating substrate. Upon activating an anode, an electric field is established between the conductive structures/surfaces of the anode and the grounded workpiece. [0099] In some instances, the electrode is a virtual electrode, in which an insulating substrate having one or more openings is placed between an inert cathode and the workpiece. By applying an electrical current or voltage between the inert cathode and a grounded workpiece, an electric field is defined by the openings within the insulating surface.

[0100] In both the virtual and inert anode cases, the 3DEP apparatus may be configured to have a very small gap between the anode and the surface. Otherwise, the electrical fields and current emanating from the sources or virtual source locations can merge together into a smeared, out of focus current distribution pattern, in turn leading to a smeared plated thickness distribution. Hence, the system and process may employ a controlled degree of proximity focus. FIG. 4 shows a series of electric field and current distribution computer modeling results for a 1 pm anode source at increasing gap sizes. Gaps larger than about a ratio of about 1:1 of the anode width to the gap have reduced resolution and are out of focus. Thus, in certain embodiments, the apparatuses, systems, and methods herein include a gap distance that is characterized by a ratio from about 0.5: 1 to 1:0.1 of an anode dimension (e.g., an opening or electrode dimension, such as width, diameter, or other geometric parameter described herein) to the gap distance between the anode and the surface of the workpiece. In certain embodiments, the anode dimension (e.g., anode width) is the largest cross-sectional dimension on a face or surface of the electrode facing the workpiece. Depending on the anode shape, it may be a diameter or a distance between two opposing vertices of a polygon.

[0101] Upon activating one or more anodes or anode pixels (in the case of an array-type deposition head), a deposited feature can be deposited on the surface of the seed layer. The deposition occurs on the workpiece by applying a negative (cathodic) potential to the seed layer relative to a positive potential applied to an anode or one or more anode pixels of the deposition head. Generally, electrodeposition of metal from metal ions in an electrolyte solution onto a metalized seeded workpiece requires the workpiece to be at a potential below a reduction potential of the metal ions in the solution. For example, to plate pure copper onto a copper seeded layer, the electrolyte solution should contain copper (and not any other metals with a more positive reduction potential), and the potential of the metal film is made more negative/cathodic than the copper reduction potential. This is accomplished by applying a potential difference between the seed layer and selected anodes (and the electrolyte solution). The controller can supply control signals to the control devices of the deposition head and selected anodes and/or ones of the anode pixels (assuming the deposition head has more than one anode) to activate them.

[0102] Still taking copper as an example, the rate of deposition of copper onto the seed layer depends on how negative of a reduction potential is applied and exists at various points across the surface of the seed layer. In other words, a more negative potential generally corresponds to faster charge transfer rates or high surface reaction rates to reduce cupric ion to copper ion. The deposition rate also depends on the mass transfer resistance of the copper ions to reach the surface of the seed layer, which can be reduced with flow intensity, as well as the temperature of the solution.

[0103] The shape and dimension of the deposited feature will be defined by the local electrode shape, arrangement of the electrodes within the anode, proximity of the electrode to the surface, time and magnitude of electrical current or voltage supplied to a particular position, various processing conditions related to the electrolyte, and other factors. In some examples, the shape of the anode is projected onto the seed layer in the form of metal interconnects.

[0104] For instance, factors that influence the magnitude and pattern of electrical field lines can affect size and shape of the deposited features, in which factors can include the shape of the electrode. The proximity of the electrode to the workpiece can affect the resolution of the deposited feature. For example, an anode and the seed layer of the workpiece can be positioned closely together so the electric field generated by the anode(s) doesn’t have the space to spread or diffuse, and therefore is focused (proximity focused) and writes with high selectively in regions immediately adjacent to the anodes that are activated.

[0105] Factors related to the electrolyte can include, e.g., temperature, electrolyte flow rate, electrolyte composition, pH, etc. In one instance, the degree of electrolyte convection can affect the degree to which certain metals are incorporated into the electrodeposited material. For instance, certain metals can be incorporated in electrodeposited material (e.g., copper-silver or tinsilver alloys) more easily where there is a relatively high degree of convection. In other cases, different flow patterns may be used to provide hydrodynamic conditions that are tailored based on the shape of the feature at any given time during electroplating. For instance, one flow pattern or set of flow patterns may be used when the feature has a high aspect ratio, and another flow pattern or set of flow patterns may be used when the feature is more filled, and thus has a lower aspect ratio. In some embodiments, the flow patterns may be chosen to achieve a relatively uniform composition (e.g., degree of silver (or other metal)) in the deposited material over the course of deposition (e.g., such that material deposited deep into the feature has a composition that is uniform with later-deposited material that is shallower in the feature).

[0106] Deposited features can include one or more conductive materials. Non-limiting materials for deposited features can include copper (Cu), nickel (Ni), cobalt (Co), iron (Fe), tin (Sn), silver (Ag), gold (Au), zinc (Zn), cadmium (Cd), chromium (Cr), vanadium (V), palladium (Pd), platinum (Pt), rhodium (Rh), ruthenium (Ru), iridium (Ir), indium (In), thallium (Tl), bismuth, antimony (Sb), lead (Pb), as well as combinations or alloys thereof, such as copper/cobalt, copper/nickel, copper/iron/nickel, copper/tin/silver, nickel/gold, nickel/cobalt/iron, tin/lead, tin/indium, tin/silver, or tin/bismuth. Such deposited features can include any useful metallization features, such as interconnect bumps, interconnects, conductive lines, wires, redistribution lines (RDLs), filling of through silicon vias (TSVs), 2-in-l vias, barrier metals, capping films, underbump metallization (UBM), pillars (e.g., with or without capping layers), megapillars, micropillars, caps, leaded or lead-free controlled collapse chip connection (C4) bumps, microbumps, solder bumps, or solder balls. In some embodiment, the deposited feature can be characterized by an aspect ratio of typically about 1 : 1 (height to width) or lower, though they can range as high as perhaps about 2: 1 or so, while TSV structures can have very high aspect ratios (e.g., in the neighborhood of about 20: 1). In other embodiments, the deposited feature can have a dimension greater than about 2 pm and are typically about 5-200 pm in a principal dimension. In yet other embodiments, the deposited feature can have a cross-sectional dimension from about 0.5 to 100 pm. [0107] The deposited feature can be any useful for wafer level packaging (WLP) and through silicon via (TSV) electrical connection technology. For instance, deposited features can include a variety of packaging interconnects with features of various sizes, including copper wires, RDLs, and pillars of different sizes, including micro-pillars, standard pillars and integrated high density fanout (HDFO) and megapillars. The feature widths can range widely, with the methods being particularly useful for larger features, such as for features with widths from about 1-300 pm, such as from 5 pm (RDL) to about 200 pm (megapillars). For example, the methods can be used during fabrication of a workpiece with a plurality of micropillars having widths of about 20 pm, or a workpiece with a plurality of megapillars having widths of about 200 pm. The aspect ratios of features can vary, and in some embodiments are from about 1:2 (height to width) to 2: 1 , and higher. [0108] In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.

[0109] Further, the terms “electrolyte,” “plating bath,” “bath,” and “plating solution” are used interchangeably. The electrolyte includes an ionically conductive liquid, such as an aqueous liquid. It includes at least one metal ion that is plated from the electrolyte onto the workpiece to form the deposited feature. The electrolyte may include other components such as a pH buffer, a conductivity enhancing component such as an acid, a metal ion complexing agent, one or more organic electroplating additives (e.g., accelerator, suppressor, and/or leveler), and combinations of any of these. In particular embodiments, the electrolyte includes any metal herein. In some embodiments, the metal includes those that are easily amenable to electrochemical dissolution, such as Cu, Ni, Co, Sn, and alloys that include these metals. The electrolyte solution can include a metal salt, for example, it may include copper sulfate aqueous solution. It may further include an acid to increase the solutions conductivity and improve the solutions throwing power (e.g. sulfuric acid), as well as one or more plating additives of different additive classes (e.g. plating accelerators, suppressor, levelers, grain refiners etc.). Other electrolyte solutions can also be used as known in the art.

[0110] The description herein assumes the embodiments are implemented on any useful workpiece. The workpiece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices, and the like. [0111] In some embodiments, the workpiece includes one or more underlying layers, a barrier/adhesion layer arranged on the one or more underlying layers, and a metal seed layer arranged on the barrier/adhesion layer. In some examples, the metal seed layer includes 1000A copper (Cu) deposited on the barrier/adhesion layer both deposited by using a PVD deposition tool. In some examples, the barrier/adhesion layer includes 100A tantalum (Ta) deposited using PVD. The one or more layers may include a silicon wafer, glass substrate, organic substrate, etc.

ASSEMBLIES AND COMPONENTS THEREOF

[0112] In some embodiments, an economically useful 3DEP process requires the simultaneous plating of multiple independent features (e.g., tens of thousands or more individual features) over a substantial area. For each of those features to yield a desired structure (e.g., the same structure for each feature), they need to be produced under substantially the same conditions. Variable conditions for plating include different gaps and different electrolyte conditions (e.g., metal ion concentrations). For example, to begin depositing a surface with 50 pm wide features on a 100 pm pitch over just a 5 x 5 cm area (about 1/30 the area of a 300 mm wafer), each of the 250,000 features may have a uniform gap of at most about 50 pm and an electrolyte whose concentration is sufficiently high to support high rate deposition. Assuming a gap tolerance of 10% or less, the coplanarity run out must be less than +/- 5 pm over 5 cm, or less than +/- 0.01%. Also, assuming a high metal content electrolyte (e.g., copper at 80 g/L cupric ion), calculations show that all the metal in the gap would be consumed to create a pillar/bump that is only about 2.5 pm high. Thus, also described herein are hardware and controllers for flowing and replenishing electrolyte under the gap to maintain continuous plating operations without moving the workpiece and anode apart, which can be slow and inefficient and requires coming back to the same gap and lateral position precisely over and over. This disclosure describes hardware and processes that enable high speed, coplanar gap finding/formation to both start growing and continue to grow a feature, such that the workpiece to anode gap is controlled throughout the process and the electrolyte in the gap is efficiently replenished.

[0113] One process that may be used for depositing from a microanode or an anode array is to first bring deposition head into direct contact with the workpiece. Contact may be detected by simply looking at the gap with a microscope, by monitoring the motor power or torque of a z motion control device, or by having a load cell, such as a force transducer, as part of the apparatus. A potential difficulty with this approach is the damage to the workpiece, in which the collision between the two surfaces causes on the to-be-plated surface of the workpiece. Scratches and missing metal can lead to defective plating and yield loss. Then, the process continues by moving the surfaces apart by backing of the position mechanism for a target amount to form a gap. The problem with this approach is that the first step applies a generally unknown amount of compression to the assembly, which leads to an unknown, variable amount of required backwards movement. Furthermore, if the two surfaces are not completely coplanar before they are brought into contact, different parts of the surface will be compressed more than others and, after the back off, will either retain the initial non-coplanarity or be altered due to the variable compressive stress introduced in the gap-zeroing step. Finally, the process continues after backing off to a particular target gap and plating begins. To replenish metal ions, the gap is periodically made very large (e.g., several millimeters) to draw in new fluid from around the anode array. This intermittent plating/gap widening process is repeated tens or hundreds of times to produce the desired plating height.

[0114] Described herein is an assembly, which includes a deposition head and a flow distribution head (FDH). The FDH can include hardware configured to create flow of an electrolyte to the gap between the deposition head and the workpiece, as well as to replace fluid flow and convection within that gap. In various embodiments, the FDH is optional or not used.

[0115] In particular, the deposition head includes one or more microanodes, which may be dimensionally stable anodes and/or virtual anodes. In some cases, the deposition head includes an array of anode pixels. In either case, the one or more anodes may be disposed on a proximal surface of the deposition head, in which the proximal surface faces the workpiece. The deposition head can also include various connections to the anode or anode pixels or inner anodes by wiring and controlling electronics (e.g., transistors/switches with terminal connections for control by an outside power source and a controller). For instance, the deposition head can include a substrate having an interconnect layer, an array of control devices, and an array of anode pixels. In some examples, the control devices include switches such as one or more transistors, a fuse and/or other control devices. In other examples, the deposition head substrate is configured once and then reused. In yet other examples, the control devices include switches/transistors that are configured and reconfigured depending on the desired patterns to be produced by the anodes. The interconnect layer can provide routing and wiring connections including conductors, traces, vias, etc. from a controller, power supplies and/or other devices located external to the deposition head substrate.

[0116] The assembly can include one or more controllers. For instance, the controller can include one or more connections to the deposition head, the anode pixel(s), inner anode(s), and/or the controlling electronics by way of wiring connections. The controller can be used to control electronic switching, apply a current or voltage to the anode pixel(s) or inner anode(s), and/or modulate a current and voltage of one or more anode pixels. In another instance, the controller can include one or more connections to the FDH or to a fluidic element in fluidic communication with the FDH. Such fluidic elements can include a fluidic valve, a fluidic pump, a fluid meter, or other fluidic sensor. In yet another instance, the controller can include one or more connections to other systems described herein, such as the gap measurement system or the alignment system. [0117] The assembly can include a gap measurement system. Such a system can include hardware (e.g., one or more sensing elements) capable of measuring a gap distance between the deposition head surface and the anode pixel element relative to the workpiece. Further details for such systems are described herein.

[0118] The assembly can include an alignment system. Such a system can include hardware (e.g., one or more actuators) capable of moving and positioning the assembly for alignment with the workpiece surface. Such alignment can include not only x-, y-, and/or z-positioning of the deposition head to the workpiece but can also include making a surface of the deposition head or the array to be coplanar with a surface of the workpiece. Further details for such systems are described herein.

[0119] In some embodiments, the gap measurement system and the alignment system employs sensing elements, actuators (e.g., mechanical actuators and/or piezo actuators), as well as feedback between the sensing elements and controllers to the actuators to achieve alignment and/or coplanarity.

[0120] In particular embodiments, use of the deposition head with the FDH, gap measurement system, and alignment system can allow for plating semiconductor-scale interconnects over a large area of a device at high speeds, including packaging copper pillars (with or without capping layers), redistribution wiring, high density fan-out (HDFO) “around die” high aspect ratio interconnects sometimes referred to as megapillars (typically 200 pm tall), C4 solder bumps, etc. [0121] The optional FDH can be employed to replenish fluid flow of the electrolyte, thereby avoiding depletion of metal ions, and to remove anodic reaction products (including oxygen) as required to avoid bubble formation or other deleterious effects. In particular, the FDH allows for fluid to be introduced and removed from an area surrounding the deposition head. As seen in FIG. 5 A, the FDH 502, in some embodiments, is attached to the deposition head 501 itself, which can be a) permanently bound or b) attached in a fashion that allows the FDH to be removed and reattached (e.g. using screws or other mounting hardware) or c) attached such that the FDH can move towards or away from the deposition head in a manner and using its own separate positioning controlling hardware. This combination may be referred to as the deposition head assembly (DHA) 500.

[0122] The FDH can have a plurality of ports, which in use can serve as an inlet or an outlet. In FIG. 5A-5B, the FDH includes one or more inlet port(s) (503) and outlet port(s) (504) located in the area outside of the deposition head 501. Such ports are used to introduce electrolyte into a first gap 506 (between the workpiece surface 509 and the FDH 502) and into a second gap 507 (between the workpiece surface 509 and deposition head 501), as well as used to create a flow that removes reaction products and replenishes reactants in the deposition head gap.

[0123] Generally speaking, the FDH gap 506 will be equal to or larger than the deposition head gap 507, in part because it may be above an area on the workpiece that has been deposited already, and the deposited features left there may be larger than the desired starting gap for the subsequent plating operation. As mentioned before, it may be generally necessary to start the process at a gap size equal to or less than the smallest in plane dimension of the to-be-plated or deposited feature. For example, this could be the width of the target pillar feature, in one instance, could be less than 25 pm for a feature of width 25 pm. For a feature with more than one critical dimension or a long line of changing dimensions, the gap could be less than the smallest lateral length. For instance, a feature that is 200 pm long and 10 pm wide could have the gap at less than or equal to 10 pm. [0124] In yet another example, if plating structures on a workpiece surface that are 100 pm tall and 25 pm wide, then the starting gap for the deposition head gap 507 should be 25 pm or less. However, if the region that would fall under the FDH gap 506 has already been plated with features of 100 pm by this or another deposition assembly, then the FDH gap 506 should be greater than the 100 pm feature size to avoid damage to already-deposited features. In an embodiment where the two gap settings can be set independently through independent automated actuations, different FDH gaps can be used on the same substrate for previously processed areas versus virgin (undeposited) areas. Fluid is introduced into the gaps by inlet 503 and impacts the substrate below. The fluid can then follow one of three paths: 1) moving to and entering the deposition head gap 507 below the deposition head 501 and out into the FDH gap near the outlet 504 at an opposing side of the FDH, 2) generally around the deposition head in the FDH gap area, and 3) passing through the FDH gap 506 into the open space 508 around the FDH and back into the FDH gap at the opposite side of the deposition head and flow distribution heads. One or more inlets and outlets can be used simultaneously in any combination and relative position, useful in creating a more uniform flow under the deposition head gap, as well changing over time the number, location, and direction of flow among the various inlets and outlets (each inlet can be used as an outlet by changing the valving external to the DHA, not shown). One such use is provided in FIG. 8, which is described later.

[0125] FIG. 7A-7D shows hardware and processing variants for creating a deposited structure onto a workpiece. The workpiece may have any useful material and/or geometry. Non-limiting workpieces can include a silicon semiconductor wafer or a panel. The workpiece can include a layer of silicon oxide thereon and/or a conductive seed layer. The conductive seed layer is typically metallic, and often includes copper, tantalum, nickel, or a mixture thereof. Other metals may also be used in some cases. The seed layer may have a thickness between about 50-2000A. After depositing and before etching, the preferentially plated features may have a thickness between about 0.25-250 pm. Chemical etching may be used after depositing to etch away unwanted seed layer between the deposited features. After etching, the deposited features are spatially isolated from one another. The isolated features may have a height of between about 0.20-200 pm.

[0126] Turning to FIG. 7A, a workpiece 701 (e.g., a circular wafer) may be plated using one of more deposition head assemblies, in which each DHA is independently moveable in all three dimensions. These include motion in a plane parallel to the plane of the workpiece (x- and y- directions) for locating a region under the deposition head to perform the deposition operation, and in and out of the plane of the workpiece (z-direction) for controlling the gap between the various anode pixels and the workpiece. The deposition head assemblies (DHAs) 703 can move over the workpiece and process a region of the surface, while a different DHA is processing a different region simultaneously, reducing the time for completing the deposition on the entire workpiece. Each of the DHAs 703 or deposition heads 705 can move independently in three dimensions.

[0127] In other embodiments, the DHA is a deposition bar (e.g., a printer bar) having a single FDH surrounding a plurality of deposition heads. The single FDH can be a single large frame with a plurality of local flow distribution regions and an associated plurality of deposition heads, microanodes, or anode arrays, each surrounded by the inlets and outlets of its associated local flow distribution ports. In some embodiments, the deposition head can include a single electrode or an array of electrodes. In particular embodiments, the deposition bar can be employed to deposit on a workpiece that is a circular wafer or a panel.

[0128] FIG. 7B-7C shows a workpiece that is a wafer 701 or a panel substrate 702 being plated using a multiple deposition head bar 704, which is an example of a deposition head assembly. The deposition head assembly 704 can include a single large structure with a plurality of local flow distribution regions 706 and an associated plurality of microanodes or anode arrays 705, each surrounded by the inlets and outlets of its associated local flow distribution ports.

[0129] In the depicted embodiments, the deposition head assembly 704 (the deposition bar) comprises multiple deposition heads 705 and a single bar that provides the function of a single large FDH. Each deposition head 705 may be a dimensionally stable anode, a virtual anode, or an array of anode pixels. In one embodiment, the relative position of the multiple deposition heads 705 that make up the bar 704 are arranged in the plane of the frame and are fixed to the bar and each other. However, each deposition head can include an independent mechanism for positioning in and out of the plane of the workpiece surface and relative to the frame. The use of multiple smaller deposition heads that can move together in a plane but can control their deposition head gaps independently and be operated in parallel on a workpiece, in general, allow for a more local and precise control of the gap between the anode array elements and the workpiece surface over a larger distance, while operating in a relatively simple organized linear processing (bottom to top) fashion. Creating and maintaining less than a few micron of non-planarity run out on the substrate or deposition head alone is difficult, and achieving long range fixed gap size and coplanarity over an entire 300 mm wafer or a much larger panel, can be extremely difficult. So, breaking the processing space into smaller processing area groups, each with its own mechanical control to achieve fine resolution coplanarity over a single deposition head, can be useful. The bar can be scanned in a continuous or stepwise fashion from the bottom to the top of the substrate depending on the desired film-build-up mode of operation.

[0130] In the bar embodiment of FIG. 7B-7C and around each deposition head 705 of the bar, the DHA 704 may be surrounded by a set of fluid ports (inlets and outlets) to create flow under the gap between the workpiece and each individual deposition head 705 of the DHA 704. See FIG. 7D for detail. The bar DHA includes a repetitive fluid flow structure 706 with inlets and outlets serving and surrounding each of the deposition head 705. In one embodiment, the process of changing inlet flow channels and outlet flow channels (so as to change the direction of flow across the gap) is repeated simultaneously for all active deposition heads. For example, all inlet channels 706 that are at a 12 o’clock position (relative to the deposition head 705) in FIG. 7D may be configured and operated to have flow at the same time. They may further be configured to have the same inlet flow rate. One or more inlet channels may be operated simultaneously around the various arrays of the bar. Similarly, one or more outlet channels in the same position may be operated at the same time and flow rates. In cases of configurational symmetry (in FIG. 7D, the inlets/outlets have two-fold symmetry at 90 degree intervals), elements with the same symmetric position may be operated at the same time.

[0131] Referring to FIG. 8, the inlet/outlet ports can be organized into three sets of equivalent symmetrical array inlet/outlet structures 801-804. Each of the deposition heads within the FDH could have a first array 801 of ports operating as inlets for time period of “A” seconds, with fluid flowing out of a second array 802 of ports. Then, the inlet and outlet could switch to the third array 803 of ports and the second array 802 of ports, respectively, for another time period A; followed by inlet and outlet switched to a fourth array 804 of ports and the first array 801, respectively; and finally inlet and outlet switched to the second array 802 and the third array 803, respectively. In this way, systematic fluid flow can be attained for the entire array of deposition heads within the single frame of the FDH. The length of period A in this case should be sufficiently short that at the end of the cycle through all used inlet/outlet flow configurations (e.g., four times A seconds), the growth of the features during this period may less than about 10%, 5%, 3%, 1%, or less of the desired total feature size, dimension, or height.

[0132] Lastly, each of the flow inlets and outlets do not have to be operated at the same directional fashion at any time, but do, over the entire plating process (e.g., four times the A period time), need to have experienced substantially the same time variable flow direction profiles in the gap between the deposition head and the workpiece. So, in some embodiments, the period of the cycle for flow structures associated with each deposition head should be the same but can be out of phase between the flow distribution heads (or flow distribution regions in the bar embodiment). In the example of FIG. 8, each of several deposition heads could be flowing from 801-804 at any one time but would have a same duration in a flow direction and cycle through the entire set of combinations at the same period. To emphasize, ideally the flow under the gap and deposition head will experience flow uniformly from all directions and of the same intensity over a period of time wherein the plated features have not changed in height substantially, for example over a period where the features change their height less than about 10%, 5%, 3%, 1%, or less. The source of the various flow channels’ inlets and outlet in an array of deposition heads, used to control the flow rate and create the variable flow directions in time (with for a set of multiple independent moving head or multiple heads fixed to a single bar), can come from the a relatively small set of time varying flow source and feed a much large set of inlets and outlets.

[0133] The DHA can include a gap measurement system 900 including a sensing element (e.g., a sensing electrode or a microswitch). An example is depicted in FIG. 9A-9C, in which one or more micro-electrode sensing elements are used to create one or more signals useful in determining a local distance between the deposition head and the workpiece (gaps 902a/902b). The deposition head 901 can include a sensing element 903a and a current carrying line 906a that operates in conjunction with the metalized workpiece 904 and the surface 904a of the workpiece. The deposition head 901 can also include a powering and sensing circuit. An electrolyte fills the gap between the sensing element 903a and the surface 904a. In such embodiments, the impedance of the region between sensing element 903a and the underlying portion of workpiece 904 (the gap) is measured, which, based on the resistivity of the electrolyte, allows determination of the gap distance 902a. In some embodiments, there is both a current carrying lead 906a to the surface of the sensing element 903a and a second parallel non-current carrying lead 906b used to sense the voltage near the sensing element 903a. Sensing the voltage response with a non-current carrying lead 906b eliminates the voltage drop in the current lead (which may be significant and equal to or greater than the resistance across the gap 902a), making measurement of the gap otherwise more difficult. For example, it can avoid having to include the contribution of the ohmic voltage drop across any switching transistor (if present, for example if this element is also used to also electroplate a feature), and any line resistance variability in the manufacturing of the power lead 906b resistance, making the determination of the gap signal more complicated or even impossible to deconvolute. Alternatively, the monitoring circuit uses a single lead 906a to carry the current, but a sensing lead connected to the current carrying line after the switching transistor.

[0134] An input signal wave to the one or more sensing elements can be used to determine a local gap. The input may be a voltage controlled or current controlled input, with the corresponding circuit response electrical signal (current for voltage-input or vice versa) being monitored and analyzed. In some embodiments, an alternating or pulsing electrical input wave or train is used and the response is analyzed. In another embodiment, a white noise is input, and a Fourier transform of the input signal and response are analyzed.

[0135] In some embodiments, the sensing current flows from one or more sensing elements 903a across the gap 902a or 902b and through the workpiece surface 904a. In other embodiments (as in FIG. 9B), the sensing current flows through the sensing element 903a and both a bump or protrusion 904b on the surface (optionally created/grown by an electrode previously operating in a feature growth mode) and the general workpiece 904 below. In other embodiments (as in FIG. 9C), the sensing current flows between two or more sensing elements 903 a/b through the electrolyte and to a lesser extent into, across and out of the surface 904a of the metalized workpiece 904 in a general direction parallel to the deposition head and the workpiece to provide a gap 902a/c between the sensing element 903a/c and the surface 904a of the workpiece 904. In the first case (single electrode with signal through the substrate), the major resistance to current flow in the gap in the electrolyte is associated with the specific resistivity of the electrolyte, the cross-sectional area of the sensing element, and the sensing element to surface gap 902a (FIG. 9A). The capacitance of the arrangement is related to the dielectric constant of the electrolyte, and the size of the electrodes and the gap between the electrode and the workpiece and/or the gap between the electrode sensing pair. If the electrode is also used in a separate operation to grow a feature, then these methods can be sensitive to the presence of the feature 904b and the gap 902b and to a lesser extent the gap 902a of the workpiece 904. Generally, the resistance of an electrolyte in a gap is approximately proportional to the concentration of dissolved ionic species (ionic strength) of the electrolyte, but the capacitance of the system gap and feature configuration is related to the underlying dielectric constant of the solvent (e.g., water). By analyzing the in-phase (resistive or real component of resistance) and out-of-phase (capacitive/imaginary component of resistance) components of the response to the input electrical perturbation wave, one can generally get enough information to determine both the gap 902b between a protruding feature 904b and the sensing element 903a and the gap 902a to the workpiece 904. [0136] The size (amplitude) of the sense wave’s voltage or current perturbations may be small, for example with an input voltage wave of a few to a few tens of millivolts, such as about 10 mV or less or an appropriately sized current wave that leads to a few tens of millivolts response. For example, relatively small current perturbations drive small voltage differences between the inert anode surface and the substrate or feature on the substrate. By keeping the voltage small relative to the potentials needed to drive plating on either the anode array electrode or the substrate, only the accumulation of polarized charge at each of the surfaces in the form of an electrical double layer occurs. This avoids unwanted charge transfer reactions (e.g., plating or corrosion of the metal) and modifying the substrate or sensing electrode with plated metal or corrosion of metal. Because the gap between the electrode pixel and the surface, and therefore the electrolyte resistance, is so small relative to the interfacial charge transfer resistance, the fundamental time constant of the equivalent circuit is very small/short and requires very high frequency to exceed this time constant and measure the gap resistance. Therefore, a high frequency input wave is typically used, such as a wave in the medium to high radio frequency ranges is common. For example frequency of about lOOkH to 10MHz, or about 1 Mhz to 5 MHz, or about 2 MHz to 5MHz are preferred.

[0137] In order to enable automated placement and control of a small (e.g., less than about 100 or 50 pm) size of the gap between the workpiece and the deposition head to be the same across an entire large deposition head (e.g., as determined by coplanarity, for example, over an area of a 50 mm x 50 mm), one needs precise positioning hardware and processes to work in tandem with above mentioned gap sensing apparatus. For a DHA that contains one or more small anodes or inert anode arrays (as deposition heads), a coarse positioning actuator, such as a linear screw-based actuator or a stepper driver, can be used for moving the DHA away from the surface a sufficient distance to allow for insertion and removal of a workpiece, such as a wafer or panel. Such a DHA may also include one or more fine positioning actuators, such as a piezoelectric actuator or a high- precision linear screw-based actuator. Accordingly, the DHA may be used in conjunction with an alignment system that includes both coarse actuator(s) and fine actuator elements. In some embodiments, one or more fine positioning actuators has an accuracy of at least about 5 pm or at least about 1 pm.

[0138] Referring to FIG. 10A-10B and FIG. 11 A-l IB, elements of a DHA 1000 with a vertical positioning and coplanarity control capability are shown without certain internal component details for simplicity and clarity. The DHA 1000 includes an array 1001a of anode pixels (e.g., an inert anode array) disposed on a proximal surface of the deposition head 1001 facing the workpiece 1007; one or more gap sensing elements (not specifically shown) on the proximal surface of the deposition head 1001 or as part of the deposition head 1001 (electrical connections to a power control system not shown) with an inert anode array 1001a at its surface; a peripheral FDH 1002 (details of fluid connections to a flow control system not shown); a mounting assembly 1005 having a longer travel distance vertical position actuator 1003, including a positioning screw 1004 with a motor and gear 1006; and wherein the mounting assembly 1005 is further attached to a lift base plate 1009 that can be moved up and down. The lift base plate 1009, in turn, can be attached to both the FDH 1002 and three or more fine (e.g., less than about 1 pm) resolution actuator elements lOlOa/b/c. The actuator elements, in turn, are attached to the deposition head 1001 allowing it to be moved up and down and also enabling changes in the spatial plane of the front surface of the anode array 1001a relative to the workpiece and the rest of the DHA by varying each of lOlOa/b/c independently. The vertical position actuator 1003 is used to move the entire DHA (deposition head 1001, FDH 1002, and other parts) towards or away from workpiece 1007, thereby allowing a relatively coarse adjustment to the size of the gap 1008a between the deposition head 1001 and workpiece 1007. Again, the deposition head 1001 is attached to the lift base plate 1009 only through the fine actuators lOlOa/b/c, allowing the movement of the deposition head 1001 to be independent of the rest of the DHA and the normal of its average plane. In a preferred embodiment, the fine positioning actuator may be piezo electric actuators or serial combinations of actuators (to extend the motion range of the device). In particular embodiments, use of coarse and fine adjustments can provide a desired FDH gap 1008b (between a proximal surface of the FDH 1002 and a surface of the workpiece 1007) and/or a desired deposition head gap 1108a (between a proximal surface of the deposition head 1001 and a surface of the workpiece 1007). In particular embodiments, the FDH gap is greater than the deposition head gap.

[0139] In a typical operation (e.g., as in FIG. 10A-10B), after placing a workpiece below the DHA, the DHA moves near the surface and reduces the gap between the workpiece 1007 and the DHA 1000 using the long travel vertical positioning actuator 1003 to a gap size of between 200 to 2000 pm. If an electrolyte wasn’t on the surface prior to this step to fill the gap, at this point in time the gap between the workpiece and the anode array is flooded by flowing and delivering fluid to the gap from the FDH. Use of sensing elements (including those as described previously and in reference to FIG. 9A-9C) can be used to determine the gap size. In one embodiment, a minimum of three sensors, each in proximity to a piezo actuator lOlOa/b/c in FIG. 11A-11B at different points on the deposition head or the within the array of anode pixels, measure the gap as the piezo are energized to reduce the gap until a target gap size. For example, the motion of the piezo elements continue until each sensor gap indicates a target gap 1008 (e.g., from 10 to 50 pm or 10 to 25 pm). The action of each pair of sensor and actuator can be independent, and each has a controller with an algorithm for reducing the size and maintaining the size of the gap to the target size. [0140] For embodiments employing either inert anodes or virtual anodes, it is possible to configure a deposition head, as in FIG. 12A-12B, having an array of anode pixels. With this structure, each anode 1201 may be configured or activated to deposit a single feature 1204, or configured or activated such that groups of multiple smaller anodes are turned on 1202 or off 1203 in concert to deposit a single feature 1205. The former configuration in FIG. 12A allows the use of relatively large anodes with sizes on the order of the feature size, but a deposition head produced in such a manner can only be used for a single die design or a small selection of very similar die designs, as the location of each feature 1204 is determined by the location of the corresponding anode.

[0141] By employing a deposition head with a greater number of relatively small anode pixels, as in FIG. 12B, features can be deposited by groups of these anodes, and so the location of the features 1205 is determined solely by the programming of the deposition process and areas 1206 can be left vacant simply by leaving the pixels there off. This latter approach has a few advantages: 1) the flexibility in feature size and location allows a single deposition head design to be used for any die design, and 2) which anodes are active can be changed during the deposition process to vary feature diameter, location, etc. In particular, changing feature size may be desirable in optimizing the depositing process. As discussed herein, the spread of the current distribution between the anode and the workpiece can be a significant concern, particularly at the beginning of the process. Therefore, it may be beneficial to begin a deposition process with a smaller active anode area to localize the current to a smaller area on the workpiece. Then, once the feature has begun forming, more anodes around the initial location can be turned on to widen the feature to the desired size without greatly increasing deposition in the field around the deposited feature. A similar approach could be used in a scenario where multiple metals are to be deposited. The second metal may be deposited with a smaller group of anodes than the first metal to encourage deposition on the top of the first metal and minimize deposition on the side of the already-deposited feature. [0142] Referring to Figures 13A-C, in some embodiments a two-step plating process is used to create structures on the substrate. In these cases, the apparatus contains an additional secondary electrode element used to electroplate metal onto the pixels of the deposition array. During the operation, the DHA is in electrolytic connection and communication with either the substrate, the secondary electrode, or both, but is in communication with the secondary electrode during DHA plating, and in communication with the substrate during plating onto the substrate. In certain embodiments, the anode array contains a secondary anode that is peripheral to, or in some cases attached to and part of the anode array assembly.

[0143] An example of such embodiments is depicted in Figure 13 A, where a deposition head 1321 contains an attached secondary electrode 1325. Therefore, secondary electrode 1325 moves along with deposition head 1321. In such embodiments, deposition head 1321 is positioned further away from a substrate 1325 during plating onto inert electrodes of the deposition head than during plating from the deposition head onto the substrate. Movement between these positions is depicted by the vertical arrow in Figure 13 A.

[0144] In other embodiments, a deposition head is separate from a secondary anode. An example of such embodiment is depicted in Figure 13B, which shows a top view illustrating a deposition head 1321 over a substrate 1323. As illustrated, a secondary electrode 1327 is laterally offset from substrate 1323. During a first step, deposition head 1321 moves over secondary electrode 1327 when its pixels operate as cathodes and metal electroplated onto the inert electrodes. During a second step, deposition head 1321 moves over substrate 1323 when its pixels operate as anodes and electroplate metal from the inert electrodes onto the substrate.

[0145] Other configurations are contemplated. For example, a secondary electrode may be detached from and disposed above a deposition head. During operation, the deposition head may move in z-direction between positions proximate the secondary electrode (for plating onto the inert electrodes) and proximate a substrate (for plating from the inert electrodes onto the substrate). In other example, a secondary electrode is attached to a deposition head and the deposition head moves laterally between positions over the substrate (for plating from the inert electrodes onto the substrate) and laterally offset away from the substrate (for plating onto the inert electrodes).

[0146] In operation, after the apparatus has received a workpiece having a seed layer, the system may be flooded with electrolyte and both in the areas between the substrate, workpiece, and secondary electrode. The secondary electrode can be an inert anode or an active metal electrode composed of plateable metal that is in the electrolyte and to be plated onto the substrate. In addition, the inert electrodes (of the anode pixels) are disposed in a cavity or well of dielectric material, where plated metal is filled into and removed from in this process. See Figure 13C in which a substrate 1331 comprised of insulating dielectric material has a plurality of cavities or wells. Each cavity or well has an inert electrode 1333 disposed at one end. Each inert electrode 1333 is independently electrically addressable by a separate electrical lead 1335.

[0147] In a first step, metal is plated onto one or more of the inert electrodes of the anode pixels to a target thickness, typically equal to or less than the depth of the pixel well. In the embodiment of Figure 13C, such plated metal is illustrated by layer 1337. As indicated, the pixel dimensionally stable metal (i.e., the inert electrode) may be surrounded by a patterned dielectric material with metal exposed at its base. During this step the position of the head assembly is set to a first target position not in proximity to the substrate. In certain embodiments, this distance between the substrate and the DHA in this step may be greater than the smallest in plane dimension of the DHA and allows for current to pass from the secondary electrode to the DHA pixels freely and a substantially uniform manner within the gap space between the substrate and the DHA. For example, if the DHA has a width of 25 cm and a length of 100 cm, a gap of 25 cm would be suitable. The separation between the print head and the workpiece during plating into the pixels may be larger than the largest distance current has to travel (so at minimum the smallest lateral dimension of the print head) in order not to cause a voltage gradient in the workpiece.

[0148] Electrolyte metal is plated onto the various inert electrodes from the ionic metal in the electrolyte using a constant current density across all pixels. This produces a constant amount of metal plating onto all inert electrodes. In other cases, the amount of metal plated in this step may be non-uniform across the inert electrodes, for example to allow for a correction for process variable pixel to pixel current efficiencies.

[0149] After filling the pixel wells with metal, the head is moved and positioned to a second target position close to the workpiece. As discussed in reference to Figure 4, the distance between the head and the plating surface may be less than the smaller than the size of the feature being plated. For example, for plating a 50 pm circular pillar, the gap in this step may be less than about 50 pm. This distance is originally the distance between the seeded substrate and the DHA, but later in the process, where a structure has grown, this distance is between the DHA and the top of the deposited structure. The gap need not be the same in each cycle of the overall process, but rather can be programed to change in response to various programable desired needs. The inert electrodes are then activated, made anodic to the substrate by an appropriate power supply, and metal is deposited onto the substrate below the surface of each active pixel, which may be defined by the surface of the insulating substrate with holes. If the target thickness of the structure is not achieved before metal plated onto the inert electrode is exhausted (or if otherwise desired), the plating-on-substrate step is terminated, and the head is moved away from the surface and to the first target position, upon which the process is repeated. The process is thereby executed in a cyclical stepwise manner until the target feature thickness is achieved.

SYSTEMS

[0150] Also disclosed herein are systems that employ a DHA. FIG. 14 provides a system 1400 including a deposition head 1401 having an array 1401a of anode pixels, an FDH 1402, sensing elements 1403, actuator elements 1404, a mounting assembly 1405 attached to a lift base plate 1409, the lift base plate 1409 attached to the deposition head 1401, and a controller 1410. Alternatively, the sensing elements 1403 are replaced with one or more electrodes of the array 1401a configured to be one or more sensing electrodes. The system 1401 can further include an electrolyte source 1424 in fluidic communication with the FDH 1402 by way of a pump 1422 and a valve 1420. The controller 1410 can be electrically connected to any component of the system 1400, such as the valve 1420 and/or the pump 1422 to provide the electrolyte to the FDH 1402; the deposition head 1401, which can include circuitry to operate one or more anode pixels, sensing elements, or anode pixels to function as sensing elements; the actuator elements 1404 to align and position the proximal surface of the deposition head relative to a metalized surface 1407a of a workpiece 1407, which is grounded; and/or the mounting assembly 1405 to operate the actuator, screw, and/or motor to position the DHA.

[0151] The system may include hardware for the controlled delivery of the electrolyte from a bulk storage container to the FDH (e.g., pumps, tubes, filters, etc.). The apparatus may include features that support simultaneous independent fluidic access to ports within the FDH. The system may include elements for control of heat removal or addition, and for temperature control of the electrolyte, workpiece, deposition head, or both. The apparatus may be designed so that the area over the deposition head and workpiece are substantially sealed (e.g., forming a chamber), so that the atmospheric environment of the space around the head and/or in the gap between the deposition head and the wafer are controlled in temperature and/or gasses present. For example, the environmental chamber may be used to remove a gas (e.g., oxygen) that is undesired. In these or other examples, one or more gas (e.g., reactive or inert) may be added to the chamber, for example to react with the workpiece or to create an inert atmosphere (e.g., argon). In these or other examples, the apparatus may include hardware to regulate the atmosphere so as to contain a controlled amount of evaporated electrolyte and/or to perform the depositing under controlled conditions. Other common apparatus features may include fluid condition delivery control apparatus (e.g., heaters/chillers and heat exchangers, level controllers, etc.), and feedback control metrology, for example to regulate fluid delivery (e.g., using optical analysis of the liquid film on the substrate). Multi-channel power and/or power switching devices are also envisioned to enable on-off control of an array of deposition heads to be individually operated within a larger deposition head.

[0152] The controller may be used to control states of the control devices or other circuitry associated with the deposition head, the valve, the pump, the gap sensing elements, or the actuators of the alignment system. The alignment system or another positioning system can be used to position the workpiece, the deposition head, and/or the array of anode pixels. In some examples, the alignment or positioning system positions the workpiece, the deposition head, and/or the array of anode pixels and then depositing of metal interconnects is performed. Then, the alignment or positioning system re-positions the workpiece, the deposition head, and/or the array of anode pixels and then depositing of metal interconnects is performed on the same workpiece. The process can involve plating, stop plating, moving, then plating again. Alternatively, the process may involve simply moving the workpiece relative to the deposition head continuously at constant speed with the anode energized/on or moved at a time-variable speed. The direction of relative movement can change over the course of the plating process as well. These process steps can be repeated one or more times for the same workpiece to create a pattern of metal interconnects.

[0153] In some implementations, a controller is part of a system, which may be part of any examples described herein. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor workpiece, wafer, or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of electrolytes, positioning of a DHA or components thereof, activating one or more anode pixels or sets of anode pixels, sensing one or more gaps between the DHA and the workpiece, etching a seed/barrier layer, etc.

[0154] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more deposited features, layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer or another workpiece.

[0155] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process in a chamber.

[0156] Without limitation, example systems may include a 3DEP chamber having a deposition head assembly, a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

[0157] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

METHODS

[0158] Also disclosed herein are methods that can employ any apparatus, assembly, or system described. In some embodiments, as seen in FIG. 15, a method begins by receiving 1501 the workpiece including a seed layer disposed on a surface thereof, wherein the seed layer is conductive; positioning 1502 a deposition head in proximity to the surface of the workpiece, wherein the deposition head optionally includes an array of anode pixels; delivering 1503 an electrolyte to the anode pixels through a fluid distribution head (FDH), wherein the FDH is configured to surround the array; and activating 1504 one or more anode pixels by supplying a current and/or a voltage to the array or supplying a potential difference between the workpiece and the array, thereby providing the deposited feature at a first position.

[0159] The method can include determining 1505 whether the first deposited feature meets the target feature dimension and/or target shape. If the target feature is not met, then operations 1502- 1505 can be repeated for any n number of times until such desired conditions are met. If the target feature is met, then the deposition head can be re-positioned to another position on the workpiece to extend the first deposited feature (e.g., to extend a conductive line connected between the first position to other positions) or to provide a second deposited feature. To continue depositing, operations 1503-1504 can be conducted and, if the target feature is not deposited, then operations 1502-1504 can be repeated. Once depositing is complete, the residual or unwanted seed/barrier layer can be removed by etching, thereby isolating the deposited feature(s).

[0160] Figure 16 illustrates a process 1601 for electroplating features onto a workpiece by using a two-step, plating-deplating process. As illustrated, the process begins with an operation 1603 in which an electroplating system receives a workpiece having a conductive seed layer formed on at least one surface. As indicated, such workpiece may be a semiconductor-containing wafer or other substrate having one or more electronic devices or partially fabricated electronic devices thereon. After receiving the workpiece, the electroplating system delivers an electrolyte between a deposition head (as described herein) and a secondary anode. See operation 1605. The secondary anode may comprise a consumable metal such as copper or it may comprise a metal that is effectively inert to the electrochemical environment encountered in subsequent operations.

[0161] If the deposition head is not already in proximity to the secondary electrode, it is placed in proximity in an operation 1607. In this position, the deposition head may electrochemically interact with the secondary electrode but not with the workpiece, or it may electrochemically interact with both the secondary electrode and the workpiece. A gap measuring system and/or an alignment system as described herein may be employed to ensure that the deposition head is correctly moved and positioned with respect to the secondary electrode. In this position, the deposition head is not in proximity to the workpiece, to ensure that no voltage gradient develops across the workpiece during the next operation 1609.

[0162] After the deposition head is positioned in a defined proximity to the secondary electrode, the electroplating system electrically activates the secondary electrode and/or the inert electrodes of the deposition head. See operation 1609. This activation allows metal to electroplate onto the inert electrodes of the deposition head. In other words, the inert electrodes operate as cathodes to the anode of the secondary electrode. As described elsewhere, the deposition head may include recesses or cavities that constrain the electroplated metal to defined spaces adjacent to the inert electrodes. In some contexts, the combinations of an inert electrode, an adjacent recess in a dielectric material on a deposition head, and associated electrical lead define anode pixels of the deposition head.

[0163] Next, the system moves the deposition head away from the secondary electrode and in proximity to the workpiece. See operation 1611. A gap measuring system and/or an alignment system as described herein may be employed to ensure that the deposition head is correctly moved and positioned with respect to the workpiece.

[0164] In this position, the system may again electrically activate the inert electrodes, but this time in a manner that causes the inert electrodes to operate as anodes to the workpiece as a cathode. See operation 1613. This causes the metal that was previously deposited on the inert electrodes (while they were activated in proximity to the secondary electrode) to electroplate onto the workpiece.

[0165] After electroplating some or all the consumable metal from the inert electrodes onto the workpiece, the electrical activation is stopped. During or after this electroplating onto the substrate, the electroplating system determines whether the electroplated feature (sometimes referred to as a printed feature) meets a target specification for size and/or shape. See operation 1615. This determination may be made using a gap measuring system or process as described herein.

[0166] If the determination shows that the features meet specifications, the main process is terminated. If the determination shows that features have not yet grown to meet specifications, an additional cycle is performed. In other words, process control returns to operation 1607, where the deposition head is again positioned in proximity to the secondary electrode (away from the workpiece). From there, operations 1607-1615 are repeated.

[0167] At some point after one or more such cycles are performed, the system determines that the features electroplated on the workpiece meet appropriate size and/or shape specifications. At this point, the process may be terminated. However, in some embodiments, one or more additional operations are performed by the system (or an associated downstream system).

[0168] In some embodiments, the deposition head is only large enough (or has only a sufficient number of anode pixels) to electroplate a subset of the features that must be electroplated on the workpiece. In such embodiments, the process optionally includes an additional operation of again performing operations 1607-1615 one or more times but at a different region of the workpiece to deposit a different subset of features on the workpiece. See operation 1617. Depending on the relative sizes of the workpiece and the deposition head, this process may be repeated a number of additional times. As an example, if a process requires 400,000 features to be electroplated on a workpiece and a deposition head contains only 120,000 anode pixels, the process embodied by operations 1607-1615 may be performed four times, each for a different location of the deposition head with respect to the workpiece.

[0169] In some embodiments, the system etches some or all of a seed layer located outside the regions where the features are deposited on the workpiece after the previous operations described herein are complete. See operation 1619.

[0170] In general, the measurement of the gap can be completely separated from the plating process, i.e., the surface gap can be measured and alignment can be achieved without plating, then the head moved up to a pixel filling step, then the head can be moved to a target starting gap where the plating onto the substrate is begun. Thereafter another measurement may (or may not) be made. If the process is sufficiently uniform and the positional hardware is accurate and reproducible, this is the minimal requirement for the process.

[0171] In some embodiments, the system measures a gap makes any appropriate alignment based on measurements on a dummy wafer or first wafer or, if the head is smaller than the wafer, across various positions above the dummy/first wafer, storing that information. Thereafter, if the repeatability of the system is very good (e.g., it can be maintained to within about 1 urn), the overall mechanical positions may be determined and reused for subsequent workpieces. Therefore, a workpiece used for initial alignment may be a dedicated alignment substrate or a previously processed production workpiece.

CONCLUSION

[0172] The techniques described herein enable formation of fine line interconnects, pads, and other metal features at very small scale with a high degree of accuracy and precision (e.g., < 0.5 pm). Advantageously, the techniques can be practiced without many of the conventional processes, apparatuses, and materials used in the conventional process flow described in relation to FIG. 1. For example, the techniques herein do not require the use of photoresist, lithography tools, photoresist baking equipment, photoresist curing equipment, photomasks, developing chemicals and tooling, oxygen plasma descum equipment, or photoresist cleaning and stripping equipment. As such, the ownership and processing costs associated with formation of fine line interconnects, pads, and other metal features is substantially reduced.

[0173] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.