Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
IMPROVEMENTS IN METROLOGY TARGETS
Document Type and Number:
WIPO Patent Application WO/2021/013519
Kind Code:
A1
Abstract:
Disclosed is a patterning device for patterning product structures onto a substrate and an associated substrate patterned using such a patterning device. The patterning device comprises target patterning elements for patterning at least one target from which a parameter of interest can be inferred. The target patterning elements and product patterning elements for patterning the product structures. The target patterning elements and product patterning elements are configured such that said at least one target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures on said substrate.

Inventors:
MEHTA NIKHIL (NL)
COTTAAR JEROEN (NL)
WARNAAR PATRICK (NL)
VAN DER SCHAAR MAURITS (NL)
VAN KRAAIJ MARKUS (NL)
CRAMER HUGO (NL)
ZWIER OLGER (NL)
Application Number:
PCT/EP2020/069001
Publication Date:
January 28, 2021
Filing Date:
July 06, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
ASML HOLDING NV (NL)
International Classes:
G03F7/00; G03F1/42; G03F7/20
Domestic Patent References:
WO2011012624A12011-02-03
Foreign References:
US9653404B12017-05-16
US20090224413A12009-09-10
US6952253B22005-10-04
US20100328655A12010-12-30
US20110102753A12011-05-05
US20120044470A12012-02-23
US20110249244A12011-10-13
US20110026032A12011-02-03
EP1628164A22006-02-22
US45159908A2008-02-20
US70867810A2010-02-19
US25678008A2008-10-23
US48644909A2009-06-17
US92096809A2009-03-20
US92258709A2009-03-24
US200913000229A2009-05-14
US201113033135A2011-02-23
US201213533110A2012-06-26
US201313891410A2013-05-10
US20160161863A12016-06-09
US20070224518A12007-09-27
US20130304424A12013-11-14
US20140019097A12014-01-16
US20170184981A12017-06-29
US20160282282A12016-09-29
Other References:
LEMAILLET ET AL.: "Intercomparison between optical and X-ray scatterometry measurements of FinFET structures", PROC. OF SPIE, 2013, pages 8681, XP055267051, DOI: 10.1117/12.2011144
Attorney, Agent or Firm:
WILLEKENS, Jeroen Pieter Frank (NL)
Download PDF:
Claims:
CLAIMS

1. A patterning device for patterning product structures onto a substrate, the patterning device comprising:

target patterning elements for patterning at least one target from which a parameter of interest can be inferred, and

product patterning elements for patterning said product structures;

wherein said target patterning elements and product patterning elements are configured such that said at least one target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures on said substrate.

2. A patterning device as claimed in claim 1, said target patterning elements are configured such that said at least one boundary is between 10 and 80 degrees with respect to said product structures.

3. A patterning device as claimed in any preceding claim, said target patterning elements are configured such that the target comprises a periodic structure with a direction of periodicity either parallel or perpendicular with respect to said product structures.

4. A patterning device as claimed in any of claims 1 or 2, wherein said target patterning elements are configured such that the target comprises a periodic structure with a direction of periodicity neither parallel nor perpendicular with respect to said product structures,

and wherein, optionally, said target patterning elements are configured to pattern at least a pair of said targets, each having a periodic structure with a mutually different direction of periodicity which is neither parallel nor perpendicular with respect to said product structures.

5. A patterning device as claimed in any preceding claim, wherein said target patterning elements are configured such that said at least one target has all of its boundaries neither parallel nor perpendicular with respect to said product structures,

and wherein, optionally, said target patterning elements are configured such that said target comprises a rhombus shape.

6. A patterning device as claimed in claim 5, wherein said target patterning elements are configured such that said target comprises a rectangle or square oriented at an angle neither perpendicular nor parallel to said product structures.

7. A patterning device as claimed in any of claims 1 to 4, wherein said target patterning elements are configured such that said target comprises a triangular shape,

and wherein, optionally, said target patterning elements are configured to pattern four of said targets in a rectangular or square target arrangement.

8. A patterning device as claimed in any preceding claim, wherein said target patterning elements are configured to pattern two pairs of said targets in a target arrangement comprising a first pair for measuring the parameter of interest in a first measurement direction and a second pair for measuring the parameter of interest in a second measurement direction and such that the first pair of targets are not aligned in the second measurement direction and the second pair of targets are not aligned in the first measurement direction, and wherein, optionally, said first measurement direction and said second measurement direction are mutually perpendicular.

9. A patterning device as claimed in any preceding claim, wherein said target patterning elements are configured such that said at least one target has at least one boundary which is neither parallel nor perpendicular with respect to one or both of a boundary of a product area defined by the product patterning elements and a direction of periodicity of the product patterning elements.

10. A patterning device as claimed in claim 9, wherein the substrate has a coordinate system defined by a predominant orientation of the product structures; and said target patterning elements are configured such that said at least one target has said at least one boundary which is neither parallel nor perpendicular with respect to the substrate’s coordinate system.

11. A patterning device as claimed in any of claims 9 to 10 configured for use with a specific lithographic system comprising a metrology tool, said metrology tool having a defined field of view; and at least some of the product patterning elements are located within a distance of the target patterning elements on the patterning device such that the corresponding distance on the substrate between the target and the product structures are within said field of view of the metrology tool.

12. A patterning device for patterning product structures onto a substrate, the patterning device comprising:

target patterning elements for patterning at least one target from which a parameter of interest can be inferred, said target patterning elements being configured to form a sub-segmented target; and product patterning elements for patterning said product structures; wherein said target patterning elements and product patterning elements are configured such that a direction of periodicity of the sub-segmentation of the sub-segmented target is neither parallel nor perpendicular with the respect to said product structures on said substrate. 13. A pair of complementary patterning devices comprising a first patterning device and a second patterning device, wherein at least one of said pair of complementary patterning devices comprise a patterning devices of any preceding claim, wherein the target patterning elements in said first patterning device are configured to provide a first target in a first layer and the target patterning elements in said second patterning device are configured to provide a second target overlaying said first target in a second layer said first target and second target together forming an overlay target, said parameter of interest being overlay.

14. A substrate comprising a target or target arrangement formed in a lithographic process using the patterning device or pair of patterning devices as claimed in any preceding claim.

15. A substrate comprising:

product structures operable to form a functioning integrated circuit or part thereof; and

a target for measuring from which a parameter of interest can be inferred,

wherein said target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures.

Description:
Improvements in Metrology Targets

CROSS REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority of US application 62/877,569 which was filed on 2019-Jul-23 and EP application 19194215.0 which was filed on 2019-Aug-29 and whom are incorporated herein in their entirety by reference.

FIELD

[0002] The present invention relates to metrology applications in the manufacture of integrated circuits.

BACKGROUND

[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern (also often referred to as“design layout” or “design”) at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).

[0004] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.

[0005] Low-ki lithography may be used to process features with dimensions smaller than the classical resolution limit of a lithographic apparatus. In such process, the resolution formula may be expressed as CD = kixk/NA, where l is the wavelength of radiation employed, NA is the numerical aperture of the projection optics in the lithographic apparatus, CD is the“critical dimension” (generally the smallest feature size printed, but in this case half-pitch) and ki is an empirical resolution factor. In general, the smaller ki the more difficult it becomes to reproduce the pattern on the substrate that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine-tuning steps may be applied to the lithographic projection apparatus and/or design layout. These include, for example, but not limited to, optimization of NA, customized illumination schemes, use of phase shifting patterning devices, various optimization of the design layout such as optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as“resolution enhancement techniques” (RET). Alternatively, tight control loops for controlling a stability of the lithographic apparatus may be used to improve reproduction of the pattern at low kl.

[0006] Metrology tools are used in many aspects of the IC manufacturing process, for example as alignment tools for proper positioning of a substrate prior to an exposure and scatterometry based tools for inspecting/measuring the exposed and/or etched product in process control; e.g., to measure overlay.

[0007] The effect of the presence of neighboring product structures can affect such metrology tools, for example when measuring overlay. It would be desirable to mitigate this issue.

SUMMARY

[0008] In a first aspect of the invention there is provided a patterning device for patterning product structures onto a substrate, the patterning device comprising: target patterning elements for patterning at least one target from which a parameter of interest can be inferred, and product patterning elements for patterning said product structures; wherein said target patterning elements and product patterning elements are configured such that said at least one target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures on said substrate.

[0009] In a second aspect of the invention there is provided a patterning device for patterning product structures onto a substrate, the patterning device comprising: target patterning elements for patterning at least one target from which a parameter of interest can be inferred, said target patterning elements being configured to form a sub-segmented target; and product patterning elements for patterning said product structures; wherein said target patterning elements and product patterning elements are configured such that a direction of periodicity of the sub-segmentation of the sub-segmented target is neither parallel nor perpendicular with the respect to said product structures on said substrate.

[00010] In a third aspect of the invention there is provided substrate comprising: product structures operable to form a functioning integrated circuit or part thereof; and a target for measuring from which a parameter of interest can be inferred, wherein said target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures

BRIEF DESCRIPTION OF THE DRAWINGS

[00011] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:

Figure 1 depicts a schematic overview of a lithographic apparatus;

Figure 2 depicts a schematic overview of a lithographic cell;

Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing; Figure 4 depicts a schematic overview of a scatterometry apparatus used as a metrology device, which can measure targets according to embodiments of the invention;

Figure 5 comprises (a) a schematic diagram of a dark field scatterometer for use in measuring targets according to embodiments of the invention using a first pair of illumination apertures, (b) a detail of diffraction spectrum of a target grating for a given direction of illumination (c) a second pair of illumination apertures providing further illumination modes in using the scatterometer for diffraction based overlay measurements and (d) a third pair of illumination apertures combining the first and second pair of apertures;

Figure 6 depicts (a) a known form of multiple grating target and an outline of a measurement spot on a substrate; and (b) an image of the target obtained in the scatterometer of Figure 5;

Figure 7 conceptually illustrates the issue of crosstalk from surrounding product structures;

Figure 8 illustrates three target arrangements comprising targets according to different embodiments of the invention;

Figure 9 illustrates two generalized target arrangements comprising targets according to an embodiment of the invention;

Figure 10 illustrates a target arrangement comprising targets for measuring overlay in a single oblique direction according to an embodiment of the invention;

Figure 11 illustrates a target arrangement comprising targets for measuring overlay in four directions according to an embodiment of the invention;

Figure 12 illustrates a target arrangement comprising targets for measuring overlay and alignment according to an embodiment of the invention;

Figure 13 illustrates a parallel measurement arrangement for measuring a plurality of targets or target arrangements simultaneously (a) at a conventional wafer orientation; and (b) at an oblique wafer orientation as proposed in embodiments of the invention; and

Figure 14 depicts a schematic overview of a further metrology device which can measure targets according to embodiments of the invention.

DETAILED DESCRIPTION

[00012] In the present document, the terms“radiation” and“beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm).

[00013] The term“reticle”,“mask” or“patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate. The term“light valve” can also be used in this context. Besides the classic mask (transmissive or reflective, binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include a programmable mirror array and a programmable LCD array.

[00014] Figure 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a mask support (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate support (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.

[00015] In operation, the illumination system IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.

[00016] The term“projection system” PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term“projection lens” herein may be considered as synonymous with the more general term“projection system” PS.

[00017] The lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system PS and the substrate W - which is also referred to as immersion lithography. More information on immersion techniques is given in US6952253, which is incorporated herein by reference.

[00018] The lithographic apparatus LA may also be of a type having two or more substrate supports WT (also named“dual stage”). In such“multiple stage” machine, the substrate supports WT may be used in parallel, and/or steps in preparation of a subsequent exposure of the substrate W may be carried out on the substrate W located on one of the substrate support WT while another substrate W on the other substrate support WT is being used for exposing a pattern on the other substrate W.

[00019] In addition to the substrate support WT, the lithographic apparatus LA may comprise a measurement stage. The measurement stage is arranged to hold a sensor and/or a cleaning device. The sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B. The measurement stage may hold multiple sensors. The cleaning device may be arranged to clean part of the lithographic apparatus, for example a part of the projection system PS or a part of a system that provides the immersion liquid. The measurement stage may move beneath the projection system PS when the substrate support WT is away from the projection system PS.

[00020] In operation, the radiation beam B is incident on the patterning device, e.g. mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and a position measurement system IF, the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused and aligned position. Similarly, the first positioner PM and possibly another position sensor (which is not explicitly depicted in Figure 1) may be used to accurately position the patterning device MA with respect to the path of the radiation beam B. Patterning device MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2. Although the substrate alignment marks PI, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions. Substrate alignment marks PI, P2 are known as scribe-lane alignment marks when these are located between the target portions C.

[00021] As shown in Figure 2 the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers. A substrate handler, or robot, RO picks up substrates W from input/output ports I/Ol, 1/02, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA. The devices in the lithocell, which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.

[00022] In order for the substrates W exposed by the lithographic apparatus LA to be exposed correctly and consistently, it is desirable to inspect substrates to measure properties of patterned structures, such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc. For this purpose, inspection tools (not shown) may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.

[00023] An inspection apparatus, which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer. The inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device. The inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).

[00024] Typically the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W. To ensure this high accuracy, three systems may be combined in a so called“holistic” control environment as schematically depicted in Fig. 3. One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system). The key of such“holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window. The process window defines a range of process parameters (e.g. dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.

[00025] The computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SCI). Typically, the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA. The computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MT) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing“0” in the second scale SC2). [00026] The metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 by the multiple arrows in the third scale SC3).

[00027] In lithographic processes, it is desirable to make frequently measurements of the structures created, e.g., for process control and verification. Tools to make such measurement are typically called metrology tools MT. Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. Scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements. Such scatterometers and the associated measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244,

US20110026032 or EP1,628,164A, incorporated herein by reference in their entirety. Aforementioned scatterometers may measure gratings using light from soft x-ray and visible to near-IR wavelength range.

[00028] In a first embodiment, the scatterometer MT is an angular resolved scatterometer. In such a scatterometer reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating. Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target arrangement and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.

[00029] In a second embodiment, the scatterometer MT is a spectroscopic scatterometer MT. In such spectroscopic scatterometer MT, the radiation emitted by a radiation source is directed onto the target and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.

[00030] In a third embodiment, the scatterometer MT is a ellipsometric scatterometer. The ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states. Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus. A source suitable for the metrology apparatus may provide polarized radiation as well. Various embodiments of existing ellipsometric scatterometers are described in US patent applications 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 and 13/891,410 incorporated herein by reference in their entirety.

[00031] A metrology apparatus, such as a scatterometer, is depicted in Figure 4. It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate W. The reflected or scattered radiation is passed to a spectrometer detector 4, which measures a spectrum 6 (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile 8 giving rise to the detected spectrum may be reconstructed by processing unit PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 3. In general, for the reconstruction, the general form of the structure is known and some parameters are assumed from knowledge of the process by which the structure was made, leaving only a few parameters of the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer.

[00032] Figure 5(a) presents an embodiment of a metrology apparatus and, more specifically, a dark field scatterometer. A target T and diffracted rays of measurement radiation used to illuminate the target are illustrated in more detail in Figure 5(b). The metrology apparatus illustrated is of a type known as a dark field metrology apparatus. The metrology apparatus may be a stand-alone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC. An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. In this apparatus, light emitted by source 11 (e.g., a xenon lamp) is directed onto substrate W via a beam splitter 15 by an optical system comprising lenses 12, 14 and objective lens 16. These lenses are arranged in a double sequence of a 4F arrangement. A different lens arrangement can be used, provided that it still provides a substrate image onto a detector, and simultaneously allows for access of an intermediate pupil-plane for spatial-frequency filtering. Therefore, the illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis. The rays illustrated in Figure 5(a) and 3(b) are shown somewhat off axis, purely to enable them to be more easily distinguished in the diagram.

[00033] At least the 0 and +1 orders diffracted by the target T on substrate W are collected by objective lens 16 and directed back through beam splitter 15. Returning to Figure 5(a), both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S). When the incident ray I of measurement radiation is from the north side of the optical axis, that is when the first illumination mode is applied using aperture plate 13N, the +1 diffracted rays, which are labeled +1(N), enter the objective lens 16. In contrast, when the second illumination mode is applied using aperture plate 13S the -1 diffracted rays (labeled 1(S)) are the ones which enter the lens 16.

[00034] A second beam splitter 17 divides the diffracted beams into two measurement branches. In a first measurement branch, optical system 18 forms a diffraction spectrum (pupil plane image) of the target on first sensor 19 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams. Each diffraction order hits a different point on the sensor, so that image processing can compare and contrast orders. The pupil plane image captured by sensor 19 can be used for focusing the metrology apparatus and/or normalizing intensity measurements of the first order beam. The pupil plane image can also be used for many measurement purposes such as reconstruction.

[00035] In the second measurement branch, optical system 20, 22 forms an image of the target T on sensor 23 (e.g. a CCD or CMOS sensor). In the second measurement branch, an aperture stop 21 is provided in a plane that is conjugate to the pupil-plane. Aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the -1 or +1 first order beam. The images captured by sensors 19 and 23 are output to processor PU which processes the image, the function of which will depend on the particular type of measurements being performed. Note that the term ‘image’ is used here in a broad sense. An image of the grating lines as such will not be formed, if only one of the -1 and +1 orders is present.

[00036] The particular forms of aperture plate 13 and field stop 21 shown in Figure 5 are purely examples. In another embodiment of the invention, on-axis illumination of the targets is used and an aperture stop with an off-axis aperture is used to pass substantially only one first order of diffracted light to the sensor. In yet other embodiments, 2nd, 3rd and higher order beams (not shown in Figure 5) can be used in measurements, instead of or in addition to the first order beams.

[00037] In order to make the measurement radiation adaptable to these different types of measurement, the aperture plate 13 may comprise a number of aperture patterns formed around a disc, which rotates to bring a desired pattern into place. Note that aperture plate 13N or 13S can only be used to measure gratings oriented in one direction (X or Y depending on the set-up). For measurement of an orthogonal grating, rotation of the target through 90° and 270° might be implemented. Different aperture plates are shown in Figures 5(c) and (d). The use of these, and numerous other variations and applications of the apparatus are described in prior published applications, mentioned above.

[00038] Figure 6(a) depicts a target arrangement or composite target formed on a substrate according to known practice. The target arrangement in this example comprises four targets (e.g., gratings) 32 to 35 positioned closely together so that they will ah be within a measurement spot 31 formed by the metrology radiation illumination beam of the metrology apparatus. The four targets thus are ah simultaneously illuminated and simultaneously imaged on sensors 19 and 23. In an example dedicated to measurement of overlay, targets 32 to 35 are themselves composite gratings formed by overlying gratings that are patterned in different layers of the semi-conductor device formed on substrate W. Targets 32 to 35 may have differently biased overlay offsets in order to facilitate measurement of overlay between the layers in which the different parts of the composite gratings are formed. The meaning of overlay bias will be explained below with reference to Figure 7. Targets 32 to 35 may also differ in their orientation, as shown, so as to diffract incoming radiation in X and Y directions. In one example, targets 32 and 34 are X-direction gratings with biases of the +d, -d, respectively. Targets 33 and 35 are Y-direction gratings with offsets +d and -d respectively. Separate images of these gratings can be identified in the image captured by sensor 23. This is only one example of a target arrangement. A target arrangement may comprise more or fewer than 4 targets, or only a single target.

[00039] Figure 6(b) shows an example of an image that may be formed on and detected by the sensor 23, using the target of Figure 6(a) in the apparatus of Figure 5, using the aperture plates 13NW or 13SE from Figure 5(d). While the pupil plane image sensor 19 cannot resolve the different individual targets 32 to 35, the image sensor 23 can do so. The dark rectangle represents the field of the image on the sensor, within which the illuminated spot 31 on the substrate is imaged into a corresponding circular area 41. Within this, rectangular areas 42-45 represent the images of the small target gratings 32 to 35. If the targets are located in product areas, product features may also be visible in the periphery of this image field. Image processor and controller PU processes these images using pattern recognition to identify the separate images 42 to 45 of targets 32 to 35. In this way, the images do not have to be aligned very precisely at a specific location within the sensor frame, which greatly improves throughput of the measuring apparatus as a whole.

[00040] Once the separate images of the targets have been identified, the intensities of those individual images can be measured, e.g., by averaging or summing selected pixel intensity values within the identified areas (e.g., regions of interest ROIs). Intensities and/or other properties of the images can be compared with one another. These results can be combined to measure different parameters of the lithographic process. Overlay performance is an important example of such a parameter.

[00041] In one embodiment of the metrology apparatus (e.g., scatterometer), the metrology apparatus is adapted to measure the overlay of two misaligned gratings or periodic structures by measuring asymmetry in the reflected spectrum and/or the detection configuration, the asymmetry being related to the extent of the overlay. A similar method may be used to measure focus on special targets which are formed with a focus dependent asymmetry. In the overlay case, the two (typically overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers), and may be formed substantially at the same position on the wafer. The scatterometer may have a symmetrical detection configuration as described e.g. in co-owned patent application EP1,628,164A, such that any asymmetry is clearly distinguishable. This provides a straightforward way to measure misalignment in gratings. Further examples for measuring overlay error between the two layers containing periodic structures as target is measured through asymmetry of the periodic structures may be found in PCT patent application publication no. WO 2011/012624 or US patent application US 20160161863, incorporated herein by reference in its entirety.

[00042] For diffraction based overlay (DBO) metrology, the overlay is derived using only intensity information from radiation diffracted by stacked gratings. This yields a measurement sensitivity that is highly wavelength dependent, due to the refractive index of the stack being measured. This wavelength dependency, often described by a swing curve, is also highly dependent on the composition and thickness of the stack which results in a process-robustness challenge.

[00043] An issue with overlay measurements on targets near, within or adjacent to product areas is that of optical crosstalk. Optical crosstalk between neighboring (product) structures and the metrology targets can perturb the overlay measurement. The use of fully spatially coherent illumination, for example, can introduce systematic artefacts, such as ringing and speckle, due to the coherent addition of complex fields. By way of example, this effect has been demonstrated in simulation. To estimate the impact on overlay measurement of the neighboring product, the simulation measured the same target under identical conditions other than the presence and absence of neighboring product. Overlay error (i.e., error in the overlay measurement with respect to the actual overlay simulated) was calculated from the simulated measurements as a function of the size of the ROI (i.e., as a function of the percentage of the area corresponding to the grating which is averaged to obtain an intensity value for that grating). The simulation showed that there was a greater swing and larger peaks (larger overlay errors) when neighboring product was present compared to when it was absent, this increased swing being multiple times greater.

[00044] Optical crosstalk from surrounding product is manifestation of the coherent diffraction of optical field from the surrounding features. A discontinuity feature, such as a boundary e.g., the boundary edge of the neighboring product (which acts similarly to a neighboring grating pad or target), can result in a particularly strong crosstalk issue. This can be understood by considering the well-known phenomenon that a finite aperture illuminated with a coherent source exhibits diffraction from its boundary, and that diffraction fringes from the boundary are conformal to the boundary.

[00045] Figure 7 is a schematic layout of the simulation described above, which illustrates this point. The neighboring product P is modelled as a diffraction grating with grating vector oriented along x. The vertical boundaries of each of the targets X +d X d ’ Y +d ’ Y d and of the neighboring product P exhibit ringing features which are self-similar to the boundaries, and which spread across the x direction (assuming x-direction illumination incidence). This is symbolized by the arrows, which illustrate that the (x oriented grating) neighboring product P induces crosstalk in the X targets X +d X d , but have an insignificant or at least less pronounced effect along the y direction. Because of this, the ±lst order diffraction signal from X targets X +d X d will be affected by the neighboring product P. [00046] A number of target designs will now be described which aim to mitigate this feature. Throughout the text, the term“target” will be used to describe a single pad or region which may form part of a larger target arrangement or compound target such as illustrated in Figure 6; e.g., for the measurement of a parameter of interest such as overlay. The targets comprise at least one boundary which is neither parallel nor perpendicular (i.e., oblique) with respect to the (e.g., predominant) direction of product structures (e.g., which may define a substrate’s or die’s x/y coordinate system). A boundary of a target in this context may describe the periphery or envelope marking the extent of a target, and may include an internal boundary of a compound target arrangement comprising more than one targets (target pads); i.e., an internal boundary may be one or both of the boundaries dividing a +d biased X-direction target from a +d biased Y-direction target in a typical diffraction based overlay type target arrangement.

[00047] In an embodiment, targets comprise at least one boundary or boundary which is neither parallel nor perpendicular (i.e., oblique) with respect to the product structures on the substrate and more specifically neighboring product. In a further embodiment, the target may comprise at least two boundaries which are neither parallel nor perpendicular with the neighboring product. The orientation of the neighboring product refers to one or more of the direction of its boundary and/or the direction of periodicity of repeated structures comprised within the neighboring product. In the context of this disclosure, neighboring or adjacent can be taken to mean within the field-of-view of the metrology tool being used to perform a measurement. In other embodiments, neighboring or adjacent may mean within 60pm, within 50pm, within 40pm, within 30pm, within 20pm or within 10pm.

[00048] The angle of the at least one boundary may be, for example between 10 and 80 degrees with respect to the neighboring product, between 20 and 70 degrees with respect to the neighboring product, or between 30 and 60 degrees with respect to the neighboring product. In some embodiments the at least one boundary is 45 degrees with the neighboring product. The grating orientation (orientation of the grating vector) may, for example, be oriented with the at least one boundary or oriented conventionally (e.g., with the product).

[00049] Figure 8 illustrates three different target arrangement designs, each comprising four targets; a first pair of targets in a first direction X +d , X d , U +d , U d and a second pair of targets in a second direction Y +d , Y d , V +d , V d each target of a pair having a different bias (e.g., as is conventional for measuring overlay in two directions). In each case, oblique boundaries (i.e., a boundary which is neither parallel nor perpendicular with respect to the product structures and/or coordinate system of the substrate) of at least one of the targets of the target arrangements is labelled Bo-

[00050] In Figure 8(a) the boundaries of the target arrangement (and therefore the target area of all four targets) are oriented at 45 degrees with respect to neighboring product. The grating vectors however are oriented conventionally in the x and y directions within the tilted target areas. As such, within the coordinate system of the substrate and product, each target X +d , X d , Y +d , Y d may comprise a rhombus shape, and more specifically may comprise a 45 degree tilted square (90 degree rhombus) or rectangle (the targets may actually be a near-square rectangle, similar to the conventionally oriented targets typically used at present). Note that the targets of these Figure 8 embodiments may comprise rectangles tilted at an (oblique) angle other then 45 degrees.

[00051] Figure 8(b) shows the target boundaries and target areas being arranged and oriented in a similar manner to that of Figure 8(a), but with the grating vector within the target areas also being oriented with the boundaries and such that the grating vector of the first pair of targets U +d , U d , is normal to that of the second pair of targets V +d , V d In this way, the gratings are also oriented 45/-45 degrees as well as the target areas and boundaries. Note that each of these targets will measure overlay signal in both of the x and y directions; a method for converting the captured measurement signal into overlay in x and y directions is described below.

[00052] Figure 8(c) shows an arrangement where the targets U +d , U d , V +d , V d are triangular and fit together such that the full composite target arrangement is square or rectangular shape. This can help with accommodating the target arrangement in the area reserved for metrology in a typical layer design (which often assumes square target arrangements). Furthermore, such a target arrangement is more area efficient and compact, providing larger pads for a given substrate area (e.g., per real estate available). This more optimal use of the available real estate provides greater opportunity for choosing a better ROI, and therefore can increase robustness of the overlay measurement (this also applies to the Figure 9 and 10 arrangements described below).

[00053] In each case, the orientation of (at least some of) the target boundaries minimizes the overlap between the diffraction fringes from the target and from the product. In this way, the proposed layout with oblique boundaries achieves the objective of reduced cross-talk by minimizing the target-product interaction. This effect can be further enhanced by also orienting the grating angle (grating vector) differently to the product. More specifically, the oblique boundaries minimize overlap in the image plane and oblique gratings additionally minimize overlap in the pupil (Fourier) plane.

[00054] Another advantage of the target arrangements illustrated is that, in each case, the targets pairs having a parallel grating vector are located to minimize overlap between their diffraction signatures in the field. This minimizes intra-grating cross-talk between targets having parallel grating vectors. For example, the two x-targets are placed along the y dimension (and vice versa), in the case of the target arrangements of Figure 8(a). Similarly in the target arrangements of Figures 8(b), 8(c), Figures 9(a) and 9(b) and Figure 11(a), target pairs comprising parallel grating vectors are not aligned in the direction normal to the grating vector axis for the target pair, but are offset (e.g., no target is directly in front or directly behind another target with parallel grating direction in the grating vector direction). More specifically, in each of these examples (except that of Figure 9(b)), the offset is such that there is no overlap of the targets in the direction normal to the grating vector axis for the target pair. The placement of targets such as to minimize this diffraction overlap results in in-phase addition (no relative phase) of the diffracted signal from both targets of a target pair.

[00055] Rotated overlay targets ideally should be measured under illumination and detection angle which is aligned to the orientation of the grating pitch. This could be solved in the optics, which is very difficult and/or expensive. While this remains within the scope of the disclosure, it is instead proposed to rotate the wafer under the same angle as the grating.

[00056] Figure 9(a) shows a target arrangement generalizing for arbitrary angle of pitch orientation. In this example, the overlay results OV u , OV v will be provided along a new coordinate system u,v. These overlay values OV u , OV v can be converted to overlay values 014, 1 in the regular coordinate system (x,y) by:

0V X = 0V U cos(e ) - 0 V v sin(0 )

OV y = 0V U sin(6) + 0 V v cos(6)

where Q is the grating angle with respect to x. This treatment is also applicable for the examples of Figures 8(b) and 8(c), where Q = 45°.

[00057] Figure 9(b) illustrates a variation on the generalized pitch angle target arrangement of Figure 9(a). In this example, each target U +d , U d , V +d , V d comprises the same shape and size, with a“hole” or gap in the center (rather than off-center) as is conventional. Note that the targets of Figure 8 could also be adapted to provide for such a hole.

[00058] One application for the target arrangement of Figure 9, is to enable the grating vector to be oriented with a tilted product structure. Tilted product structures, e.g., a memory arrangement with tilted word-lines and/or bit-lines are sometimes used to optimize product shrinkage. Having a grating oriented with the product structure will aid overlay measurements and make them more robust.

[00059] Instead of the arrangement of Figure 9, it may be preferable to adapt the arrangement of either of Figure 8(b) or Figure 8(c), such that the target boundaries remain at 45/-45 degrees, but the gratings are oriented with the (tilted) product structure, where the tilted product structure is tilted (with respect to the x/y coordinate system) at an angle other than 45 degrees. This has the additional main benefit of the target boundaries not being oriented with the product structure, resulting in the crosstalk suppression already discussed. In some such cases it might be sufficient to measure overlay for only a single direction, rather than two. As such, a two-target arrangement such as that shown in Figure 10 may be appropriate. This will simplify the target arrangement layout and reduce that real-estate. The Figure 10 example has the gratings aligned in the product direction (each target having a different offset). The angle of the boundaries at the boundary of the two targets may be at the same angle as the gratings, at 45 degrees (as illustrated here) or at another angle. [00060] In another variation, a four target arrangement may comprise targets in two non-orthogonal directions (rather than two orthogonal directions in the examples above). The grating angles can be aligned independently to individual layer constraints. For example, a first target pair may be aligned with the bit lines of a memory (e.g., DRAM) array and a second target pair aligned with the word-lines. Note that, when measuring such a target arrangement, there is a risk of additional reflections in the sensor due to the non perpendicular diffraction angle. Therefore, measuring a target arrangement with non-orthogonal grating angles, account should be made for limitations in the wavelength/pitch-regime to avoid certain diffraction angles.

[00061] Targets aligned with product structures can be combined with such targets rotated to be unaligned (oblique to) product-structures. Such a target can be used to investigate and/or evaluate the impact of crosstalk with product-structures and correct for this effect.

[00062] Figure 11(a) illustrates a specific example target arrangement with aligned targets (four targets indicated by arrows parallel with x or y) and oblique targets (four targets indicated by arrows not parallel with x or y). For such a target arrangement, the overlay sensitivity (K) should be calculated for every target pair (e.g., pair by direction) separately. This is illustrated by Figure 11(b), which illustrates the overlay sensitivity K u , K v , K x and K y for each of the u, v, x and y directions. Because of the redundant information in overlay parameters, there is room for two additional (intensity or asymmetry) correction parameters, e.g. spatially or bias-angle dependent parameters. This particular octagonal arrangement further provides for 90 degree rotational symmetry in an area efficient package.

[00063] Figure 12 illustrates another embodiment of a target comprising an oblique top grating TG (such as described above) in a top layer in combination with a sieve-type oblique 2D grating (e.g., a 2D alignment grating) as the bottom grating BG in a lower layer. Such a target may be used as a combined alignment and Overlay target, thereby reducing real-estate and alignment-to-overlay errors. The top grating TG may comprise any of the oblique grating target arrangements described above; and more specifically, may comprise the arrangement of Figure 9(b) (e.g., with central hole to aid alignment measurement) as an alternative to that of Figure 8(c) illustrated.

[00064] In a further embodiment, a target is proposed with all boundaries either parallel or perpendicular to product (e.g., a square/rectangular target), the gratings parallel or perpendicular with product, but with obliquely oriented sub-segmentation. Sub-segmentation of a target grating is sometimes used to comply with certain design rules. For example, the sub-segmentation may comprise product-like structures which, for example, may be below the resolution limit of the metrology tool used to measure them. The obliquely oriented sub-segmentation may also be used in combination with any of the other targets and target arrangements described above. Such an arrangement might help in overall overlay accuracy (better defined start/end of lines for example). [00065] In other embodiments with sub-segmentation, the targets may comprise any of the target arrangements described herein, with one or more oblique boundaries and optionally oblique grating vectors, and comprising a sub-segmentation aligned with one or both of the substrate’s x/y coordinate system and the product structures.

[00066] In some metrology tools (either present or in future), a parallel array of sensors may be used to measure multiple targets simultaneously so as to increase throughput. Figure 13(a) illustrates such an arrangement measured at a conventional wafer orientation (e.g., zero degrees). Each square signifies a target and each circle a corresponding sensor to measure the target. When measuring a wafer rotated to an oblique angle (e.g., to measure any of the targets having oblique gratings described above), it will be clear that such parallel measurement will pose a problem as the sensor array cannot simply be rotated accordingly. However, Figure 13(b) illustrates that provided the rotation angle is a fraction of the field-size height/width ratio, the targets on a rotated wafer can still be measured with a parallel sensor array (e.g. using half of the sensors simultaneously).

[00067] It has been demonstrated (based on numerical simulation) that the abovementioned targets enable the surrounding structures to be placed in close proximity to the targets, and even have a similar pitch as the target, and yet be robust to impact of resulting optical crosstalk. For example, simulations of the target illustrated in Figure 8(a) shows much smaller overlay errors compared to a conventional target when and adjacent product area was present. Furthermore, simulations of the target illustrated in Figure 8(b), additionally showed little difference between the overlay error, and overlay error swing curve as a function of ROI size, when measured with and without adjacent product areas. Therefore, this design not only reduces the overlay error due to cross-talk from product but also reduces intra-grating cross-talk effects, thereby making it almost completely insensitive to the presence of nearby product.

[00068] The concepts described above extend to the patterning device(s) or reticle(s) used to form the targets described and disclosed, and/or substrates or wafers having such targets exposed/printed thereon.

[00069] As an alternative to optical metrology methods, it has also been considered to use soft X-rays or EUV radiation, for example radiation in a wavelength range between 0.1 nm and lOOnm, or optionally between lnm and 50 nm or optionally between lOnm and 20nm. One example of metrology tool functioning in one of the above presented wavelength ranges is transmissive small angle X-ray scattering (T-SAXS as in US 2007224518A which content is incorporated herein by reference in its entirety). Profile (CD) measurements using T-SAXS are discussed by Lemaillet et al in“Intercomparison between optical and X- ray scatterometry measurements of FinFET structures”, Proc. of SPIE, 2013, 8681. Reflectometry techniques using X-rays (GI-XRS) and extreme ultraviolet (EUV) radiation at grazing incidence are known for measuring properties of films and stacks of layers on a substrate. Within the general field of reflectometry, goniometric and/or spectroscopic techniques can be applied. In goniometry, the variation of a reflected beam with different incidence angles is measured. Spectroscopic reflectometry, on the other hand, measures the spectrum of wavelengths reflected at a given angle (using broadband radiation). For example, EUV reflectometry has been used for inspection of mask blanks, prior to manufacture of reticles (patterning devices) for use in EUV lithography.

[00070] It is possible that the range of application makes the use of wavelengths in the soft X-rays or EUV domain not sufficient. Therefore published patent applications US 20130304424A1 and US2014019097A1 (Bakeman et al/KLA) describe hybrid metrology techniques in which measurements made using x-rays and optical measurements with wavelengths in the range 120 nm and 2000 nm are combined together to obtain a measurement of a parameter such as CD. A CD measurement is obtained by coupling and x-ray mathematical model and an optical mathematical model through one or more common. The content of the cited US patent application are incorporated herein by reference in their entirety.

[00071] Figure 14 depicts a schematic representation of a metrology apparatus 302 in which radiation in the wavelength range from 0.1 nm to 100 nm may be used to measure parameters of structures on a substrate. The metrology apparatus 302 presented in Figure 4 is suitable for the soft X-rays or EUV domain.

[00072] Figure 14 illustrates a schematic physical arrangement of a metrology apparatus 302 comprising a spectroscopic scatterometer using EUV and/or SXR radiation in grazing incidence, purely by way of example. An alternative form of inspection apparatus might be provided in the form of an angle -resolved scatterometer, which uses radiation in normal or near-normal incidence similar to the conventional scatterometers operating at longer wavelengths.

[00073] Inspection apparatus 302 comprises a radiation source 310, illumination system 312, substrate support 316, detection systems 318, 398 and metrology processing unit (MPU) 320.

[00074] Source 310 in this example comprises a generator of EUV or soft x-ray radiation based on high harmonic generation (HHG) techniques. Such sources are available for example from KMLabs, Boulder Colorado, USA (http://www.kmlabs.com/). Main components of the radiation source are a drive laser 330 and an HHG gas cell 332. A gas supply 334 supplies suitable gas to the gas cell, where it is optionally ionized by an electric source 336. The drive laser 300 may be, for example, a fiber-based laser with an optical amplifier, producing pulses of infrared radiation that may last for example less than 1 ns (1 nanosecond) per pulse, with a pulse repetition rate up to several megahertz, as required. The wavelength of the infrared radiation may be for example in the region of 1 pm (1 micron). The laser pulses are delivered as a first radiation beam 340 to the HHG gas cell 332, where in the gas a portion of the radiation is converted to higher frequencies than the first radiation into a beam 342 including coherent second radiation of the desired wavelength or wavelengths.

[00075] The second radiation may contain multiple wavelengths. If the radiation were monochromatic, then measurement calculations (for example reconstruction) may be simplified, but it is easier with HHG to produce radiation with several wavelengths. The volume of gas within the gas cell 332 defines an HHG space, although the space need not be completely enclosed and a flow of gas may be used instead of a static volume. The gas may be for example a noble gas such as neon (Ne) or argon (Ar). N2, 02, He, Ar, Kr, Xe gases can all be considered. These are matters of design choice, and may even be selectable options within the same apparatus. Different wavelengths will, for example, provide different levels of contrast when imaging structure of different materials. For inspection of metal structures or silicon structures, for example, different wavelengths may be selected to those used for imaging features of (carbon-based) resist, or for detecting contamination of such different materials. One or more filtering devices 344 may be provided. For example a filter such as a thin membrane of Aluminum (Al) may serve to cut the fundamental IR radiation from passing further into the inspection apparatus. A grating (not shown) may be provided to select one or more specific harmonic wavelengths from among those generated in the gas cell. Some or all of the beam path may be contained within a vacuum environment, bearing in mind that SXR radiation is absorbed when traveling in air. The various components of radiation source 310 and illumination optics 312 can be adjustable to implement different metrology‘recipes’ within the same apparatus. For example different wavelengths and/or polarization can be made selectable.

[00076] Depending on the materials of the structure under inspection, different wavelengths may offer a desired level of penetration into lower layers. For resolving the smallest device features and defects among the smallest device features, then a short wavelength is likely to be preferred. For example, one or more wavelengths in the range 1-20 nm or optionally in the range 1-10 nm or optionally in the range 10-20 nm may be chosen. Wavelengths shorter than 5 nm suffer from very low critical angle when reflecting off materials typically of interest in semiconductor manufacture. Therefore to choose a wavelength greater than 5 nm will provide stronger signals at higher angles of incidence. On the other hand, if the inspection task is for detecting the presence of a certain material, for example to detect contamination, then wavelengths up to 50 nm could be useful.

[00077] From the radiation source 310, the filtered beam 342 enters an inspection chamber 350 where the substrate W including a structure of interest is held for inspection at a measurement position by substrate support 316. The structure of interest is labeled T. The atmosphere within inspection chamber 350 is maintained near vacuum by vacuum pump 352, so that EUV radiation can pass with-out undue attenuation through the atmosphere. The Illumination system 312 has the function of focusing the radiation into a focused beam 356, and may comprise for example a two-dimensionally curved mirror, or a series of one- dimensionally curved mirrors, as described in published US patent application US2017/0184981A1 (which content is incorporated herein by reference in its entirety), mentioned above. The focusing is performed to achieve a round or elliptical spot S under 10 pm in diameter, when projected onto the structure of interest. Substrate support 316 comprises for example an X-Y translation stage and a rotation stage, by which any part of the substrate W can be brought to the focal point of beam to in a desired orientation. Thus the radiation spot S is formed on the structure of interest. Alternatively, or additionally, substrate support 316 comprises for example a tilting stage that may tilt the substrate W at a certain angle to control the angle of incidence of the focused beam on the structure of interest T.

[00078] Optionally, the illumination system 312 provides a reference beam of radiation to a reference detector 314 which may be configured to measure a spectrum and/or intensities of different wavelengths in the filtered beam 342. The reference detector 314 may be configured to generate a signal 315 that is provided to processor 310 and the filter may comprise information about the spectrum of the filtered beam 342 and/or the intensities of the different wavelengths in the filtered beam.

[00079] Reflected radiation 360 is captured by detector 318 and a spectrum is provided to processor 320 for use in calculating a property of the target structure T. The illumination system 312 and detection system 318 thus form an inspection apparatus. This inspection apparatus may comprise an soft X-ray and/or EUV spectroscopic reflectometer of the kind described in US2016282282A1 which content is incorporated herein by reference in is entirety.

[00080] If the target T has a certain periodicity, the radiation of the focussed beam 356 may be partially diffracted as well. The diffracted radiation 397 follows another path at well-defined angles with respect to the angle of incidence then the reflected radiation 360. In Figure 4, the drawn diffracted radiation 397 is drawn in a schematic manner and diffracted radiation 397 may follow many other paths than the drawn paths. The inspection apparatus 302 may also comprise further detection systems 398 that detect and/or image at least a portion of the diffracted radiation 397. In Figure 4 a single further detection system 398 is drawn, but embodiments of the inspection apparatus 302 may also comprise more than one further detection system 398 that are arranged at different position to detect and/or image diffracted radiation 397 at a plurality of diffraction directions. In other words, the (higher) diffraction orders of the focussed radiation beam that impinges on the target T are detected and/or imaged by one or more further detection systems 398. The one or more detection systems 398 generates a signal 399 that is provided to the metrology processor 320. The signal 399 may include information of the diffracted light 397 and/or may include images obtained from the diffracted light 397.

[00081] To aid the alignment and focusing of the spot S with desired product structures, inspection apparatus 302 may also provide auxiliary optics using auxiliary radiation under control of metrology processor 320. Metrology processor 320 can also communicate with a position controller 372 which operates the translation stage, rotation and/or tilting stages. Processor 320 receives highly accurate feedback on the position and orientation of the substrate, via sensors. Sensors 374 may include interferometers, for example, which can give accuracy in the region of picometers. In the operation of the inspection apparatus 302, spectrum data 382 captured by detection system 318 is delivered to metrology processing unit 320. [00082] As mentioned an alternative form of inspection apparatus uses soft X-ray and/or EUV radiation at normal incidence or near-normal incidence, for example to perform diffraction-based measurements of asymmetry. Both types of inspection apparatus could be provided in a hybrid metrology system. Performance parameters to be measured can include overlay (OVL), critical dimension (CD), coherent diffraction imaging (CDI) and at-resolution overlay (ARO) metrology. The soft X-ray and/or EUV radiation may for example have wavelengths less than 100 nm, for example using radiation in the range 5-30 nm, of optionally in the range from 10 nm to 20 nm. The radiation may be narrowband or broadband in character. The radiation may have discrete peaks in a specific wavelength band or may have a more continuous character.

[00083] Like the optical scatterometer used in today’s production facilities, the inspection apparatus 302 can be used to measure structures within the resist material treated within the litho cell (After Develop Inspection or ADI), and/or to measure structures after they have been formed in harder material (After Etch Inspection or AEI). For example, substrates may be inspected using the inspection apparatus 302 after they have been processed by a developing apparatus, etching apparatus, annealing apparatus and/or other apparatus

[00084] For SXR metrology in particular it is considered technologically very difficult to achieve low overfill (0.01%) on small (5x5 pm) targets. For such a tool, it should be appreciated that use of rotated or oblique targets (as disclosed herein, particularly where the target grating is also rotated with respect to the product) results in the entire diffraction signal of the target rotating around the center of the pupil with respect to that of the product, entirely separating the diffraction from the target and the product. This eliminates the impact of overfill entirely. In principle an arbitrarily small angle would achieve this, but in practice due to the finite beam divergence (meaning it actually diffracts in a cone, not a single angle) an angle in the order of 5 mrad or greater should be used. In this manner, the pattern that is detected on detector 398 has separate areas for the rotated targets with respect to the surrounding product structures.

[00085] In another embodiment of a compound target arrangement comprising multiple targets, such as a target arrangement comprising multiple overlay biases for a DBO-like measurement, such a target arrangement can be combined and measured into one acquisition using multiple rotations (i.e., each target comprises a different rotation. This eliminates move time and drift between the measurements.

[00086] Another realization of this is to use only a single bias in the target, and to make the target so small that about half the incident measurement radiation falls on the target and the other half on the product structures. The unbiased product structure can be used as the differently biased target of a DBO pair in a DBO measurement.

[00087] Such rotated/oblique targets (including those with rotated pitches) are simple to implement (print). These designs are not restricted to only spatially coherent illumination but may be used in combination with tools which use spatially incoherent illumination or partially spatially coherent illumination. The methods described herein may be applied in general to any case where optical crosstalk suppression is desired. The proposed approach is independent from existing approaches which focus on sensor and imaging aspects (e.g. pupil masking, pupil apodization, etc.). As such it provides an additional solution approach which can be implemented in concert to augment other approaches. In short, it provides an additional stand-alone ‘tool’ in the‘tool-kit’ for mitigating optical crosstalk.

[00088] While the principles above are described in relation to overlay targets and overlay target arrangements, they can also be applied to other parameters of interest; for example focus targets for inferring the focus setting used to print the target. Such focus targets may comprise, for example diffraction based focus targets which print with a focus dependent asymmetry which can be measured by, for example, the metrology tool of Figure 5. Other applications include targets for measuring CD and/or other dimensions of interest (e.g., as part of a profile reconstruction). Another possible application is image based overlay (IBO), where the targets can be rotated for each layer. The target orientation may even be different per layer.

[00089] Further embodiments are disclosed in the subsequent numbered clauses:

1. A patterning device for patterning product structures onto a substrate, the patterning device comprising:

target patterning elements for patterning at least one target from which a parameter of interest can be inferred, and

product patterning elements for patterning said product structures;

wherein said target patterning elements and product patterning elements are configured such that said at least one target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures on said substrate.

2. A patterning device as defined in clause 1, said target patterning elements are configured such that said at least one boundary is between 10 and 80 degrees with respect to said product structures.

3. A patterning device as defined in clause 1, said target patterning elements are configured such that said at least one boundary is between 20 and 70 degrees with respect to said product structures.

4. A patterning device as defined in any preceding clause, said target patterning elements are configured such that the target comprises a periodic structure with a direction of periodicity either parallel or perpendicular with respect to said product structures.

5. A patterning device as defined in any of clauses 1 to 3, wherein said target patterning elements are configured such that the target comprises a periodic structure with a direction of periodicity neither parallel nor perpendicular with respect to said product structures. 6. A patterning device as defined in clause 5, wherein said target patterning elements are configured to pattern at least a pair of said targets, each having a periodic structure with a mutually different direction of periodicity which is neither parallel nor perpendicular with respect to said product structures.

7. A patterning device as defined in any preceding clause, wherein said target patterning elements are configured such that said at least one target has all of its boundaries neither parallel nor perpendicular with respect to said product structures.

8. A patterning device as defined in clause 7, wherein said target patterning elements are configured such that said target comprises a rhombus shape.

9. A patterning device as defined in clause 8, wherein said target patterning elements are configured such that said target comprises a rectangle or square oriented at an angle neither perpendicular nor parallel to said product structures.

10. A patterning device as defined in any of clauses 1 to 6, wherein said target patterning elements are configured such that said target comprises a triangular shape.

11. A patterning device as defined in clause 10, wherein said target patterning elements are configured to pattern four of said targets in a rectangular or square target arrangement.

12. A patterning device as defined in any preceding clause, wherein said target patterning elements are configured to pattern two pairs of said targets in a target arrangement comprising a first pair for measuring the parameter of interest in a first measurement direction and a second pair for measuring the parameter of interest in a second measurement direction and such that the first pair of targets are not aligned in the second measurement direction and the second pair of targets are not aligned in the first measurement direction.

13. A patterning device as defined in clause 12, wherein said first measurement direction and said second measurement direction are mutually perpendicular.

14. A patterning device as defined in any preceding clause, wherein said target patterning elements are configured such that said at least one target has at least one boundary which is neither parallel nor perpendicular with respect to one or both of a boundary of a product area defined by the product patterning elements and a direction of periodicity of the product patterning elements.

15. A patterning device as defined in clause 14, wherein the substrate has a coordinate system defined by a predominant orientation of the product structures; and said target patterning elements are configured such that said at least one target has said at least one boundary which is neither parallel nor perpendicular with respect to the substrate’s coordinate system.

16. A patterning device as defined in clause 15, wherein said boundary of a product area defined by the product patterning elements and/or the direction of periodicity of the product patterning elements are all either parallel or perpendicular with respect to the substrate’s coordinate system. 17. A patterning device as defined in any of clauses 14 to 16, wherein at least some of the product patterning elements are local to the target patterning elements.

18. A patterning device as defined in any of clauses 14 to 16 configured for use with a specific lithographic system comprising a metrology tool, said metrology tool having a defined field of view; and at least some of the product patterning elements are located within a distance of the target patterning elements on the patterning device such that the corresponding distance on the substrate between the target and the product structures are within said field of view of the metrology tool.

19. A patterning device as defined clause 17 or 18, wherein at least some of the product patterning elements are located within a distance of the target patterning elements on the patterning device such that the corresponding distance between the target and the product structures are within 50pm of each other on the substrate.

20. A patterning device as defined in any preceding clause, wherein said target patterning elements and product patterning elements are configured such that said at least one target comprises a periodic structure having a first direction of periodicity, said first direction of periodicity being parallel with a first direction of periodicity of the product structures.

21. A patterning device as defined clause 20, wherein said target patterning elements are configured to pattern a target arrangement consisting of only a pair of said targets each having said first direction of periodicity.

22. A patterning device as defined clause 20, wherein said target patterning elements are configured to pattern a target arrangement comprising a first pair of said targets having said first direction of periodicity and a second pair of said targets having a second period of periodicity.

23. A patterning device as defined clause 22, wherein said target patterning elements are configured such that said second direction is perpendicular to the first direction.

24. A patterning device as defined in clause 22, wherein said target patterning elements and product patterning elements are configured such that said second direction is non-perpendicular to the first direction and parallel to a second direction of periodicity of the product structures.

25. A patterning device as defined in any preceding clause, wherein said target patterning elements are configured to sub-segment the target; and wherein a direction of periodicity of the sub-segmentation is neither parallel nor perpendicular with said product structures.

26. A patterning device as defined in any of clauses 1 to 24, wherein said target patterning elements are configured to sub-segment the target; and wherein a direction of periodicity of the sub-segmentation is either parallel or perpendicular with said product structures.

27. A patterning device for patterning product structures onto a substrate, the patterning device comprising: target patterning elements for patterning at least one target from which a parameter of interest can be inferred, said target patterning elements being configured to form a sub-segmented target; and product patterning elements for patterning said product structures;

wherein said target patterning elements and product patterning elements are configured such that a direction of periodicity of the sub-segmentation of the sub-segmented target is neither parallel nor perpendicular with the respect to said product structures on said substrate.

28. A pair of complementary patterning devices comprising a first patterning device and a second patterning device, wherein at least one of said pair of complementary patterning devices comprise a patterning devices of any preceding clause, wherein the target patterning elements in said first patterning device are configured to provide a first target in a first layer and the target patterning elements in said second patterning device are configured to provide a second target overlaying said first target in a second layer said first target and second target together forming an overlay target, said parameter of interest being overlay.

29. A pair of complementary patterning devices as defined in clause 28, wherein said first patterning device and second patterning device each comprise one of complementary patterning devices of any preceding clause.

30. A pair of complementary patterning devices as defined in clause 28, wherein said first patterning device comprises target patterning elements configured such that said first target comprises a two- dimensional grating aligned neither parallel nor perpendicular with respect to said product structures; and which can be used for measurement of alignment.

31. A substrate comprising a target or target arrangement formed in a lithographic process using the patterning device or pair of patterning devices as defined in any preceding clause.

32. A substrate comprising:

product structures operable to form a functioning integrated circuit or part thereof; and

a target for measuring from which a parameter of interest can be inferred,

wherein said target has at least one boundary which is neither parallel nor perpendicular with respect to said product structures.

[00090] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.

[00091] Although specific reference may be made in this text to embodiments of the invention in the context of a lithographic apparatus, embodiments of the invention may be used in other apparatus. Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non- vacuum) conditions.

[00092] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention, where the context allows, is not limited to optical lithography and may be used in other applications, for example imprint lithography.

[00093] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.