Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
Document Type and Number:
WIPO Patent Application WO/2018/042284
Kind Code:
A1
Abstract:
The yield of a manufacturing process of a semiconductor device is increased. The productivity of a semiconductor device is increased. A first material layer is formed over a substrate, a second material layer is formed over the first material layer, and the first material layer and the second material layer are separated from each other, so that a semiconductor device is manufactured. In addition, a stack including the first material layer and the second material layer is preferably heated before the separation. The first material layer includes one or more of hydrogen, oxygen, and water. The first material layer includes a metal oxide, for example. The second material layer includes a resin (e.g., polyimide or acrylic). The first material layer and the second material layer are separated from each other by cutting a hydrogen bond. The first material layer and the second material layer are separated from each other in such a manner that water separated out by heat treatment at an interface between the first material layer and the second material layer or in the vicinity of the interface is irradiated with light.

Inventors:
YAMAZAKI SHUNPEI (JP)
SATO MASATAKA
IKEZAWA NAOKI
YANAKA JUNPEI
IDOJIRI SATORU (JP)
Application Number:
PCT/IB2017/055049
Publication Date:
March 08, 2018
Filing Date:
August 22, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SEMICONDUCTOR ENERGY LAB (JP)
International Classes:
H01L21/02; B23K26/57; B32B9/00; B32B15/04; B32B38/18; G09F9/00; H01L21/336; H01L27/12; H01L29/786
Domestic Patent References:
WO2015087192A12015-06-18
WO2014129519A12014-08-28
WO2016010106A12016-01-21
WO2015147106A12015-10-01
Foreign References:
US20160172244A12016-06-16
US20070004233A12007-01-04
Download PDF:
Claims:
CLAIMS

1. A method for manufacturing a semiconductor device comprising the steps of:

forming a first material layer over a substrate;

forming a second material layer over the first material layer; and

separating the first material layer and the second material layer from each other, wherein the first material layer comprises one or more of hydrogen, oxygen, and water, wherein the second material layer comprises a resin,

wherein the first material layer and the second material layer are separated by cutting a hydrogen bond, and

wherein the hydrogen bond is cut by irradiation with the light.

2. The method for manufacturing a semiconductor device, according to claim 1, wherein the first material layer and the second material layer are separated from each other at an interface between the first material layer and the second material layer or in a vicinity of the interface by cutting the hydrogen bond.

3. The method for manufacturing a semiconductor device, according to claim 1, wherein the first material layer and the second material layer are separated from each other with laser light.

4. The method for manufacturing a semiconductor device, according to claim 3, wherein the hydrogen bond is cut by irradiating an interface between the first material layer and the second material layer or a vicinity of the interface with the laser light.

5. The method for manufacturing a semiconductor device, according to claim 1, wherein the irradiation with the light is performed so that the light is in a wavelength range of greater than or equal to 180 nm to less than or equal to 450 nm. 6. The method for manufacturing a semiconductor device, according to claim 1, wherein the irradiation with the light is performed at an energy density of greater than or equal to 300 mJ/cm2 and less than or equal to 360 mJ/cm2.

7. The method for manufacturing a semiconductor device, according to claim 1, wherein the first material layer is formed so that adhesion between the first material layer and the second material layer is lower than adhesion between the first material layer and the substrate.

8. The method for manufacturing a semiconductor device, according to claim 1, wherein the first material layer is formed to comprise one or more of titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, and tin.

9. The method for manufacturing a semiconductor device, according to claim 1, wherein the second material layer is formed to comprise a region with a thickness of greater than or equal to 0.1 μπι and less than or equal to 5 μπι.

10. The method for manufacturing a semiconductor device, according to claim 1, wherein the second material layer is formed to comprise a residue of a compound represented by Structural formula (100):

(1 00)

11. The method for manufacturing a semiconductor device, according to claim 1, wherein liquid is fed to a separation interface in the step of separating the first material layer and the second material layer.

12. A method for manufacturing a semiconductor device comprising the steps of:

forming a first material layer over a substrate;

forming a second material layer over the first material layer;

heating a stack comprising the first material layer and the second material layer; and separating the first material layer and the second material layer from each other, wherein the first material layer comprises one or more of hydrogen, oxygen, and water, wherein the second material layer comprises a resin,

wherein water is separated out at an interface between the first material layer and the second material layer or in a vicinity of the interface in the step of heating the stack, and

wherein the first material layer and the second material layer are separated by irradiating the water at the interface or in the vicinity of the interface with light in the step of separating.

13. The method for manufacturing a semiconductor device, according to claim 12, wherein the irradiation is performed so that the light is in a wavelength range of greater than or equal to 180 nm to less than or equal to 450 nm.

14. The method for manufacturing a semiconductor device, according to claim 12, wherein the irradiation with the light is performed at an energy density of greater than or equal to 300 mJ/cm2 and less than or equal to 360 mJ/cm2.

15. The method for manufacturing a semiconductor device, according to claim 12, wherein the first material layer is formed so that adhesion between the first material layer and the second material layer is lower than adhesion between the first material layer and the substrate.

16. The method for manufacturing a semiconductor device, according to claim 12, wherein the first material layer is formed to comprise one or more of titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, and tin.

17. The method for manufacturing a semiconductor device, according to claim 12, wherein the second material layer is formed to comprise a region with a thickness of greater than or equal to 0.1 μπι and less than or equal to 5 μπι.

18. The method for manufacturing a semiconductor device, according to claim 12, wherein the second material layer is formed to comprise a residue of a compound represented by Structural formula (100):

(1 00)

19. The method for manufacturing a semiconductor device, according to claim 12, wherein liquid is fed to a separation interface in the step of separating the first material layer and the second material layer.

20. A method for manufacturing a semiconductor device comprising the steps of:

forming a metal oxide layer over a substrate; forming a resin layer over the metal oxide layer to comprise a region with a thickness of greater than or equal to 0.1 μιη and less than or equal to 5 μιη;

forming a transistor comprising a metal oxide in a channel formation region over the resin layer; and

separating the metal oxide layer and the resin layer by irradiation with light.

21. The method for manufacturing a semiconductor device, according to claim 20, wherein the metal oxide layer is formed by forming a metal layer over the substrate and performing plasma treatment on a surface of the metal layer.

22. The method for manufacturing a semiconductor device, according to claim 21, wherein the surface of the metal layer is exposed to an atmosphere comprising one or both of oxygen and water vapor in the plasma treatment.

23. The method for manufacturing a semiconductor device, according to claim 20, wherein the resin layer is formed to have an average transmittance of light in a wavelength range of greater than or equal to 450 nm to less than or equal to 700 nm of 70 % or higher.

24. The method for manufacturing a semiconductor device, according to claim 21, wherein an interface between the metal oxide layer and the resin layer or a vicinity of the interface is irradiated with linear laser light from a substrate side.

Description:
DESCRIPTION

METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE TECHNICAL FIELD

[0001]

One embodiment of the present invention relates to a peeling method, a manufacturing method of a semiconductor device, and a manufacturing method of a display device.

[0002]

Note that one embodiment of the present invention is not limited to the above technical field. Examples of the technical field of one embodiment of the present invention include a semiconductor device, a display device, a light-emitting device, a power storage device, a memory device, an electronic device, a lighting device, an input device (such as a touch sensor), an input/output device (such as a touch panel), a driving method thereof, and a manufacturing method thereof.

[0003]

Note that in this specification and the like, a semiconductor device generally means a device that can function by utilizing semiconductor characteristics. A transistor, a semiconductor circuit, a display device, a light-emitting device, an input device, an input/output device, an arithmetic device, a memory device, and the like are each an embodiment of a semiconductor device. In addition, an imaging device, an electro-optical device, a power generation device (e.g., a thin film solar cell and an organic thin film solar cell), and an electronic device may each include a semiconductor device. BACKGROUND ART

[0004]

Display devices using organic electroluminescent (EL) elements or liquid crystal elements have been known. Examples of the display device also include a light-emitting device provided with a light-emitting element such as a light-emitting diode (LED), and electronic paper performing display with an electrophoretic method or the like.

[0005]

The organic EL element generally has a structure in which a layer containing a light-emitting organic compound is provided between a pair of electrodes. When voltage is applied to this element, light emission can be obtained from the light-emitting organic compound. With use of such an organic EL element, thin, lightweight, high-contrast, and low-power-consumption display devices can be achieved.

[0006]

A flexible display device can be obtained by formation of a semiconductor element such as a transistor or a display element such as an organic EL element over a flexible substrate (film).

[0007]

In a manufacturing method of a flexible display device that is disclosed in Patent Document 1, laser light irradiation is performed on a supporting substrate (a glass substrate) over which a sacrifice layer, a heat-resistant resin layer, and an electronic element are provided in that order, and the heat-resistant resin layer is peeled from the glass substrate.

[Reference]

[Patent Document]

[0008]

[Patent Document 1] Japanese Published Patent Application No. 2015-223823

DISCLOSURE OF INVENTION

[0009]

An object of one embodiment of the present invention is to provide a novel peeling method, a novel manufacturing method of a semiconductor device, or a novel manufacturing method of a display device. Another object of one embodiment of the present invention is to provide a peeling method, a manufacturing method of a semiconductor device, or a manufacturing method of a display device each having a low cost and a high productivity. Another object of one embodiment of the present invention is to provide a high-yield peeling method. Another object of one embodiment of the present invention is to manufacture a semiconductor device or a display device using a large-sized substrate. Another object of one embodiment of the present invention is to manufacture a semiconductor device or a display device at low temperatures.

[0010]

Another object of one embodiment of the present invention is to provide a display device with low power consumption. Another object of one embodiment of the present invention is to provide a display device with high reliability. Another object of one embodiment of the present invention is to reduce the thickness or weight of a display device. Another object of one embodiment of the present invention is to provide a display device having flexibility or a curved surface. Another object of one embodiment of the present invention is to provide a robust display device. Another object of one embodiment of the present invention is to provide a novel display device, a novel input/output device, a novel electronic device, or the like.

[0011]

Note that the descriptions of these objects do not preclude the existence of other objects. One embodiment of the present invention does not necessarily achieve all the objects. Other objects can be derived from the description of the specification, the drawings, and the claims.

[0012]

One embodiment of the present invention is a method for manufacturing a semiconductor device including the steps of: forming a first material layer over a substrate; forming a second material layer over the first material layer; and separating the first material layer and the second material layer from each other. The first material layer includes one or more of hydrogen, oxygen, and water. The second material layer includes a resin. The first material layer and the second material layer are separated from each other by cutting a hydrogen bond. The hydrogen bond is cut by light irradiation. It is preferable that the first material layer and the second material layer be separated from each other at an interface between the first material layer and the second material layer or in the vicinity thereof by cutting the hydrogen bond.

[0013]

Another embodiment of the present invention is a method for manufacturing a semiconductor device including the steps of: forming a first material layer over a substrate; forming a second material layer over the first material layer; and separating the first material layer and the second material layer from each other with light. The first material layer includes one or more of hydrogen, oxygen, and water. The second material layer includes a resin. The first material layer and the second material layer are separated from each other by cutting a hydrogen bond. Laser light is used as the light. The hydrogen bond is cut by irradiating an interface between first material layer and the second material layer or the vicinity thereof with the laser light.

[0014]

Another embodiment of the present invention is a method for manufacturing a semiconductor device including the steps of: forming a first material layer over a substrate; forming a second material layer over the first material layer; heating a stack including the first material layer and the second material layer; and separating the first material layer and the second material layer from each other. The first material layer includes one or more of hydrogen, oxygen, and water. The second material layer includes a resin. Water is separated out at an interface between the first material layer and the second material layer or in the vicinity of the interface in the step of heating the stack. The first material layer and the second material layer are separated from each other by irradiating the water at the interface or in the vicinity of the interface with light in the step of separating.

[0015]

The second material layer is preferably formed to have an average transmittance of light in a wavelength range of greater than or equal to 450 nm to less than or equal to 700 nm of 70 % or higher. The second material layer is preferably formed to have an average transmittance of light in a wavelength range of greater than or equal to 400 nm to less than or equal to 700 nm of 70 % or higher, more preferably 80 % or higher. For example, the second material layer preferably includes acrylic. Alternatively, the second material layer preferably includes polyimide.

[0016]

The light with which the irradiation is performed in the step of separating is preferably in a wavelength range of greater than or equal to 180 nm to less than or equal to 450 nm. The light preferably has a wavelength of 308 nm or around 308 nm. The absorptance of the light (e.g., light with a wavelength of 308 nm) of the stack including the substrate, the first material layer, and the second material layer in the step of separating is higher than or equal to 80 % and lower than or equal to 100 %, more preferably higher than or equal to 85 % and lower than or equal to 100 %.

[0017]

The light irradiation is preferably performed with a laser apparatus. The light irradiation is preferably performed with a linear laser apparatus.

[0018]

The light irradiation is preferably performed at an energy density of greater than or equal to 300 mJ/cm 2 and less than or equal to 360 mJ/cm 2 .

[0019]

Adhesion between the first material layer and the second material layer is preferably lower than adhesion between the first material layer and the substrate.

[0020]

The first material layer is preferably formed to include one or more of titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, and tin. The first material layer is preferably formed to include one or more of titanium and titanium oxide. The first material layer is preferably formed to have a stack including titanium and titanium oxide.

[0021]

The second material layer is preferably formed to have a region with a thickness of greater than or equal to 0.1 μιη and less than or equal to 5 μιη.

[0022]

The second material layer is preferably formed to include a residue of a compound represented by Structural formula (100).

[0023]

[Chemical formula 1]

nuuu

(1 00)

[0024]

In the step of forming the first material layer, a metal oxide layer may be formed by forming a metal layer over the substrate and performing plasma treatment on a surface of the metal layer. In the plasma treatment, the surface of the metal layer is preferably exposed to an atmosphere including one or both of oxygen and water vapor (H 2 0).

[0025]

The step of separating the first material layer and the second material layer from each other is preferably performed while liquid is fed to a separation interface. The liquid preferably includes water.

[0026]

Another embodiment of the present invention is a method for manufacturing a semiconductor device including the steps of: forming a metal oxide layer over a substrate; forming a resin layer over the metal oxide layer to include a region with a thickness of greater than or equal to 0.1 μπι and less than or equal to 5 μπι; forming a transistor including a metal oxide in a channel formation region over the resin layer; and separating the metal oxide layer and the resin layer from each other by light irradiation.

[0027]

It is preferable that the metal oxide layer be formed by forming a metal layer over the substrate and performing plasma treatment on a surface of the metal layer. In the plasma treatment, a surface of the metal layer is preferably exposed to an atmosphere including one or both of oxygen and water vapor (H 2 0).

[0028]

The resin layer is preferably formed to have an average transmittance of light in a wavelength range of greater than or equal to 450 nm to less than or equal to 700 nm of 70 % or higher. [0029]

An interface between the metal oxide layer and the resin layer or the vicinity thereof is preferably irradiated with a linear laser from the substrate side.

[0030]

According to one embodiment of the present invention, a novel peeling method, a novel manufacturing method of a semiconductor device, or a novel manufacturing method of a display device can be provided. According to one embodiment of the present invention, a peeling method, a manufacturing method of a semiconductor device, or a manufacturing method of a display device each having a low cost and a high productivity can be provided. According to one embodiment of the present invention, a high-yield peeling method can be provided. According to one embodiment of the present invention, a semiconductor device or a display device can be manufactured using a large-sized substrate. According to one embodiment of the present invention, a semiconductor device or a display device can be manufactured at low temperatures.

[0031]

According to one embodiment of the present invention, a display device with low power consumption can be provided. According to one embodiment of the present invention, a display device with high reliability can be provided. According to one embodiment of the present invention, the thickness or weight of a display device can be reduced. According to one embodiment of the present invention, a display device having flexibility or a curved surface can be provided. According to one embodiment of the present invention, a robust display device can be provided. According to one embodiment of the present invention, a novel display device, a novel input/output device, a novel electronic device, or the like can be provided.

[0032]

Note that the descriptions of these effects do not preclude the existence of other effects.

One embodiment of the present invention does not necessarily have all the effects. Other effects can be derived from the description of the specification, the drawings, and the claims.

BRIEF DESCRIPTION OF DRAWINGS

[0033]

FIG. 1 is schematic diagrams illustrating an example of a peeling method.

FIG. 2 is schematic diagrams illustrating an example of a peeling method.

FIG. 3 is schematic diagrams illustrating an example of a peeling method.

FIG. 4 is a schematic diagram illustrating an example of an interface between a metal oxide layer and a resin layer. FIGS. 5A1, 5A2, 5B, 5C and, 5D are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 6A, 6B1, and 6B2 are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 7A and 7B are cross-sectional views each illustrating an example of a manufacturing method of a display device.

FIGS. 8A to 8E are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 9A, 9B1, 9B2, 9B3, and 9B4 are cross- sectional views and top views illustrating examples of a manufacturing method of a display device.

FIGS. 10A to IOC are a cross-sectional view and top views illustrating examples of a manufacturing method of a display device.

FIGS. 11A and 11B are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 12A to 12C are a top view and cross-sectional views illustrating examples of a display device.

FIGS. 13A to 13C are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 14A to 14D are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIG. 15 is a cross-sectional view illustrating an example of a manufacturing method of a display device.

FIGS. 16A and 16B are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIG. 17 is a cross-sectional view illustrating an example of a manufacturing method of a display device.

FIGS. 18A and 18B are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 19A and 19B are cross-sectional views each illustrating an example of a manufacturing method of a display device.

FIGS. 20A and 20B are cross-sectional views each illustrating an example of a manufacturing method of a display device.

FIGS. 21A and 21B are a top view and a cross-sectional view illustrating an example of a display device.

FIG. 22 illustrates an example of a stack manufacturing apparatus. FIGS. 23 A and 23B illustrate an example of a laser irradiation unit.

FIGS. 24 A to 24E are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 25A to 25E are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 26 A to 26C are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 27A and 27B are cross-sectional views illustrating an example of a manufacturing method of a display device.

FIGS. 28A to 28C are a top view and cross-sectional views illustrating examples of a display device.

FIG. 29 is a perspective view illustrating an example of a display device.

FIG. 30 is a cross-sectional view illustrating an example of a display device.

FIG. 31 is a flowchart showing an example of a manufacturing method of a display device.

FIG. 32 is a cross-sectional view illustrating an example of a manufacturing method of a display device.

FIGS. 33A and 33B are cross-sectional diagrams illustrating an example of a manufacturing method of a display device.

FIG. 34 is a cross-sectional view illustrating an example of an input/output device. FIG. 35 is a flowchart showing an example of a manufacturing method of a display device.

FIG. 36 is a cross-sectional view illustrating an example of a manufacturing method of a display device.

FIG. 37 is a cross-sectional view illustrating an example of a manufacturing method of a display device.

FIG. 38 is a cross-sectional view illustrating an example of an input/output device. FIGS. 39A and 39B illustrate an example of a display module.

FIGS. 40A to 40D illustrate examples of an electronic device.

FIGS. 41 A to 41E illustrates examples of an electronic device.

FIG. 42 shows peeling results of Example 1.

FIGS. 43A to 43C are cross-sectional STEM observation images of a sample of Example 1.

FIG. 44 shows an example of laser processing conditions.

FIG. 45 shows peeling results of Example 2. FIGS. 46A1, 46A2, 46B1, 46B2, 46C1, 46C2, 46D1, and 46D2 illustrate manufacturing methods and peeling methods of samples of Example 2.

FIGS. 47A to 47F are microscope observation photographs each showing the shape of light-blocking layers formed in Example 2.

FIGS. 48A to 48F are microscope observation photographs of a peeling surface on the glass substrate side in Example 2.

FIGS. 49A to 49D are microscope observation photographs of a peeling surface on the glass substrate side in Example 2.

FIGS. 50A to 50F are microscope observation photographs of a peeling surface on the film substrate side in Example 2.

FIGS. 51 A and 5 IB show peeling results of Example 2.

FIG. 52 shows light transmittance in Example 4.

FIG. 53 is a photograph showing peeling results of Example 4.

FIGS. 54A and 54B are cross-sectional observation photographs after peeling of a sample of Example 4.

FIG. 55 shows U-V g characteristics of transistors of Example 5.

FIG. 56 is a photograph displayed on a flexible OLED display of Example 5.

BEST MODE FOR CARRYING OUT THE INVENTION

[0034]

Embodiments will be described in detail with reference to drawings. Note that the present invention is not limited to the following description, and it is easily understood by those skilled in the art that various changes and modifications can be made without departing from the spirit and scope of the present invention. Accordingly, the present invention should not be interpreted as being limited to the description of the embodiments below.

[0035]

Note that in the structures of the invention described below, the same portions or portions having similar functions are denoted by the same reference numerals in different drawings, and description of such portions is not repeated. Further, the same hatching pattern is applied to portions having similar functions, and the portions are not denoted by reference numerals in some cases.

[0036]

The position, size, range, or the like of components illustrated in drawings is not accurately represented in some cases for easy understanding. Therefore, the disclosed invention is not necessarily limited to the position, size, range, or the like disclosed in the drawings.

[0037]

Note that the terms "film" and "layer" can be interchanged with each other depending on the case or circumstances. For example, the term "conductive layer" can be changed into the term "conductive film," and the term "insulating film" can be changed into the term "insulating layer."

[0038]

In this specification and the like, a metal oxide means an oxide of metal in a broad sense. Metal oxides are classified into an oxide insulator, an oxide conductor (including a transparent oxide conductor), an oxide semiconductor (also simply referred to as an OS), and the like. For example, a metal oxide used in a semiconductor layer of a transistor is called an oxide semiconductor in some cases. In other words, an OS FET is a transistor including a metal oxide or an oxide semiconductor.

[0039]

In this specification and the like, a metal oxide including nitrogen is also called a metal oxide in some cases. Moreover, a metal oxide including nitrogen may be called a metal oxynitride.

[0040]

(Embodiment 1)

In this embodiment, a peeling method of one embodiment of the present invention and a manufacturing method of a display device of one embodiment of the present invention will be described with reference to FIG. 1, FIG. 2, FIG. 3, FIG. 4, FIGS. 5A1, 5A2, 5B, 5C, and 5D, FIGS. 6A, 6B1, and 6B2, FIGS. 7A and 7B, FIGS. 8A to 8E, FIGS. 9A, 9B1, 9B2, 9B3, and 9B4, FIGS. 10A to IOC, FIGS. 11A and 11B, FIGS. 12A to 12C, FIGS. 13A to 13C, FIGS. 14A to 14D, FIG. 15, FIGS. 16A and 16B, FIG. 17, FIGS. 18A and 18B, FIGS. 19A and 19B, FIGS. 20A and 20B, FIGS. 21A and 21B, FIG. 22, and FIGS. 23A and 23B.

[0041]

In this embodiment, a display device that includes a transistor and an organic EL element (also referred to as an active matrix organic EL display device) will be described as an example. The display device can have flexibility by using a flexible material for a substrate. Note that one embodiment of the present invention is not limited to a light-emitting device, a display device, and an input/output device (e.g., a touch panel) that include organic EL elements, and one embodiment of the present invention can be applied to a variety of devices such as a semiconductor device, a light-emitting device, a display device, and an input/output device that include other kinds of functional elements. [0042]

In this embodiment, first, a first material layer (which is a metal oxide layer here) is formed over a substrate. Then, a second material layer (which is a resin layer here) is formed over the metal oxide layer. After that, the metal oxide layer and the resin layer are separated from each other by light irradiation.

[0043]

In this embodiment, a layer serving as a base (also referred to as a base layer) is formed between the substrate and the resin layer. This base layer has lower adhesion (adhesiveness) to the resin layer than the substrate. Although the metal oxide layer is used as the base layer in an example described in this embodiment, one embodiment of the present invention is not limited to this example.

[0044]

Light is preferably used for the separation between the metal oxide layer and the resin layer. An interface between the metal oxide layer and the resin layer or the vicinity thereof (also referred to as "an interface or the vicinity of the interface") is preferably irradiated with the light. The inside of the metal oxide layer may be irradiated with the light. The inside of the resin layer may be irradiated with the light. Note that in this specification and the like, "an interface between A and B or the vicinity thereof and "an interface between A and B or the vicinity of the interface" each include at least the interface between A and B and also include a range from the interface between A and B to within 20 % of the thickness of A or B of the interface.

[0045]

The interface between the metal oxide layer and the resin layer (as well as the inside of the metal oxide layer and the inside of the resin layer) is heated by the light irradiation, and the adhesion (or adhesiveness) between the metal oxide layer and the resin layer can be decreased. In addition, the metal oxide layer and the resin layer can be separated from each other.

[0046]

An example of the mechanism of separation of the metal oxide layer and the resin layer from each other is described with reference to FIG. 1 to FIG. 3.

[0047]

The effect that H 2 0 impairs adhesion between a metal oxide layer 20 and a resin layer 23 (hereinafter referred to as an impairing effect) is described with reference to FIG. 1 and FIG. 2.

[0048]

In FIG. 1, the metal oxide layer 20 is provided over a formation substrate 14 and the resin layer 23 is provided over the metal oxide layer 20.

[0049]

At an interface between the metal oxide layer 20 and the resin layer 23 and/or in the metal oxide layer 20, one or more of H 2 0, hydrogen (H), oxygen (O), a hydroxyl group (OH), a hydrogen radical (H * ), an oxygen radical (O * ), and a hydroxyl radical (OH * ) are present, which can be supplied by a formation step of the metal oxide layer 20, an addition (doping) step after the formation of the metal oxide layer 20, or the like. In an example of Step (i) in FIG. 1, H 2 0, H, O, and the like are present both at the interface between the metal oxide layer 20 and the resin layer 23 and in the metal oxide layer 20.

[0050]

H, O, H 2 0, and the like supplied into the metal oxide layer 20 and to the interface between the metal oxide layer 20 and the resin layer 23 are sometimes separated out as H 2 0 at the interface by a step (e.g., heating at 350 °C) in which the resin layer 23 (e.g., a polyimide or acrylic) is solidified (hardened) . In that case, H 2 0 separated out at the interface between the metal oxide layer 20 and the resin layer 23 might impair the adhesion between the metal oxide layer 20 and the resin layer 23. In other words, H 2 0 separated out at the interface between the metal oxide layer 20 and the resin layer 23 has an effect of impairing adhesion (an impairing effect). In an example of Step (ii) in FIG. 1, H 2 0 in the metal oxide layer 20 is separated out at the interface between the metal oxide layer 20 and the resin layer 23. In an example of Step (ii) in FIG. 1, hydrogen and a hydroxyl group (OH) in the metal oxide layer 20 are separated out as H 2 0 at the interface between the metal oxide layer 20 and the resin layer 23.

[0051]

Next, a stack including the formation substrate 14, the metal oxide layer 20, and the resin layer 23 is irradiated with light. In an example of Step (iii) in FIG. 2, the stack is placed with the formation substrate 14 facing upward. In Step (iii) in FIG. 2, the stack is moved by a transfer mechanism (not illustrated) in a direction shown by an arrow in the drawing; thus, the light irradiation is performed from the right side to the left side in the drawing. The interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof is irradiated with the light through the formation substrate 14. Here, an example of using linear laser light is shown. In the example of Step (iii) and Step (iv) in FIG. 2, a processing region 27 is irradiated with a linear beam 26 through the formation substrate 14. The interface between the metal oxide layer 20 and the resin layer 23 (as well as the inside of the metal oxide layer 20 and the inside of the resin layer 23) is heated by the light irradiation. Furthermore, by the light irradiation, H 2 0 present at the interface between the metal oxide layer 20 and the resin layer 23 is vaporized (evaporated) instantaneously at high energy and ablated (or exploded). [0052]

In an example of Step (v) in FIG. 2, the stack is reversed upside down. In an example of Step (vi) in FIG. 2, the metal oxide layer 20 and the resin layer 23 are separated from each other. H 2 0 is changed into water vapor by light irradiation to have an expanded volume. As a result, the adhesion between the metal oxide layer 20 and the resin layer 23 is reduced, which allows the separation between the metal oxide layer 20 and the resin layer 23.

[0053]

Next, a bond between the metal oxide layer 20 and the resin layer 23 is described with reference to FIG. 3.

[0054]

In FIG. 3, the metal oxide layer 20 and the resin layer 23 are stacked.

[0055]

There is probably a bond between the metal oxide layer 20 and the resin layer 23. Specifically, there is a chemical bond such as a covalent bond, an ionic bond, or a hydrogen bond between the metal oxide layer 20 and the resin layer 23.

[0056]

In an example of Step (i) in FIG. 3, a metal M of the metal oxide layer 20 and a carbon atom C of the resin layer 23 are bonded through an oxygen atom O.

[0057]

The stack including the metal oxide layer 20 and the resin layer 23 is irradiated with light (see laser light 55 in FIG. 3). Here, an example of using linear laser light is shown. By relatively moving the substrate and a light source, scanning with the laser light 55 is performed and the irradiation with the laser light 55 is performed across a region where separation is desirably caused.

[0058]

Heating the interface between the metal oxide layer 20 and the resin layer 23 (as well as the inside of the metal oxide layer 20 and the inside of the resin layer 23) by light irradiation causes a reaction represented by Formula (1) (see below and FIG. 3). The light irradiation allows H 2 0 (water vapor) to cut the metal M-oxygen O-carbon C bond. Then, the bond between the metal oxide layer 20 and the resin layer 23 is changed into a hydrogen bond.

[0059]

M-O-C + H 2 0→ M-OH + C-OH ( 1 )

[0060]

In an example of Step (ii) in FIG. 3, the metal atom M of the metal oxide layer 20 and the carbon atom C of the resin layer 23 are bonded to the respective oxygen atoms O. The two oxygen atoms form covalent bonds with the respective hydrogen atoms. One of the two oxygen atoms forms a hydrogen bond with the hydrogen atom that is bonded to the other oxygen atom.

[0061]

A hydrogen bond is much weaker than a covalent bond and thus can be easily cut. Water is evaporated by energy of the light irradiation to be water vapor. At this time, a hydrogen bond between the metal oxide layer 20 and the resin layer 23 can be cut by expansion force in some cases. Thus, the metal oxide layer 20 and the resin layer 23 can be easily separated from each other.

[0062]

In an example of Step (iii) in FIG. 3, the oxygen atom and the hydrogen atom that have been hydrogen-bonded are detached from each other and the metal oxide layer 20 and the resin layer 23 are separated from each other. The metal atom M of the metal oxide layer 20 and the carbon atom C of the resin layer 23 are bonded to the respective oxygen atoms O. The two oxygen atoms form covalent bonds with the respective hydrogen atoms.

[0063]

As described above, irradiating the stack including the metal oxide layer 20 and the resin layer 23 with light allows H 2 0 to change a strong bond between the metal oxide layer 20 and the resin layer 23 into a hydrogen bond, which is a weak bond. This can reduce the force required for the separation between the metal oxide layer 20 and the resin layer 23. Furthermore, the metal oxide layer 20 and the resin layer 23 can be separated from each other by expansion of H 2 0 due to energy of the light irradiation.

[0064]

Next, H 2 0 that is involved in the above impairing effect and the reaction represented by Formula (1) above is described.

[0065]

H 2 0 is sometimes present in the metal oxide layer 20, in the resin layer 23, and at the interface between the metal oxide layer 20 and the resin layer 23, for example.

[0066]

In addition, hydrogen (H), oxygen (O), a hydroxyl group (OH), a hydrogen radical (H * ), an oxygen radical (O * ), a hydroxyl radical (OH * ), and the like present in the metal oxide layer 20, in the resin layer 23, and at the interface between the metal oxide layer 20 and the resin layer 23, for example, are sometimes changed into H 2 0 by heating.

[0067]

One or more of H 2 0, hydrogen (H), oxygen (O), a hydroxyl group (OH), a hydrogen radical (H * ), an oxygen radical (O * ), and a hydroxyl radical (OH * ) are preferably added into the metal oxide layer 20, to a surface of the metal oxide layer 20 (the surface in contact with the resin layer 23), or to the interface between the metal oxide layer 20 and the resin layer 23.

[0068]

Note that the above impairing effect and the reaction represented by Formula (1) above are sometimes caused at the same time in the peeling method of one embodiment of the present invention. It is estimated that in that case, the adhesion between the metal oxide layer 20 and the resin layer 23 can be further reduced, or in other words, peelability between the metal oxide layer 20 and the resin layer 23 can be further increased.

[0069]

It is preferable that large amounts of H 2 0, hydrogen (H), oxygen (O), hydroxyl groups

(OH), hydrogen radicals (H * ), oxygen radicals (O * ), hydroxyl radicals (OH * ), and the like be present in the metal oxide layer 20, in the resin layer 23, and at the interface between the metal oxide layer 20 and the resin layer 23, for example. A larger amount of H 2 0, which contributes to the reaction, promotes the reaction and can further reduce the force required for the separation.

[0070]

For example, the metal oxide layer 20 is preferably formed such that large amounts of H 2 0, hydrogen, oxygen, hydroxyl groups, hydrogen radicals (H * ), oxygen radicals (O * ), hydroxyl radicals (OH * ), and the like are present in the metal oxide layer 20 or on a surface thereof.

[0071]

Specifically, the metal oxide layer 20 is preferably formed in such a manner that a metal layer is formed and then radical treatment is performed on a surface of the metal layer. In the radical treatment, the surface of the metal layer is preferably exposed to an atmosphere containing an oxygen radical and/or a hydroxyl radical. For example, plasma treatment is preferably performed in an atmosphere containing oxygen and/or water vapor (H 2 0).

[0072]

Alternatively, a surface of the metal oxide layer 20 is preferably subjected to radical treatment after formation of the metal oxide layer 20. In the radical treatment, the surface of the metal oxide layer 20 is preferably exposed to an atmosphere containing at least one of an oxygen radical, a hydrogen radical, and a hydroxyl radical. For example, plasma treatment is preferably performed in an atmosphere containing one or more of oxygen, hydrogen, and water vapor (H 2 0).

[0073]

The radical treatment can be performed with a plasma generation apparatus or an ozone generation apparatus. [0074]

For example, oxygen plasma treatment, hydrogen plasma treatment, water plasma treatment, ozone treatment, or the like can be performed. Oxygen plasma treatment can be performed by generating plasma in an oxygen-containing atmosphere. Hydrogen plasma treatment can be performed by generating plasma in a hydrogen-containing atmosphere. Water plasma treatment can be performed by generating plasma in an atmosphere containing water vapor (H 2 0). Water plasma treatment is particularly preferable because it makes a large amount of moisture present on the surface of the metal oxide layer 20 or in the metal oxide layer 20.

[0075]

Plasma treatment may be performed in an atmosphere containing two or more of oxygen, hydrogen, water (water vapor), and an inert gas (typically, argon). Examples of the plasma treatment include plasma treatment in an atmosphere containing oxygen and hydrogen, plasma treatment in an atmosphere containing oxygen and water, plasma treatment in an atmosphere containing water and argon, plasma treatment in an atmosphere containing oxygen and argon, and plasma treatment in an atmosphere containing oxygen, water, and argon. The use of an argon gas for the plasma treatment is favorable because the metal layer or the metal oxide layer 20 is damaged during the plasma treatment.

[0076]

Two or more kinds of plasma treatment may be performed sequentially without exposure to the air. For example, argon plasma treatment may be followed by water plasma treatment.

[0077]

In the above manner, hydrogen, oxygen, a hydrogen radical (H * ), an oxygen radical (O * ), a hydroxyl radical (OH * ), and the like can be present on the surface of the metal oxide layer 20 or in the metal oxide layer 20 as illustrated in FIG. 4. In the example illustrated in FIG. 4, the resin layer 23 contains a carbon atom C which is bonded to a hydrogen atom H or a hydroxyl group OH. The hydrogen atom H and the hydroxyl group OH are probably changed into H 2 0 by being heated by heat treatment or light irradiation.

[0078]

The light irradiation can be performed with a lamp, a laser apparatus, or the like.

[0079]

The laser light irradiation is preferably performed with a linear laser apparatus. Laser apparatuses for the manufacturing lines for low temperature polysilicon (LTPS) and the like can be used, which enables effective use of the apparatuses. For example, a linear laser apparatus used in a crystallization step for LTPS can be used in a laser light irradiation step of one embodiment of the present invention, where the substrate is reversed upside down and irradiation with laser light from immediately above is performed in a state where the formation substrate 14 side is set as a front surface. An existing LTPS manufacturing line can be applied to a manufacturing line of a top-gate self-aligned transistor including an oxide semiconductor (OS). As described above, existing LTPS manufacturing facilities can be easily switched to manufacturing facilities capable of performing a separating step of one embodiment of the present invention and a manufacturing process of OS transistors.

[0080]

The linear laser condenses laser light in a long rectangular shape (the laser light is shaped into a linear laser beam) so that the interface between the metal oxide layer and the resin layer are irradiated with light.

[0081]

For the irradiation, light in a wavelength range of greater than or equal to 180 nm to less than or equal to 450 nm is preferably used. Further preferably, light has a wavelength of 308 nm or around 308 nm is used.

[0082]

In one embodiment of the present invention, the laser light absorptance of the stack including the formation substrate 14, the metal oxide layer 20, and the resin layer 23 is preferably high. For example, the absorptance of light with a wavelength of 308 nm of the stack is preferably higher than or equal to 80 % and lower than or equal to 100 %, further preferably higher than or equal to 85 % and lower than or equal to 100 %. When most of the laser light is absorbed by the stack, the yield of peeling can be increased. Furthermore, when a functional element can be inhibited from being irradiated with the laser light, a decrease in the reliability of the functional element can be suppressed.

[0083]

The energy density of the light is preferably greater than or equal to 250 mJ/cm 2 and less than or equal to 400 mJ/cm 2 , further preferably greater than or equal to 250 mJ/cm 2 and less than or equal to 360 mJ/cm 2 .

[0084]

In the case where the light irradiation is performed with a laser apparatus, the number of shots of laser light with which one portion is irradiated can be greater than or equal to 1 and less than or equal to 50, preferably greater than 1 and less than or equal to 10, further preferably greater than 1 and less than or equal to 5.

[0085] There are portions with low light intensity on both ends of the short axis of the laser beam. Accordingly, it is preferable that one shot and another shot overlap with each other by greater than or equal to the width of the portion with low light intensity. Therefore, the number of laser shots is preferably greater than or equal to 1.1, further preferably greater than or equal to 1.25.

[0086]

In this specification, the number of laser shots refers to the number of times a point (region) is irradiated with laser light, and is determined by a beam width, scanning speed, a frequency, an overlap percentage, or the like. There is a portion between a pulse and another pulse when a linear beam is moved in a scanning direction, that is, a portion where one shot and another shot partly overlap with each other, and their overlapping ratio is referred to as an overlap percentage. Note that as the overlap percentage becomes closer to 100 %, the number of shots is increased, and as the overlap percentage becomes further from 100 %, the number of shots is decreased. The higher the scanning speed, the smaller the number of shots.

[0087]

The expression "the number of shots of the laser light is 1.1 " means that there is an overlap equivalent to approximately one-tenth of the beam width between two successive shots, and can mean that the overlap percentage is 10 %. Similarly, the expression "the number of shots of the laser light is 1.25" means that there is an overlap equivalent to approximately one-fourth of the beam width between two successive shots, and can mean that the overlap percentage is 25 %.

[0088]

Here, the energy density of light used for irradiation in the laser crystallization step of LTPS is high, e.g., greater than or equal to 350 mJ/cm 2 and less than or equal to 400 mJ/cm 2 . Furthermore, the number of laser shots needs to be large, e.g., greater than or equal to 10 and less than or equal to 100.

[0089]

Meanwhile, in this embodiment, light irradiation for separating the metal oxide layer 20 and the resin layer 23 from each other can be performed at a lower energy density or with a smaller number of shots than that performed in the laser crystallization step. Accordingly, the number of substrates which can be processed by a laser apparatus can be increased. The running costs of a laser apparatus can be reduced by reducing the frequency of maintenance of the laser apparatus, for example. Consequently, the manufacturing costs of display devices and the like can be reduced.

[0090] Since the light irradiation is performed at a lower energy density or with a smaller number of shots than that performed in the laser crystallization step, damage to the substrate caused by the laser light irradiation can be reduced. Thus, the strength of the substrate is less likely to be reduced after the substrate is used once, and the substrate can be reused. Consequently, the costs can be reduced.

[0091]

In this embodiment, the metal oxide layer 20 is provided between the formation substrate 14 and the resin layer 23. Owing to the metal oxide layer 20, in some cases, the light irradiation can be performed at a lower energy density or with a smaller number of shots than that in the case where the metal oxide layer 20 is not used.

[0092]

If a foreign matter such as dust is adhered to the light irradiation surface of the formation substrate at the time of the light irradiation through the formation substrate, in some cases, nonuniformity occurs in the light irradiation and part with low peelability is generated, leading to a reduction in the yield of the step of separating the metal oxide layer and the resin layer from each other. For that reason, it is preferable that the light irradiation surface be cleaned before or during the light irradiation. For example, the light irradiation surface of the formation substrate can be cleaned with an organic solvent such as acetone, water, or the like. The light irradiation may be performed while a gas is sprayed with an air knife. Thus, nonuniformity in the light irradiation can be reduced and the yield of the separation can be increased.

[0093]

Alternatively, this embodiment may be as follows. First, the metal oxide layer is formed over the substrate. Then, the resin layer is formed over the metal oxide layer. Next, an insulating layer is formed over the substrate and the resin layer to cover an end portion of the resin layer. Then, a transistor including a metal oxide in a channel formation region is formed over the resin layer with the insulating layer positioned between the resin layer and the transistor. The interface between the metal oxide layer and the resin layer or the vicinity thereof is irradiated with light. Next, at least part of the resin layer is separated from the metal oxide layer, whereby a separation trigger is formed. Then, the metal oxide layer and the resin layer are separated from each other.

[0094]

The top surface of the substrate includes a portion in contact with the resin layer and a portion in contact with the insulating layer. The insulating layer is provided to cover the end portion of the resin layer. The insulating layer has higher adhesion or adhesiveness to the metal oxide layer than the resin layer does. When the insulating layer is provided to cover the end portion of the resin layer, unintended peeling of the resin layer from the substrate after the light irradiation can be suppressed. For example, peeling of the resin layer when the substrate is transferred from the laser apparatus to another place can be suppressed. In addition, the formation of the separation trigger enables the metal oxide layer and the resin layer to be separated from each other at desired timing. In other words, not only is a small force required for the separation, but the timing of the separation between the metal oxide layer and the resin layer can be controlled in this embodiment. This can increase the yield of the process for separating the meal oxide layer and the resin layer from each other and that of the manufacturing process of a display device.

[0095]

The display device of this embodiment preferably includes a metal oxide in the channel formation region of the transistor. A metal oxide can function as an oxide semiconductor.

[0096]

In the case where low temperature polysilicon (LTPS) is used for a channel formation region of a transistor, the resin layer is required to have heat resistance because heat at a temperature of approximately 500 °C to 550 °C needs to be applied. In some cases, the resin layer is required to have a larger thickness to relieve the damage in a laser crystallization step.

[0097]

In contrast, a transistor including a metal oxide in a channel formation region can be formed at a temperature lower than or equal to 350 °C, or even lower than or equal to 300 °C. Therefore, the resin layer is not required to have high heat resistance. Accordingly, the upper temperature limit of the resin layer may be low, and the range of choices for the materials can be widened.

[0098]

Furthermore, the transistor including a metal oxide in the channel formation region does not need a laser crystallization step. Furthermore, in this embodiment, the light irradiation can be performed at a lower energy density or with a smaller number of shots than that used in the laser crystallization step. The resin layer is irradiated with the laser light without through the substrate in the laser crystallization step, whereas the resin layer is irradiated with the laser light through the formation substrate and the metal oxide layer in this embodiment. Since damage to the resin layer is low as described above, the resin layer can be thin. Since the resin layer is not required to have high heat resistance and can be thinned, the manufacturing costs of a device can be significantly reduced. A metal oxide is preferably used, in which case the process can be simplified as compared with the case where LTPS is used. [0099]

Note that the display device of one embodiment of the present invention is not limited to the structure in which the transistor includes a metal oxide in the channel formation region. For example, in the display device of this embodiment, the transistor can include silicon in the channel formation region. As silicon, for example, amorphous silicon or crystalline silicon can be used. Examples of crystalline silicon include microcrystalline silicon, polycrystalline silicon, and single crystal silicon.

[0100]

LTPS is preferably used for the channel formation region. Polycrystalline silicon, e.g., LTPS, can be formed at a lower temperature than single crystal silicon and has higher field effect mobility and higher reliability than amorphous silicon.

[0101]

The resin layer 23 may have a thickness of greater than or equal to 0.1 μπι and less than or equal to 5 μπι. By forming the resin layer 23 thin, the display device can be manufactured at low costs. In addition, the display device can be lightweight and thin. Furthermore, the display device can have higher flexibility.

[0102]

The visible-light transmitting property of the resin layer 23 is not particularly limited. For example, the resin layer 23 may be a colored layer or a transparent layer. When the resin layer 23 that is colored is positioned on the display surface side of the display device, a problem such as a reduced light extraction efficiency, a change in the color of the light before and after the extraction, or reduced display quality might occur.

[0103]

The resin layer 23 can be removed with a wet etching apparatus, a dry etching apparatus, an ashing apparatus, or the like. In particular, the resin layer 23 is preferably removed by ashing using oxygen plasma.

[0104]

In this embodiment, the metal oxide layer 20 is provided between the formation substrate 14 and the resin layer 23. Since the metal oxide layer 20 has a function of absorbing light, the effect of light irradiation can be obtained even when the resin layer 23 has low light absorptance. Accordingly, the resin layer 23 having high visible-light transmittance may be used. Therefore, even when the resin layer 23 is located on the display surface side of the display device, high display quality can be obtained. Moreover, a step of removing the resin layer 23 which is colored (has a color) to enhance the display quality can be omitted. In addition, the range of choices for the material of the resin layer 23 is widened. [0105]

The resin layer 23 preferably has a light transmittance of higher than or equal to 70 % and lower than or equal to 100 %, further preferably higher than or equal to 80 % and lower than or equal to 100 %, still further preferably higher than or equal to 90 % and lower than or equal to 100 % on average at a wavelength of longer than or equal to 450 nm and shorter than or equal to 700 nm.

[0106]

In this embodiment, the transistor or the like is formed at a temperature lower than or equal to the upper temperature limit of the resin layer. The heat resistance of the resin layer can be measured by, for example, heat-induced weight loss percentage, specifically, 5 % weight loss temperature. In the peeling method of this embodiment and the manufacturing method of a display device of this embodiment, the maximum temperature in the process can be low. For example, in this embodiment, the 5 % weight loss temperature of the resin layer can be higher than or equal to 200 °C and lower than or equal to 650 °C, higher than or equal to 200 °C and lower than or equal to 500 °C, higher than or equal to 200 °C and lower than or equal to 400 °C, or higher than or equal to 200 °C and lower than or equal to 350 °C. Thus, the range of choices for materials is widened. Note that the 5 % weight loss temperature of the resin layer may be higher than 650 °C.

[0107]

Before or during the separation, a water-containing liquid is preferably fed to the separation interface. Water present at the separation interface further reduces adhesion or adhesiveness between the resin layer 23 and the metal oxide layer 20 and reduces the force required for the separation. Furthermore, feeding a water-containing liquid to the separation interface sometimes weakens or cuts a bond between the resin layer 23 and the metal oxide layer 20. A chemical bond with the liquid is utilized to cut a bond between the resin layer 23 and the metal oxide layer 20, which allows the separation to proceed. For example, in the case where there is a hydrogen bond between the resin layer 23 and the metal oxide layer 20, it can be assumed that feeding the water-containing liquid forms a hydrogen bond between the water and the resin layer 23 or the metal oxide layer 20 to cut the hydrogen bond between the resin layer 23 and the metal oxide layer 20.

[0108]

The metal oxide layer 20 preferably has low surface tension and high wettability with respect to a water-containing liquid. In that case, the water-containing liquid can be distributed over the entire surface of the metal oxide layer 20 and can be easily fed to the separation interface. Distribution of the water over the entire metal oxide layer 20 leads to uniform peeling.

[0109]

The contact angle between the metal oxide layer 20 and the water-containing liquid is preferably greater than 0° and less than or equal to 60°, further preferably greater than 0° and less than or equal to 50°. When the wettability with respect to the water-containing liquid is extremely high (e.g., when the contact angle is approximately 20° or less), it is sometimes difficult to obtain an accurate value of the contact angle. The higher the wettability of the metal oxide layer 20 with respect to the water-containing liquid, the better. Therefore, the wettability with respect to the water-containing liquid may be high enough to prevent an accurate value of the contact angle from being obtained.

[0110]

The water-containing liquid present at the separation interface can inhibit an adverse effect of static electricity that is caused at the time of separation on a functional element included in a layer to be peeled (e.g., damage to a semiconductor element from static electricity). Static electricity on a surface of the layer to be peeled which is exposed by the separation may be removed with an ionizer or the like.

[0111]

In the case where a liquid is fed to the separation interface, the surface of the layer to be peeled which is exposed by the separation may be dried.

[0112]

The manufacturing method of the display device of this embodiment will be specifically described below.

[0113]

Note that thin films included in the display device (e.g., insulating films, semiconductor films, or conductive films) can be formed by any of a sputtering method, a chemical vapor deposition (CVD) method, a vacuum evaporation method, a pulsed laser deposition (PLD) method, an atomic layer deposition (ALD) method, and the like. As the CVD method, a plasma-enhanced chemical vapor deposition (PECVD) method or a thermal CVD method may be used. As the thermal CVD method, for example, a metal organic chemical vapor deposition (MOCVD) method may be used.

[0114]

Alternatively, thin films included in the display device (e.g., insulating films, semiconductor films, or conductive films) can be formed by a method such as spin coating, dipping, spray coating, ink-jetting, dispensing, screen printing, or offset printing, or with a doctor knife, a slit coater, a roll coater, a curtain coater, or a knife coater.

[0115]

When thin films included in the display device are processed, a lithography method or the like can be used for the processing. Alternatively, island-shaped thin films may be formed by a film formation method using a shadow mask. A nanoimprinting method, a sandblast method, a lift-off method, or the like may be used for the processing of thin films. Examples of a photolithography method include a method in which a resist mask is formed over a thin film to be processed, the thin film is processed by etching or the like, and the resist mask is removed, and a method in which a photosensitive thin film is formed and exposed to light and developed to be processed into a desired shape.

[0116]

In the case of using light in the lithography method, any of an / ' -line (light with a wavelength of 365 nm), a g-line (light with a wavelength of 436 nm), and an /z-line (light with a wavelength of 405 nm), or combined light of any of them can be used for exposure. Alternatively, ultraviolet light, KrF laser light, ArF laser light, or the like can be used. Exposure may be performed by liquid immersion exposure technique. As the light for the exposure, extreme ultra-violet (EUV) light or X-rays may be used. Instead of the light for the exposure, an electron beam can be used. It is preferable to use EUV, X-rays, or an electron beam because extremely minute processing can be performed. Note that in the case of performing exposure by scanning of a beam such as an electron beam, a photomask is not needed.

[0117]

For etching of thin films, a dry etching method, a wet etching method, a sandblast method, or the like can be used.

[0118]

[Peeling method]

First, the metal oxide layer 20 is formed over the formation substrate 14 (FIG. 5A1). Alternatively, a metal layer 19 and the metal oxide layer 20 are stacked over the formation substrate 14 (FIG. 5A2).

[0119]

The formation substrate 14 has rigidity high enough for easy transfer and has resistance to heat applied in the manufacturing process. Examples of a material that can be used for the formation substrate 14 include glass, quartz, ceramics, sapphire, a resin, a semiconductor, a metal, and an alloy. Examples of the glass include alkali-free glass, barium borosilicate glass, and aluminoborosilicate glass. [0120]

As described above, a base layer is formed between the formation substrate 14 and the resin layer 23 in this embodiment. The base layer has lower adhesion (adhesiveness) to the resin layer 23 than the formation substrate 14. Although the metal oxide layer 20 is used in an example described in this embodiment, one embodiment of the present invention is not limited to this example.

[0121]

Specifically, the base layer can be a layer that includes one or more of titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, tin, hafnium, yttrium, zirconium, magnesium, lanthanum, cerium, neodymium, bismuth, and niobium. The base layer can contain a metal, an alloy, and a compound thereof (e.g., a metal oxide). The base layer preferably includes one or more of titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, and tin.

[0122]

The material for the base layer is not limited to an inorganic material and may be an organic material. For example, any of a variety of organic materials that can be used for an EL layer of an organic EL element may be used. The base layer can be an evaporation film of such an organic material. In that case, a film with low adhesion can be formed.

[0123]

The metal layer 19 can be formed using any of a variety of metals and alloys, for example.

[0124]

The metal oxide layer 20 can be formed using an oxide of any of a variety of metals. As examples of the metal oxide, titanium oxide (TiO x ), molybdenum oxide, aluminum oxide, tungsten oxide, indium tin oxide containing silicon (ITSO), indium zinc oxide, an In-Ga-Zn oxide, and the like can be given.

[0125]

As other examples of the metal oxide, indium oxide, indium oxide containing titanium, indium oxide containing tungsten, indium tin oxide (ITO), ITO containing titanium, indium zinc oxide containing tungsten, zinc oxide (ZnO), ZnO containing gallium, hafnium oxide, yttrium oxide, zirconium oxide, gallium oxide, tantalum oxide, magnesium oxide, lanthanum oxide, cerium oxide, neodymium oxide, tin oxide, bismuth oxide, titanate, tantalate, niobate, and the like can be given.

[0126]

There is no particular limitation on a method for forming the metal oxide layer 20. For example, the metal oxide layer 20 can be formed by a sputtering method, a plasma-enhanced CVD method, an evaporation method, a sol-gel method, an electrophoretic method, a spray method, or the like.

[0127]

The metal oxide layer 20 can be formed in such a manner that a metal layer is formed and then oxygen is introduced into the metal layer. At this time, only a surface of the metal layer or the entire metal layer is oxidized. In the former case, the introduction of oxygen into the metal layer forms a structure in which the metal layer 19 and the metal oxide layer 20 are stacked (FIG. 5A2).

[0128]

The oxidation of the metal layer can be performed, for example, by heating the metal layer in an oxygen-containing atmosphere. It is preferable that the metal layer be heated while an oxygen-containing gas is supplied. The temperature at which the metal layer is heated is preferably higher than or equal to 100 °C and lower than or equal to 500 °C, further preferably higher than or equal to 100 °C and lower than or equal to 450 °C, still further preferably higher than or equal to 100 °C and lower than or equal to 400 °C, yet still further preferably higher than or equal to 100 °C and lower than or equal to 350 °C.

[0129]

The temperature at which the metal layer is heated is preferably set to lower than or equal to the maximum temperature in manufacturing the transistor. In that case, the maximum temperature in manufacturing the display device can be prevented from increasing. When the temperature at which the metal layer is heated is set to lower than or equal to the maximum temperature in manufacturing the transistor, a manufacturing apparatus for the manufacturing process of the transistor, for example, can also be utilized for the manufacturing method of the display device of this embodiment, which reduces additional capital investment and the like. As a result, the display device can be manufactured at reduced costs. When the manufacturing temperature of the transistor is lower than or equal to 350 °C, for example, the temperature of the heat treatment is preferably lower than or equal to 350 °C.

[0130]

Alternatively, the metal layer can be oxidized by performing radical treatment on the surface of the metal layer. In the radical treatment, the surface of the metal layer is preferably exposed to an atmosphere containing an oxygen radical and/or a hydroxyl radical. For example, plasma treatment is preferably performed in an atmosphere containing oxygen and/or water vapor (H 2 0).

[0131] As described above, the force required for the separation of the metal oxide layer 20 and the resin layer 23 from each other can be reduced when hydrogen, oxygen, a hydrogen radical (H * ), an oxygen radical (O * ), a hydroxyl radical (OH * ), or the like is present on a surface of the metal oxide layer 20 or in the metal oxide layer 20. Accordingly, again, it is preferable that the metal oxide layer 20 be formed by performing radical treatment or plasma treatment.

[0132]

Performing such radical treatment or plasma treatment on the surface of the metal layer to oxidize the metal layer eliminates the need for a step of heating the metal layer at high temperatures. Accordingly, the maximum temperature in manufacturing the display device can be prevented from increasing.

[0133]

Alternatively, the metal oxide layer 20 can be formed in an oxygen atmosphere. For example, a metal oxide film is formed by a sputtering method while an oxygen-containing gas is supplied, whereby the metal oxide layer 20 can be formed. Also in this case, the surface of the metal oxide layer 20 is preferably subjected to radical treatment. In the radical treatment, the surface of the metal oxide layer 20 is preferably exposed to an atmosphere containing at least one of an oxygen radical, a hydrogen radical, and a hydroxyl radical. For example, plasma treatment is preferably performed in an atmosphere containing one or more of oxygen, hydrogen, and water vapor (H 2 0).

[0134]

For details of the radical treatment, the above description can be referred to.

[0135]

Alternatively, oxygen, hydrogen, water, or the like can be introduced by an ion implantation method, an ion doping method, a plasma immersion ion implantation method, or the like.

[0136]

The metal layer 19 preferably has a thickness of greater than or equal to 1 nm and less than or equal to 100 nm, further preferably greater than or equal to 1 nm and less than or equal to 50 nm, still further preferably greater than or equal to 1 nm and less than or equal to 20 nm.

[0137]

The metal oxide layer 20 preferably has a thickness of, for example, greater than or equal to 1 nm and less than or equal to 200 nm, further preferably greater than or equal to 5 nm and less than or equal to 100 nm, still further preferably greater than or equal to 5 nm and less than or equal to 50 nm. In the case where the metal oxide layer 20 is formed using the metal layer, the completed metal oxide layer 20 is sometimes thicker than the initially formed metal layer.

[0138]

The force required for the separation can be reduced by feeding a water-containing liquid to the interface between the metal oxide layer 20 and the resin layer 23 before or during the separation. The smaller the contact angle between the metal oxide layer 20 and the liquid is, the more effective the liquid feeding is. Specifically, the contact angle between the metal oxide layer 20 and the water-containing liquid is preferably greater than 0° and less than or equal to 60°, further preferably greater than 0° and less than or equal to 50°.

[0139]

Titanium oxide, tungsten oxide, or the like is suitable for the metal oxide layer 20.

Titanium oxide is preferably used because the costs can be lower than that when tungsten oxide is used.

[0140]

The metal oxide layer 20 may have a photocatalyst function. Light irradiation of a metal oxide layer having a photocatalyst function can cause a photocatalytic reaction. Thus, the bonding strength between the metal oxide layer and the resin layer is weakened, so that peeling easily can occur in some cases. The metal oxide layer 20 can be appropriately irradiated with light with a wavelength for activating the metal oxide layer 20. For example, the metal oxide layer 20 is irradiated with ultraviolet light. For example, after the metal oxide layer 20 is formed, the metal oxide layer 20 may be directly irradiated with ultraviolet light not through another layer. For the irradiation with ultraviolet light, an ultraviolet light lamp can be suitably used. As the ultraviolet light lamp, a mercury lamp, a mercury xenon lamp, a metal halide lamp, or the like can be used. Alternatively, the metal oxide layer 20 may be activated through the laser irradiation step which is performed before the separation.

[0141]

The metal oxide layer 20 may be formed using titanium oxide to which a metal or nitrogen is added. When the metal oxide layer 20 is formed using titanium oxide to which such an element is added, visible light can be used for the activation instead of ultraviolet light.

[0142]

Next, the first layer 24 is formed over the metal oxide layer 20 (FIG. 5B).

[0143]

FIG. 5B illustrates an example in which the first layer 24 is formed over the entire area of the metal oxide layer 20 by a coating method. One embodiment of the present invention is not limited to this example and a printing method or the like may be employed to form the first layer 24. The first layer 24 having an island-like shape or the first layer 24 having an opening or unevenness may be formed over the metal oxide layer 20.

[0144]

The first layer 24 can be formed using any of a variety of resin materials (including resin precursors).

[0145]

The first layer 24 is preferably formed using a thermosetting material.

[0146]

The first layer 24 may be formed using a material with photosensitivity or a material without photosensitivity (also called a non-photosensitive material).

[0147]

When a photosensitive material is used, the resin layer 23 can be formed to have a desired shape by removing part of the first layer 24 by a photolithography method.

[0148]

The first layer 24 is preferably formed using a material containing a polyimide resin, a polyimide resin precursor, or an acrylic resin. The first layer 24 can be formed using, for example, a material containing a polyimide resin and a solvent, a material containing polyamic acid and a solvent, or a material containing an acrylic resin and a solvent. Note that the first layer 24 is preferably formed using a material containing a polyimide resin or a polyimide resin precursor to have relatively high heat resistance. Meanwhile, the first layer 24 is preferably formed using a material containing an acrylic resin to have higher visible-light transmitting property. The polyimide resin and the acrylic resin are a material suitable for a planarization film or the like of the display device; hence, a common deposition apparatus and a common material can be shared. Thus, there is no need to prepare another apparatus and another material to obtain the structure of one embodiment of the present invention. Since the first layer 24 does not need a special material and can be formed using a resin material used for the display device as described above, cost reduction can be achieved.

[0149]

Specifically, the resin layer 23 preferably contains a residue of a compound represented by Structural Formula (100) (an oxydiphthalic acid).

[0150]

[Chemical Formula 2]

HOOC ^ O / COOH

HOOC"^^ ^ OOH

(100) [0151]

A polyimide resin obtained using an acid component including an oxydiphthalic acid or an oxydiphthalic acid derivative and an amine component including an aromatic amine or an aromatic amine derivative is suitable for the resin layer 23. Examples of the oxydiphthalic acid derivative include an oxydiphthalic anhydride. The resin layer 23 may contain fluorine. In the case where the resin layer 23 contains fluorine, a hydrogen bond between the metal oxide layer 20 and the resin layer 23 is sometimes formed using the fluorine.

[0152]

Table 1 lists physical properties of materials each of which contains a polyimide resin or a polyimide resin precursor and which can be suitably used for the first layer 24.

[0153]

[Table 1]

[0154]

The resin layer 23 can be formed using any of Materials A to E listed in Table 1. To make the resin layer 23 highly reliable, the glass transition temperature (Tg) and the 5 % weight loss temperature of the material are preferably high.

[0155]

Examples of resin materials which can be used to form the first layer 24 include an epoxy resin, a polyamide resin, a polyimide-amide resin, a siloxane resin, a benzocyclobutene-based resin, a phenol resin, and precursors of these resins.

[0156]

The first layer 24 is preferably formed with a spin coater. By a spin coating method, a thin film can be uniformly formed over a large-sized substrate.

[0157]

The first layer 24 is preferably formed using a solution having a viscosity of greater than or equal to 5 cP and less than 500 cP, further preferably greater than or equal to 5 cP and less than 100 cP, still further preferably greater than or equal to 10 cP and less than or equal to 50 cP. The lower the viscosity of the solution is, the easier the application is. The lower the viscosity of the solution is, the more the entry of air bubbles can be inhibited and thus the higher the quality of a formed film can be.

[0158]

The first layer 24 can be formed by dipping, spray coating, ink-jetting, dispensing, screen printing, or offset printing, or with a doctor knife, a slit coater, a roll coater, a curtain coater, or a knife coater, for example.

[0159]

Next, heat treatment is performed on the first layer 24, so that the resin layer 23 is formed (FIG. 5C).

[0160]

The heat treatment can be performed while a gas containing one or more of oxygen, nitrogen, and a rare gas (e.g., argon) is supplied into a chamber of a heating apparatus, for example. Alternatively, the heat treatment can be performed in an air atmosphere with the use of a chamber of a heating apparatus, a hot plate, or the like.

[0161]

When heating is performed in an air atmosphere or performed while a gas containing oxygen is supplied, the resin layer 23 is sometimes colored by oxidation to have decreased visible-light transmitting property.

[0162]

For that reason, heating is preferably performed while a nitrogen gas is supplied. In such a case, the heating atmosphere can contain less oxygen than an air atmosphere; thus, oxidation of the resin layer 23 can be inhibited and the visible-light transmitting property of the resin layer 23 can be increased.

[0163]

By the heat treatment, released gas components (e.g., hydrogen or water) in the resin layer 23 can be reduced. In particular, the heat treatment is preferably performed at a temperature higher than or equal to the fabricating temperature of each layer formed over the resin layer 23. Thus, a gas released from the resin layer 23 in the manufacturing process of the transistor can be significantly reduced.

[0164]

For example, in the case where the manufacturing temperature of the transistor is lower than or equal to 350 °C, a film to be the resin layer 23 is preferably heated at a temperature higher than or equal to 350 °C and lower than or equal to 480 °C, further preferably lower than or equal to 400 °C, still further preferably lower than or equal to 375 °C. Thus, a gas released from the resin layer 23 in the manufacturing process of the transistor can be significantly reduced.

[0165]

The temperature of the heat treatment is preferably set to lower than or equal to the maximum temperature in manufacturing the transistor. When the temperature of the heat treatment is set to lower than or equal to the maximum temperature in manufacturing the transistor, a manufacturing apparatus for the manufacturing process of the transistor, for example, can also be utilized for the manufacturing method of the display device of this embodiment, which reduces additional capital investment and the like. As a result, the display device can be manufactured at reduced costs. When the manufacturing temperature of the transistor is lower than or equal to 350 °C, for example, the temperature of the heat treatment is preferably lower than or equal to 350 °C.

[0166]

The maximum temperature in manufacturing the transistor is preferably equal to the temperature of the heat treatment, in which case it is possible to prevent the heat treatment from increasing the maximum temperature in manufacturing the display device and it is also possible to reduce the released gas components in the resin layer 23.

[0167]

Even when the heating temperature is relatively low, increasing treatment time enables peelability as high as the peelability that is obtained at higher heating temperatures in some cases. It is thus preferable that the treatment time be set long when the heating temperature cannot be set high owing to the structure of the heating apparatus.

[0168]

The duration of the heat treatment is preferably longer than or equal to five minutes and shorter than or equal to 24 hours, further preferably longer than or equal to 30 minutes and shorter than or equal to 12 hours, still further preferably longer than or equal to one hour and shorter than or equal to six hours, for example. Note that the duration of the heat treatment is not particularly limited to these examples. For example, the duration of the heat treatment that is performed by a rapid thermal annealing (RTA) method may be shorter than five minutes.

[0169]

As the heating apparatus, it is possible to use, for example, an electric furnace or any apparatus for heating an object by heat conduction or heat radiation from a heating element such as a resistance heating element. For example, an RTA apparatus such as a gas rapid thermal annealing (GRTA) apparatus or a lamp rapid thermal annealing (LRTA) apparatus can be used. An LRTA apparatus is an apparatus for heating an object by radiation of light (an electromagnetic wave) emitted from a lamp such as a halogen lamp, a metal halide lamp, a xenon arc lamp, a carbon arc lamp, a high pressure sodium lamp, or a high pressure mercury lamp. A GRTA apparatus is an apparatus for heat treatment using a high-temperature gas. With such an RTA apparatus, the treatment time can be shortened and thus the RTA apparatus is preferred for mass production. Alternatively, an in-line heating apparatus may be used in the heat treatment.

[0170]

Note that the heat treatment sometimes makes the resin layer 23 thinner or thicker than the first layer 24. For example, in some cases, the volume decreases when the solvent contained in the first layer 24 is removed or when the density increases with proceeding curing, which makes the thickness of the resin layer 23 smaller than that of the first layer 24.

[0171]

Before the heat treatment, heat treatment (also referred to as prebaking treatment) for removing the solvent contained in the first layer 24 may be performed. The temperature of the prebaking treatment can be set as appropriate according to the material that is used. For example, the temperature of the prebaking treatment can be higher than or equal to 50 °C and lower than or equal to 180 °C, higher than or equal to 80 °C and lower than or equal to 150 °C, or higher than or equal to 90 °C and lower than or equal to 120 °C. The heat treatment may double as the prebaking treatment, in which case the solvent contained in the first layer 24 can be removed by the heat treatment.

[0172]

The resin layer 23 has flexibility. The formation substrate 14 has lower flexibility than the resin layer 23.

[0173]

The resin layer 23 preferably has a thickness of greater than or equal to 0.01 μπι and less than 10 μπι, further preferably greater than or equal to 0.1 μπι and less than or equal to 5 μπι, still further preferably greater than or equal to 0.5 μπι and less than or equal to 3 μπι. By forming the resin layer thin, the display device can be manufactured at low costs. The display device can be lightweight and thin. The display device can have higher flexibility. The use of a solution having low viscosity facilitates the formation of the resin layer 23 having a small thickness. One embodiment of the present invention is not limited to the above examples, and the thickness of the resin layer 23 may be greater than or equal to 10 μπι. For example, the resin layer 23 may have a thickness of greater than or equal to 10 μπι and less than or equal to 200 μηι. The resin layer 23 preferably has a thickness of greater than or equal to 10 μιη because the rigidity of the display device can be increased.

[0174]

The resin layer 23 preferably has a thermal expansion coefficient of greater than or equal to 0.1 ppm/°C and less than or equal to 50 ppm/°C, further preferably greater than or equal to 0.1 ppm/°C and less than or equal to 20 ppm/°C, still further preferably greater than or equal to 0.1 ppm/°C and less than or equal to 10 ppm/°C. The lower the thermal expansion coefficient of the resin layer 23 is, the more the generation of a crack in a layer included in a transistor or the like and breakage of a transistor or the like which are caused owing to the heating can be prevented.

[0175]

Next, a layer 25 to be peeled is formed over the resin layer 23 (FIG. 5D).

[0176]

An insulating layer or a functional element (e.g., a transistor or a display element), for example, can be provided as the layer 25 to be peeled.

[0177]

The layer 25 to be peeled preferably includes an insulating layer. The insulating layer preferably has a function of blocking hydrogen, oxygen, and water that are released from the metal oxide layer 20, the resin layer 23, and the like in a later heating step.

[0178]

The layer to be peeled preferably includes, for example, a silicon nitride film, a silicon oxynitride film, or a silicon nitride oxide film. For example, a silicon nitride film is formed by a plasma-enhanced CVD method using a deposition gas containing a silane gas, a hydrogen gas, and an ammonia (NH 3 ) gas. There are no particular limitations on the thickness of the insulating layer. The thickness can be, for example, greater than or equal to 50 nm and less than or equal to 600 nm, preferably greater than or equal to 100 nm and less than or equal to 300 nm.

[0179]

Note that in this specification and the like, "silicon oxynitride" contains more oxygen than nitrogen. In this specification and the like, "silicon nitride oxide" contains more nitrogen than oxygen.

[0180]

Next, a protective layer is formed over the layer 25 to be peeled. The protective layer is a layer positioned on the outermost surface of the display device. The protective layer preferably has a high visible-light-transmitting property. The protective layer preferably includes an organic insulating film because it is possible to prevent the surface of the display device from being damaged or cracked.

[0181]

FIG. 5D illustrates an example in which a substrate 75a is bonded to the layer 25 to be peeled, with the use of an adhesive layer 75b.

[0182]

As the adhesive layer 75b, any of a variety of curable adhesives such as a reactive curable adhesive, a thermosetting adhesive, an anaerobic adhesive, and a photo-curable adhesive such as an ultraviolet curable adhesive can be used. Alternatively, an adhesive sheet or the like may be used.

[0183]

For the substrate 75a, a polyester resin such as polyethylene terephthalate (PET) or polyethylene naphthalate (PEN), a polyacrylonitrile resin, an acrylic resin, a polyimide resin, a polymethyl methacrylate resin, a polycarbonate (PC) resin, a polyether sulfone (PES) resin, a polyamide resin (e.g., nylon or aramid), a polysiloxane resin, a cycloolefin resin, a polystyrene resin, a polyamide-imide resin, a polyurethane resin, a polyvinyl chloride resin, a polyvinylidene chloride resin, a polypropylene resin, a polytetrafluoroethylene (PTFE) resin, an ABS resin, or cellulose nanofiber can be used, for example. The substrate 75a may be formed using any of a variety of materials such as glass, quartz, a resin, a metal, an alloy, and a semiconductor, each of which is thin enough to be flexible.

[0184]

Next, the irradiation with the laser light 55 is performed (FIG. 6A). The laser light 55 is, for example, a linear laser beam with which scanning is performed from the left side to the right side in FIG. 6A, and the major axis is perpendicular to the scanning direction and the incident direction (from top to bottom). In the laser apparatus, the stack is placed with the formation substrate 14 facing upward. The stack is irradiated with the laser light 55 from above the stack (formation substrate 14).

[0185]

The interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof is preferably irradiated with the laser light 55 through the formation substrate 14 (see the processing region 640 in FIG. 6A). The inside of the metal oxide layer 20 may be irradiated with the laser light 55 or the inside of the resin layer 23 may be irradiated with the laser light 55.

[0186]

The metal oxide layer 20 absorbs the laser light 55. The resin layer 23 may absorb the laser light 55. [0187]

The absorptance of the laser light 55 of the stack including the formation substrate 14 and the metal oxide layer 20 is preferably higher than or equal to 50 % and lower than or equal to 100 %, further preferably higher than or equal to 75 % and lower than or equal to 100 %, still further preferably higher than or equal to 80 % and lower than or equal to 100 %. Most of the laser light 55 is absorbed by the stack, so that the separation can be surely performed at the interface between the metal oxide layer and the resin layer 23. Furthermore, light-induced damage to the resin layer 23 can be reduced.

[0188]

The irradiation with the laser light 55 reduces adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23. The resin layer 23 is embrittled by the irradiation with the laser light 55 in some cases.

[0189]

As the laser light 55, light having a wavelength by which at least part of the laser light 55 is transmitted through the formation substrate 14 and absorbed by the metal oxide layer 20 is selected. The laser light 55 is preferably light in a wavelength range from visible light to ultraviolet light. For example, light in a wavelength of greater than or equal to 180 nm and less than or equal to 450 nm, preferably greater than or equal to 200 nm and less than or equal to 400 nm, further preferably greater than or equal to 250 nm and less than or equal to 350 nm, can be used.

[0190]

The laser light 55 preferably has energy that is higher than the energy gap of the metal oxide layer 20. For example, the energy gap of titanium oxide is approximately 3.2 eV. In the case where titanium oxide is used for the metal oxide layer 20, light having energy higher than 3.2 eV is preferably used.

[0191]

In particular, an excimer laser with a wavelength of 308 nm is preferably used because the productivity is increased. The excimer laser is preferable because the excimer laser can be used also for laser crystallization of LTPS, so that the existing LTPS manufacturing line device can be used and new capital investment is not necessary. The energy of the light with a wavelength of 308 nm is approximately 4.0 eV. That is, in the case where titanium oxide is used for the metal oxide layer 20, an excimer laser with a wavelength of 308 nm is preferably used. Alternatively, a solid-state UV laser (also referred to as a semiconductor UV laser), such as a UV laser having a wavelength of 355 nm which is the third harmonic of an Nd:YAG laser, may be used. A solid-state laser is preferable because the solid-state laser does not use a gas and thus the running costs can be reduced compared with that of an excimer laser. A pulsed laser such as a picosecond laser may be used.

[0192]

In the case where linear laser light is used as the laser light 55, scanning is performed with the laser light 55 and a region to be separated is entirely irradiated with the laser light 55 by relatively moving the formation substrate 14 and a light source.

[0193]

Here, when the foreign matter 18 such as dust is adhered to the light irradiation surface of the formation substrate 14, nonuniformity occurs in the light irradiation in some cases. FIG. 7A illustrates a comparative example where the resin layer 23 is formed over and in contact with the formation substrate 14. In FIG. 7A, a region 16 irradiated with light is discontinuous directly below the foreign matter 18, at the interface between the formation substrate 14 and the resin layer 23 or in the vicinity thereof. Such a portion has lower peelability than the other portions, and thus, there is a concern that the yield of the step of separating the formation substrate 14 and the resin layer 23 is lowered.

[0194]

Meanwhile, in this embodiment, a base layer is formed between the formation substrate 14 and the resin layer 23. As the base layer, the metal layer 19, the metal oxide layer 20, a stack including the metal layer 19 and the metal oxide layer 20 illustrated in FIG. 7B, or the like is used. The base layer preferably includes a layer having high thermal conductivity. For example, in the case where the metal layer 19 in FIG. 7B has high thermal conductivity, heat is uniformly conducted to the entire metal layer 19 owing to the heated metal layer 19 in the vicinity of the foreign matter 18 even when the foreign matter 18 is adhered to the light irradiation surface of the formation substrate 14. A portion of the metal layer 19 that is shielded by the foreign matter 18 is heated, so that formation of a portion having low peelability can be prevented. As illustrated in FIG. 7B, at the interface between the metal layer 19 and the metal oxide layer 20 or in the vicinity thereof, a heated region 17 is formed on an entire surface including a portion directly below the foreign matter 18.

[0195]

At the interface between the metal oxide layer 20 and the resin layer 23 or in the vicinity thereof, a region which is not irradiated with light may be provided in one place or a plurality of places. The area of the region which is not irradiated with light is not particularly limited and is greater than or equal to 1 μιη 2 and less than or equal to 1 cm 2 , for example. The area of the region which is not irradiated with light may be less than or equal to 1 μιη 2 or greater than or equal to 1 cm 2 in some cases. [0196]

Then, the formation substrate 14 and the resin layer 23 are separated from each other. Since the adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23 is low, the separation occurs at the interface between the metal oxide layer 20 and the resin layer 23 (FIG. 6B1). The separation occurs in the embrittled resin layer 23 in some cases.

[0197]

The formation substrate 14 and the resin layer 23 can be separated from each other by applying a perpendicular tensile force to the resin layer 23, for example. Specifically, the resin layer 23 can be peeled from the formation substrate 14 by pulling up the substrate 75a by part of its suction-attached top surface.

[0198]

Here, if the separation is performed in such a manner that a water-containing liquid such as water or an aqueous solution is added to the separation interface and the liquid penetrates into the separation interface, the ease of the separation can be enhanced. Furthermore, an adverse effect of static electricity caused at the separation on the functional element such as a transistor (e.g., damage to a semiconductor element from static electricity) can be suppressed. FIG. 6B2 illustrates an example in which a liquid is fed to the separation interface with a liquid feeding mechanism 21.

[0199]

The liquid to be fed can be water (preferably pure water), a neutral, alkaline, or acidic aqueous solution, an aqueous solution in which a salt is dissolved, or the like. Other examples of the liquid include ethanol and acetone. Any of a variety of organic solvents may also be used.

[0200]

Before the separation, a separation trigger may be formed by separating part of the resin layer 23 from the formation substrate 14. For example, the separation trigger may be formed by inserting a sharp instrument such as a knife between the formation substrate 14 and the resin layer 23. Alternatively, the separation trigger may be formed by cutting the resin layer 23 from the substrate 75a side with a sharp instrument. Further alternatively, the separation trigger may be formed by a method that uses a laser, such as a laser ablation method.

[0201]

In this embodiment, the metal oxide layer 20 and the resin layer 23 are stacked and irradiated with light. As a result, the adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23 can be lowered. Accordingly, the formation substrate 14 and the resin layer 23 can be easily separated from each other. [0202]

The peeling method of this embodiment makes it possible to provide a manufacturing method of a semiconductor device or a peeling method each having a low cost and a high mass productivity. For example, since the formation substrate 14 (e.g., a glass substrate) or a stack including the formation substrate 14 and the metal oxide layer 20 can be repeatedly used in the peeling method of this embodiment, the manufacturing costs can be reduced.

[0203]

[Manufacturing method example 1]

Next, manufacturing method examples of the display device of this embodiment will be described. Portions similar to those in the above-described peeling method are not described in some cases.

[0204]

First, the metal oxide layer 20 is formed over the formation substrate 14 (FIG. 8A). For the metal oxide layer 20, the description of the above peeling method can be referred to.

[0205]

Next, the first layer 24 is formed over the metal oxide layer 20 (FIG. 8B). For the first layer 24, the description of the above peeling method can be referred to.

[0206]

In this embodiment, the first layer 24 is formed using a photosensitive and thermosetting material. Note that the first layer 24 may be formed using a non-photosensitive material.

[0207]

Heat treatment (prebaking treatment) for removing a solvent is performed after formation of the first layer 24, and then light exposure is performed using a photomask. Next, development is performed, whereby an unnecessary portion can be removed. Subsequently, heat treatment is performed on the first layer 24 that has been processed into a desired shape, so that the resin layer 23 is formed (FIG. 8C). In the example illustrated in FIG. 8C, the resin layer 23 having an island-like shape is formed.

[0208]

Note that the resin layer 23 is not necessarily in the form of a single island and may be in the form of a plurality of islands or have an opening, for example. In addition, unevenness may be formed on the surface of the resin layer 23 by an exposure technique using a half-tone mask or a gray -tone mask, a multiple exposure technique, or the like.

[0209]

The resin layer 23 with a desired shape can be formed in such a manner that a mask such as a resist mask or a hard mask is formed over the first layer 24 or the resin layer 23 and etching is performed. This method is particularly suitable for the case of using a non-photosensitive material.

[0210]

For example, an inorganic film is formed over the resin layer 23, and a resist mask is formed over the inorganic film. After the inorganic film is etched with the use of the resist mask, the resin layer 23 can be etched using the inorganic film as a hard mask.

[0211]

As examples of an inorganic film that can be used as the hard mask, a variety of inorganic insulating films, metal films and alloy films that can be used for a conductive layer, and the like can be given.

[0212]

It is preferable to form the mask with an extremely small thickness and remove the mask concurrently with the etching, in which case a step of removing the mask can be eliminated.

[0213]

For details of the heat treatment, the description of the heat treatment in the above peeling method can be referred to.

[0214]

Next, an insulating layer 31 is formed over the resin layer 23 (FIG. 8D). The insulating layer 31 is formed to cover an end portion of the resin layer 23. The metal oxide layer 20 includes a portion over which the resin layer 23 is not provided. Accordingly, the insulating layer 31 can be formed over and in contact with the metal oxide layer 20.

[0215]

The insulating layer 31 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The insulating layer 31 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0216]

The insulating layer 31 can be used as a barrier layer that prevents diffusion of impurities contained in the resin layer 23 into a transistor and a display element formed later. For example, the insulating layer 31 preferably prevents moisture and the like contained in the resin layer 23 from diffusing into the transistor and the display element when the resin layer 23 is heated. Thus, the insulating layer 31 preferably has a high barrier property.

[0217]

As the insulating layer 31, an inorganic insulating film such as a silicon nitride film, a silicon oxynitride film, a silicon oxide film, a silicon nitride oxide film, an aluminum oxide film, or an aluminum nitride film can be used, for example. A hafnium oxide film, an yttrium oxide film, a zirconium oxide film, a gallium oxide film, a tantalum oxide film, a magnesium oxide film, a lanthanum oxide film, a cerium oxide film, a neodymium oxide film, or the like may be used. A stack including two or more of the above insulating films may also be used. It is particularly preferable that a silicon nitride film be formed over the resin layer 23 and a silicon oxide film be formed over the silicon nitride film.

[0218]

An inorganic insulating film is preferably formed at high temperatures because the film can have higher density and a higher barrier property as the deposition temperature becomes higher.

[0219]

The substrate temperature during the formation of the insulating layer 31 is preferably higher than or equal to room temperature (25 °C) and lower than or equal to 350 °C, further preferably higher than or equal to 100 °C and lower than or equal to 300 °C.

[0220]

Next, a transistor 40 is formed over the insulating layer 31 (FIG. 8E).

[0221]

There is no particular limitation on the structure of the transistor included in the display device. For example, a planar transistor, a staggered transistor, or an inverted staggered transistor may be used. A top-gate transistor or a bottom-gate transistor may be used. Gate electrodes may be provided above and below a channel.

[0222]

In this example, a bottom-gate transistor including a metal oxide layer 44 is formed as the transistor 40. The metal oxide layer 44 can function as a semiconductor layer of the transistor 40. A metal oxide can function as an oxide semiconductor.

[0223]

In this embodiment, an oxide semiconductor is used as a semiconductor of a transistor. A semiconductor material having a wider bandgap and a lower carrier density than silicon is preferably used because off-state current of the transistor can be reduced.

[0224]

The transistor 40 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The transistor 40 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0225] Specifically, first, a conductive layer 41 is formed over the insulating layer 31. The conductive layer 41 can be formed in the following manner: a conductive film is formed, a resist mask is formed, the conductive film is etched, and the resist mask is removed.

[0226]

The substrate temperature during the formation of the conductive film is preferably higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to room temperature and lower than or equal to 300 °C.

[0227]

The conductive layers included in the display device can each have a single-layer structure or a stacked-layer structure including any of metals such as aluminum, titanium, chromium, nickel, copper, yttrium, zirconium, molybdenum, silver, tantalum, and tungsten or an alloy containing any of these metals as its main component. Alternatively, a light-transmitting conductive material such as indium oxide, indium tin oxide (ITO), indium oxide containing tungsten, indium zinc oxide containing tungsten, indium oxide containing titanium, ITO containing titanium, indium zinc oxide, zinc oxide (ZnO), ZnO containing gallium, or ITO containing silicon may be used. Alternatively, a semiconductor such as polycrystalline silicon or an oxide semiconductor whose resistance is lowered by adding an impurity element, for example, or silicide such as nickel silicide may be used. A film including graphene may be used as well. The film including graphene can be formed, for example, by reducing a film including graphene oxide. A semiconductor such as an oxide semiconductor containing an impurity element may be used. Alternatively, the conductive layers may be formed using a conductive paste of silver, carbon, copper, or the like or a conductive polymer such as a polythiophene. A conductive paste is preferable because it is inexpensive. A conductive polymer is preferable because it is easily applied.

[0228]

Next, an insulating layer 32 is formed. For the insulating layer 32, the description of the inorganic insulating film that can be used for the insulating layer 31 can be referred to.

[0229]

Then, the metal oxide layer 44 is formed. The metal oxide layer 44 can be formed in the following manner: a metal oxide film is formed, a resist mask is formed, the metal oxide film is etched, and the resist mask is removed.

[0230]

The substrate temperature during the formation of the metal oxide film is preferably lower than or equal to 350 °C, further preferably higher than or equal to room temperature and lower than or equal to 200 °C, still further preferably higher than or equal to room temperature and lower than or equal to 130 °C.

[0231]

The metal oxide film can be formed using either or both of an inert gas and an oxygen gas. Note that there is no particular limitation on the flow ratio of oxygen (the partial pressure of oxygen) in the step of forming the metal oxide film. In the case where a transistor having high field-effect mobility is obtained, the flow ratio of oxygen (the partial pressure of oxygen) in the step of forming the metal oxide film is preferably higher than or equal to 0 % and lower than or equal to 30 %, further preferably higher than or equal to 5 % and lower than or equal to 30 %, still further preferably higher than or equal to 7 % and lower than or equal to 15 %.

[0232]

The metal oxide film preferably contains at least indium or zinc. In particular, indium and zinc are preferably contained.

[0233]

The energy gap of the metal oxide is preferably 2 eV or more, further preferably 2.5 eV or more, and still further preferably 3 eV or more. The use of such a metal oxide having a wide energy gap leads to a reduction in off-state current of a transistor.

[0234]

The metal oxide film can be formed by a sputtering method. Alternatively, a PLD method, a PECVD method, a thermal CVD method, an ALD method, a vacuum evaporation method, or the like may be used.

[0235]

Next, a conductive layer 43a and a conductive layer 43b are formed. The conductive layer 43a and the conductive layer 43b can be formed in the following manner: a conductive film is formed, a resist mask is formed, the conductive film is etched, and the resist mask is removed. Each of the conductive layers 43a and 43b is connected to the metal oxide layer 44.

[0236]

Note that during the processing for forming the conductive layer 43a and the conductive layer 43b, the metal oxide layer 44 might be partly etched to be thin in a region not covered by the resist mask.

[0237]

The substrate temperature during the formation of the conductive film is preferably higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to room temperature and lower than or equal to 300 °C.

[0238]

In the above manner, the transistor 40 can be fabricated (FIG. 8E). In the transistor 40, part of the conductive layer 41 functions as a gate, part of the insulating layer 32 functions as a gate insulating layer, and the conductive layer 43a and the conductive layer 43b function as a source and a drain.

[0239]

Next, an insulating layer 33 covering the transistor 40 is formed (FIG. 9A). The insulating layer 33 can be formed using a method similar to that of the insulating layer 31.

[0240]

It is preferable to use an oxide insulating film, such as a silicon oxide film or a silicon oxynitride film, formed in an oxygen-containing atmosphere for the insulating layer 33. An insulating film with low oxygen diffusibihty and oxygen permeability, such as a silicon nitride film, is preferably stacked over the silicon oxide film or the silicon oxynitride film. The oxide insulating film formed in an oxygen-containing atmosphere can easily release a large amount of oxygen by heating. When a stack including such an oxide insulating film that releases oxygen and such an insulating film with low oxygen diffusibility and oxygen permeability is heated, oxygen can be supplied to the metal oxide layer 44. As a result, oxygen vacancies in the metal oxide layer 44 can be filled and defects at the interface between the metal oxide layer 44 and the insulating layer 33 can be repaired, leading to a reduction in defect levels. Accordingly, a display device with extremely high reliability can be manufactured.

[0241]

Through the above steps, the insulating layer 31, the transistor 40, and the insulating layer 33 can be formed over the resin layer 23 (FIG. 9 A).

[0242]

If the formation substrate 14 and the transistor 40 are separated from each other at this stage by a method described later, a device including no display element can be manufactured. Forming the transistor 40 or forming a capacitor, a resistor, a wiring, and the like in addition to the transistor 40 can provide a semiconductor device, for example.

[0243]

Then, an insulating layer 34 is formed over the insulating layer 33 (FIG. 9A). The display element is formed on the insulating layer 34 in a later step; thus, the insulating layer 34 preferably functions as a planarization layer. For the insulating layer 34, the description of the organic insulating film or the inorganic insulating film that can be used for the insulating layer 31 can be referred to.

[0244]

The insulating layer 34 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The insulating layer 34 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0245]

In the case of using an organic insulating film for the insulating layer 34, it is preferable that the temperature applied to the resin layer 23 in forming the insulating layer 34 be higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to room temperature and lower than or equal to 300 °C.

[0246]

In the case of using an inorganic insulating film as the insulating layer 34, the substrate temperature during the film formation is preferably higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to 100 °C and lower than or equal to 300 °C.

[0247]

Next, an opening reaching the conductive layer 43b is formed in the insulating layer 34 and the insulating layer 33.

[0248]

After that, a conductive layer 61 is formed. The conductive layer 61 partly functions as a pixel electrode of a light-emitting element 60. The conductive layer 61 can be formed in the following manner: a conductive film is formed, a resist mask is formed, the conductive film is etched, and the resist mask is removed.

[0249]

The conductive layer 61 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The conductive layer 61 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0250]

The substrate temperature during the formation of the conductive film is preferably higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to room temperature and lower than or equal to 300 °C.

[0251]

Next, an insulating layer 35 is formed to cover an end portion of the conductive layer 61. For the insulating layer 35, the description of the organic insulating film or the inorganic insulating film that can be used for the insulating layer 31 can be referred to.

[0252]

The insulating layer 35 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The insulating layer 35 is preferably formed at a temperature lower than the temperature of the heat treatment. [0253]

In the case of using an organic insulating film for the insulating layer 35, it is preferable that the temperature applied to the resin layer 23 in forming the insulating layer 35 be higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to room temperature and lower than or equal to 300 °C.

[0254]

In the case of using an inorganic insulating film as the insulating layer 35, the substrate temperature during the film formation is preferably higher than or equal to room temperature and lower than or equal to 350 °C, further preferably higher than or equal to 100 °C and lower than or equal to 300 °C.

[0255]

Then, an EL layer 62 and a conductive layer 63 are formed. Part of the conductive layer 63 functions as a common electrode of the light-emitting element 60.

[0256]

The EL layer 62 can be formed by an evaporation method, a coating method, a printing method, a discharge method, or the like. In the case where the EL layer 62 is formed for each individual pixel, an evaporation method using a shadow mask such as a metal mask, an ink-jet method, or the like can be used. In the case of sharing the EL layer 62 by some pixels, an evaporation method not using a metal mask can be used.

[0257]

Either a low molecular compound or a high molecular compound can be used for the EL layer 62, and an inorganic compound may also be included.

[0258]

The conductive layer 63 can be formed by an evaporation method, a sputtering method, or the like.

[0259]

The conductive layer 63 is formed at a temperature that is lower than or equal to the upper temperature limit of the resin layer 23 and lower than or equal to the upper temperature limit of the EL layer 62. The conductive layer 63 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0260]

In the above manner, the light-emitting element 60 can be formed (FIG. 9A). In the light-emitting element 60, the conductive layer 61 part of which functions as the pixel electrode, the EL layer 62, and the conductive layer 63 part of which functions as the common electrode are stacked. [0261]

Although an example where a top-emission light-emitting element is formed as the light-emitting element 60 is described here, one embodiment of the present invention is not limited thereto.

[0262]

The light-emitting element may be a top-emission, bottom-emission, or dual-emission light-emitting element. A conductive film that transmits visible light is used as the electrode through which light is extracted. A conductive film that reflects visible light is preferably used as the electrode through which light is not extracted.

[0263]

Next, an insulating layer 74 is formed so as to cover the conductive layer 63 (FIG. 9A). The insulating layer 74 functions as a protective layer that prevents diffusion of impurities such as water into the light-emitting element 60. The light-emitting element 60 is sealed with the insulating layer 74. After the conductive layer 63 is formed, the insulating layer 74 is preferably formed without exposure to the air.

[0264]

The insulating layer 74 is formed at a temperature that is lower than or equal to the upper temperature limit of the resin layer 23 and lower than or equal to the upper temperature limit of the light-emitting element 60. The insulating layer 74 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0265]

The insulating layer 74 preferably includes an inorganic insulating film with a high barrier property that can be used for the insulating layer 31, for example. A stack including an inorganic insulating film and an organic insulating film can also be used.

[0266]

The insulating layer 74 can be formed by an ALD method, a sputtering method, or the like. An ALD method and a sputtering method are preferable because a film can be formed at low temperatures. An ALD method is preferable because the coverage with the insulating layer 74 is improved.

[0267]

Then, a protective layer 75 is formed over the insulating layer 74 (FIG. 9A). The adhesive layer 75b and the substrate 75a may be used as the protective layer 75 as illustrated in FIG. 5D.

[0268]

Next, the irradiation with the laser light 55 is performed (FIG. 9B 1). The laser light 55 is, for example, a linear laser beam with which scanning is performed from the left side to the right side in FIG. 9B1, and the major axis is perpendicular to the scanning direction and the incident direction (from top to bottom). In the laser apparatus, the stack is provided with the formation substrate 14 facing upward. The stack is irradiated with the laser light 55 from the upper side of the stack (formation substrate 14).

[0269]

For the laser light irradiation step, the description of the above peeling method can be referred to.

[0270]

In the case where a plurality of display devices are fabricated using one formation substrate (a multiple panel method), the plurality of display devices can be formed using one resin layer 23. Alternatively, a plurality of resin layers 23 may be separately formed for display devices. FIG. 9B2 illustrates an example where one resin layer 23 is provided over a formation substrate. FIGS. 9B3 and 9B4 illustrate an example where four resin layers 23 are provided over a formation substrate.

[0271]

A laser apparatus is difficult to use for a process of a large-sized substrate or is expensive in some cases. Therefore, depending on the size of the formation substrate, as illustrated in FIG. 9B4, the formation substrate may be cut and the resulting formation substrates may each be irradiated with laser light.

[0272]

Next, a separation trigger is formed in the resin layer 23 (FIGS. 10A to IOC).

[0273]

For example, a sharp instrument 65, e.g., a knife, is inserted from the protective layer 75 side into a portion located inward from an end portion of the resin layer 23 to make a cut 64 in a frame-like shape.

[0274]

Alternatively, the resin layer 23 may be irradiated with laser light in a frame-like shape.

[0275]

As described above, a plurality of display devices can be formed using one resin layer

23 by a multiple panel method. For example, the plurality of display devices are provided inside the cut 64 shown in FIG. 10B. In that case, the plurality of display devices can be separated from the formation substrate at a time.

[0276]

Alternatively, a plurality of resin layers 23 may be separately formed for display devices. In the example illustrated in FIG. IOC, four resin layers 23 are formed over a formation substrate. The cut 64 is made in a frame-like shape in each of the four resin layers 23, whereby the display devices can be separated from the formation substrate at different timings.

[0277]

In the manufacturing method example 1, the top surface of the metal oxide layer 20 includes a portion in contact with the resin layer 23 and a portion in contact with the insulating layer 31. The adhesion (adhesiveness) between the metal oxide layer 20 and the insulating layer 31 is higher than that between the metal oxide layer 20 and the resin layer 23. Therefore, unintentional peeling of the resin layer 23 from the metal oxide layer 20 can be inhibited. In addition, the formation of the separation trigger enables the metal oxide layer 20 and the resin layer 23 to be separated from each other at desired timing. Accordingly, the timing of the separation can be controlled and the force required for the separation is small. This can increase the yield of the separation process and that of the manufacturing process of a display device.

[0278]

Then, the metal oxide layer 20 and the resin layer 23 are separated from each other (FIG.

11 A).

[0279]

After that, a substrate 29 is bonded to the exposed resin layer 23 with an adhesive layer 28 (FIG. 1 IB).

[0280]

The substrate 29 can serve as a supporting substrate of the display device. The substrate 29 is preferably a film, further preferably a resin film. In that case, the display device can be reduced in weight and thickness. The display device using a film substrate is more robust than a display device using glass, metal, or the like. In addition, the display device can have higher flexibility.

[0281]

With the use of the peeling method described in this embodiment, the transistor 40, the light-emitting element 60, and the like that are fabricated over the formation substrate 14 can be peeled from the formation substrate 14 and transferred onto the substrate 29.

[0282]

The adhesive layer 28 can be formed using the material that can be used for the adhesive layer 75b. The substrate 29 can be formed using the material that can be used for the substrate 75a.

[0283] In the manufacturing method example 1, the metal oxide layer 20 and the resin layer 23 are stacked and irradiated with light. As a result, the adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23 can be lowered. Accordingly, the formation substrate 14 and the resin layer 23 can be easily separated from each other.

[0284]

[Structure example 1 of display device]

FIG. 12A is a top view of a display device 10A. FIGS. 12B and 12C are each an example of a cross-sectional view illustrating a display portion 381 of the display device 10A and a portion for connection to an FPC 372.

[0285]

The display device 10A can be manufactured with the use of the above manufacturing method example 1. The display device 10A can be held in a bent state and can be bent repeatedly, for example.

[0286]

The display device 10A includes a protective layer 75 and a substrate 29. The protective layer 75 side is the display surface side of the display device. The display device 10A includes the display portion 381 and a driver circuit portion 382. The FPC 372 is attached to the display device 10A.

[0287]

A conductive layer 43c and the FPC 372 are electrically connected through a connector

76 (FIGS. 12B and 12C). The conductive layer 43c can be formed using the same material and the same step as those of the source and the drain of the transistor.

[0288]

As the connector 76, any of a variety of anisotropic conductive films (ACF), anisotropic conductive pastes (ACP), and the like can be used.

[0289]

The display device illustrated in FIG. 12C is different from the structure illustrated in FIG. 12B in that not the transistor 40 but a transistor 49 is provided and that a coloring layer 97 is provided over the insulating layer 33. In the case where the light-emitting element 60 has a bottom-emission structure, the coloring layer 97 may be provided closer to the substrate 29 than the light-emitting element 60 is. In the above manufacturing method example 1, a material having high transmittance of visible light can be used for the resin layer 23. Thus, even a display device in which light from the light-emitting element 60 is extracted through the resin layer 23 can have high display quality.

[0290] The transistor 49 illustrated in FIG. 12C includes a conductive layer 45 serving as a gate, as well as the components of the transistor 40 in FIG. 12B.

[0291]

The transistor 49 has a structure in which the semiconductor layer where a channel is formed is provided between two gates. Such a structure enables the control of the threshold voltage of the transistor. The two gates may be connected to each other and supplied with the same signal to operate the transistor. Such a transistor can have higher field-effect mobility and thus have a higher on-state current than other transistors. Consequently, a circuit capable of high-speed operation can be obtained. Furthermore, the area occupied by a circuit portion can be reduced. The use of the transistor having a high on-state current can reduce signal delay in wirings and can reduce display unevenness even in a display device in which the number of wirings is increased because of an increase in size or resolution.

[0292]

Alternatively, by supplying a potential for controlling the threshold voltage to one of the two gates and a potential for driving to the other, the threshold voltage of the transistor can be controlled.

[0293]

[Manufacturing method example 2]

First, the components from the metal oxide layer 20 to the insulating layer 31 are formed over the formation substrate 14 as in the above peeling method (FIG. 13A).

[0294]

Next, a transistor 80 is formed over the insulating layer 31 (FIG. 13B).

[0295]

In this example, a transistor including a metal oxide layer 83 and two gates is fabricated as the transistor 80.

[0296]

The transistor 80 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The transistor 80 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0297]

Specifically, first, a conductive layer 81 is formed over the insulating layer 31. The conductive layer 81 can be formed in the following manner: a conductive film is formed, a resist mask is formed, the conductive film is etched, and the resist mask is removed.

[0298]

Next, an insulating layer 82 is formed. For the insulating layer 82, the description of the inorganic insulating film that can be used for the insulating layer 31 can be referred to.

[0299]

Then, the metal oxide layer 83 is formed. The metal oxide layer 83 can be formed in the following manner: a metal oxide film is formed, a resist mask is formed, the metal oxide film is etched, and the resist mask is removed. For materials for the metal oxide layer 83, the description of the materials that can be used for the metal oxide layer 44 can be referred to.

[0300]

Then, an insulating layer 84 and a conductive layer 85 are formed. For the insulating layer 84, the description of the inorganic insulating film that can be used for the insulating layer 31 can be referred to. The insulating layer 84 and the conductive layer 85 can be formed in the following manner: an insulating film to be the insulating layer 84 and a conductive film to be the conductive layer 85 are formed, a resist mask is formed, the insulating film and the conductive film are etched, and the resist mask is removed.

[0301]

Next, the insulating layer 33 that covers the metal oxide layer 83, the insulating layer 84, and the conductive layer 85 is formed. The insulating layer 33 can be formed using a method similar to that of the insulating layer 31.

[0302]

The insulating layer 33 preferably contains hydrogen. The hydrogen contained in the insulating layer 33 is diffused to the metal oxide layer 83 in contact with the insulating layer 33, so that part of the metal oxide layer 83 has reduced resistance. Since part of the metal oxide layer 83 serves as a low-resistance region, the on-state current and the field-effect mobility of the transistor 80 can be increased.

[0303]

Next, openings reaching the metal oxide layer 83 are formed in the insulating layer 33.

[0304]

Next, a conductive layer 86a and a conductive layer 86b are formed. The conductive layer 86a and the conductive layer 86b can be formed in the following manner: a conductive film is formed, a resist mask is formed, the conductive film is etched, and the resist mask is removed. The conductive layers 86a and 86b are electrically connected to the metal oxide layer 83 through the openings formed in the insulating layer 33.

[0305]

In the above manner, the transistor 80 can be fabricated (FIG. 13B). In the transistor 80, part of the conductive layer 81 serves as a gate, part of the insulating layer 84 serves as a gate insulating layer, part of the insulating layer 82 serves as a gate insulating layer, and part of the conductive layer 85 serves as a gate. The metal oxide layer 83 includes a channel region and a low-resistance region. The channel region and the conductive layer 85 overlap with each other with the insulating layer 84 provided therebetween. The low-resistance region has a portion connected to the conductive layer 86a and a portion connected to the conductive layer 86b.

[0306]

Next, the components from the insulating layer 34 to the light-emitting element 60 are formed over the insulating layer 33 (FIG. 13C). For the steps, the manufacturing method example 1 can be referred to.

[0307]

Furthermore, the steps illustrated in FIGS. 13A to 13C are performed independently of the steps illustrated in FIGS. 14A to 14C. First, a metal oxide layer 92 is formed over a formation substrate 91 in a manner similar to that of the step of forming the metal oxide layer 20 over the formation substrate 14 (FIG. 14A). After that, a first layer is formed over the metal oxide layer 92 in a manner similar to that of the step of forming the resin layer 23 over the metal oxide layer 20, and heat treatment is performed to form a resin layer 93 (FIG. 14B). Then, in a manner similar to that of the step of forming the insulating layer 31 over the resin layer 23, an insulating layer 95 covering an end portion of the resin layer 93 is formed over the resin layer 93 (FIG. 14B).

[0308]

Next, the coloring layer 97 and a light-blocking layer 98 are formed over the insulating layer 95 (FIG. 14C).

[0309]

A color filter or the like can be used as the coloring layer 97. The coloring layer 97 is provided to overlap with a display region of the light-emitting element 60.

[0310]

As the light-blocking layer 98, a black matrix or the like can be used. The light-blocking layer 98 is provided to overlap with the insulating layer 35.

[0311]

Then, with the use of an adhesive layer 99, the formation substrate 14 and the formation substrate 91 are bonded to each other such that the surface of the formation substrate 14 over which the transistor 80 and the like are formed faces the surface of the formation substrate 91 over which the resin layer 93 and the like are formed (FIG. 14D).

[0312]

Next, the irradiation with the laser light 55 is performed (FIG. 15). The laser light 55 is, for example, a linear laser beam with which scanning is performed from the left side to the right side in FIG. 15, and the major axis is perpendicular to the scanning direction and the incident direction (from top to bottom). In the laser apparatus, the stack is provided with the formation substrate 14 facing upward. The stack is irradiated with the laser light 55 from the upper side of the stack (formation substrate 14).

[0313]

Any of the formation substrate 14 and the formation substrate 91 may be separated first. In this example, separation of the formation substrate 14 precedes that of the formation substrate 91.

[0314]

The interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof is preferably irradiated with the laser light 55 through the formation substrate 14. The inside of the metal oxide layer 20 may be irradiated with the laser light 55 or the inside of the resin layer 23 may be irradiated with the laser light 55.

[0315]

The metal oxide layer 20 absorbs the laser light 55. The resin layer 23 may absorb the laser light 55.

[0316]

The irradiation with the laser light 55 reduces adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23. The resin layer 23 is embrittled by irradiation with the laser light 55 in some cases.

[0317]

Most of the laser light 55 is absorbed by three layers, i.e., the formation substrate, the metal oxide layer, and the resin layer, on the side where the irradiation with the laser light 55 is performed. For that reason, with single irradiation with the laser light 55, only one of adhesion between the metal oxide layer 20 and the resin layer 23 or adhesion between the metal oxide layer 92 and the resin layer 93 can be lowered. The timing of separation can be different between the formation substrate 14 and the formation substrate 91; therefore, the formation substrate 14 and the formation substrate 91 can be separated in different steps. This can increase the yield of the separation process and the manufacturing process of a display device.

[0318]

For the laser light irradiation step, the description of the above peeling method can be referred to.

[0319]

Then, a separation trigger is preferably formed in the resin layer 23. For example, a portion where the formation substrate 14 and the formation substrate 91 are bonded to each other with the adhesive layer 99 is preferably separated by inserting a sharp knife or the like between the formation substrate 14 and the formation substrate 91.

[0320]

In the manufacturing method example 2, the top surface of the metal oxide layer 20 includes a portion in contact with the resin layer 23 and a portion in contact with the insulating layer 31. The adhesion (adhesiveness) between the metal oxide layer 20 and the insulating layer 31 is higher than that between the metal oxide layer 20 and the resin layer 23. Therefore, unintentional peeling of the resin layer 23 from the metal oxide layer 20 can be inhibited. In a similar manner, the top surface of the metal oxide layer 92 includes a portion in contact with the resin layer 93 and a portion in contact with the insulating layer 95. The adhesion (adhesiveness) between the metal oxide layer 92 and the insulating layer 95 is higher than that between the metal oxide layer 92 and the resin layer 93. Therefore, unintentional peeling of the resin layer 93 from the metal oxide layer 92 can be inhibited.

[0321]

Next, the formation substrate 14 and the transistor 80 are separated from each other

(FIG. 16A). Although in the example illustrated in FIG. 16A separation occurs in the adhesive layer 99 (cohesive failure of the adhesive layer 99 occurs) outside an end portion of the resin layer 23, one embodiment of the present invention is not limited to this example. For example, separation (interfacial failure or adhesive failure) might occur at the interface between the adhesive layer 99 and the insulating layer 95 or the insulating layer 33.

[0322]

In the manufacturing method example 2, the metal oxide layer 20 and the resin layer 23 are stacked and irradiated with light. As a result, the adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23 can be lowered. Accordingly, the formation substrate 14 and the resin layer 23 can be easily separated from each other.

[0323]

Next, the substrate 29 and the resin layer 23 that is exposed by being separated from the formation substrate 14 are bonded to each other using the adhesive layer 28 (FIG. 16B). The substrate 29 can serve as a supporting substrate of the display device.

[0324]

Next, the irradiation with the laser light 55 is performed (FIG. 17). The laser light 55 is, for example, a linear laser beam with which scanning is performed from the left side to the right side in FIG. 17, and the major axis is perpendicular to the scanning direction and the incident direction (from top to bottom). In the laser apparatus, the stack is provided with the formation substrate 91 facing upward. The stack is irradiated with the laser light 55 from the upper side of the stack (formation substrate 91).

[0325]

The interface between the metal oxide layer 92 and the resin layer 93 or the vicinity thereof is preferably irradiated with the laser light 55 through the formation substrate 91. The inside of the metal oxide layer 92 may be irradiated with the laser light 55 or the inside of the resin layer 93 may be irradiated with the laser light 55.

[0326]

The metal oxide layer 92 absorbs the laser light 55. The resin layer 93 may absorb the laser light 55.

[0327]

The irradiation with the laser light 55 reduces adhesion or adhesiveness between the metal oxide layer 92 and the resin layer 93. The resin layer 93 is embrittled by irradiation with the laser light 55 in some cases.

[0328]

For the laser light irradiation step, the description of the above peeling method can be referred to.

[0329]

Next, a separation trigger is formed in the resin layer 93 (FIG. 18 A).

[0330]

In the example illustrated in FIG. 18 A, the sharp instrument 65, e.g., a knife, is inserted from the substrate 29 side into a portion located inward from an end portion of the resin layer 93 to make a cut in a frame-like shape. This method is suitable for the case where a resin is used for the substrate 29.

[0331]

Alternatively, in a manner similar to that of the formation of the separation trigger in the resin layer 23, the resin layer 93 may be irradiated with laser light in a frame-like shape from the formation substrate 91 side.

[0332]

The formation of the separation trigger enables the formation substrate 91 and the resin layer 93 to be separated from each other at desired timing. Accordingly, the timing of the separation can be controlled and the force required for the separation is small. This can increase the yield of the separation process and that of the manufacturing process of a display device.

[0333]

Next, the formation substrate 91 and the transistor 80 are separated from each other (FIG. 18B). In this example, the formation substrate 91 and a portion inside the frame-like cut are separated from each other.

[0334]

In the manufacturing method example 2, the metal oxide layer 92 and the resin layer 93 are stacked and irradiated with light. As a result, the adhesion or adhesiveness between the metal oxide layer 92 and the resin layer 93 can be lowered. Accordingly, the formation substrate 91 and the resin layer 93 can be easily separated from each other.

[0335]

Next, a substrate 22 and the resin layer 93 that is exposed by being separated from the formation substrate 91 are bonded to each other using an adhesive layer 13 (FIG. 19A). The substrate 22 can serve as a supporting substrate of the display device.

[0336]

In the example illustrated in FIG. 19 A, light emitted from the light-emitting element 60 is extracted to the outside of the display device through the coloring layer 97, the insulating layer 95, and the resin layer 93. It is thus preferable that the resin layer 93 have a high visible light transmittance. In one embodiment of the present invention, the resin layer 93 can have a small thickness. Accordingly, the resin layer 93 can have a high visible light transmittance, which inhibits a reduction in light extraction efficiency of the light-emitting element 60.

[0337]

Furthermore, in one embodiment of the present invention, the interface between the metal oxide layer 92 and the resin layer 93 or the vicinity thereof is irradiated with light, and the metal oxide layer 92 absorbs part of the light. Thus, even when the resin layer 93 has low light absorptance, the metal oxide layer 92 and the resin layer 93 can be easily separated from each other. Therefore, a material having high visible-light transmittance can be used for the resin layer 93. Consequently, a decrease in light extraction efficiency of the light-emitting element 60 can be prevented.

[0338]

The resin layer 93 may be removed. In that case, the light extraction efficiency of the light-emitting element 60 can be further increased. FIG. 19B illustrates an example in which the resin layer 93 is removed and the substrate 22 is bonded to the insulating layer 95 with the adhesive layer 13.

[0339]

The adhesive layer 13 can be formed using the material that can be used for the adhesive layer 75b.

[0340] The substrate 22 can be formed using the material that can be used for the substrate 75a.

[0341]

In the manufacturing method example 2, the peeling method of one embodiment of the present invention is conducted twice to manufacture a display device. In one embodiment of the present invention, each of the functional elements and the like included in the display device is formed over the formation substrate; thus, even in the case where a high-resolution display device is manufactured, high alignment accuracy of a flexible substrate is not required. It is thus easy to attach the flexible substrate.

[0342]

[Modification example]

In the manufacturing method example 2 (FIG. 14D), the adhesive layer 99 overlaps with both a portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and a portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other.

[0343]

The adhesion (adhesiveness) between the metal oxide layer 20 and the insulating layer 31 is higher than that between the metal oxide layer 20 and the resin layer 23. The adhesion (adhesiveness) between the metal oxide layer 92 and the insulating layer 95 is higher than that between the metal oxide layer 92 and the resin layer 93.

[0344]

When peeling is caused at the interface between the metal oxide layer 20 and the insulating layer 31 or the interface between the metal oxide layer 92 and the insulating layer 95, peeling might be failed, for example, reducing the yield of peeling. Therefore, the process is suitable in which only the portion that overlaps with the resin layer is separated from the formation substrate after formation of a separation trigger in the resin layer in a frame-like shape.

[0345]

It is also possible to employ a structure in which the adhesive layer 99 does not overlap with the portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and the portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other, as illustrated in FIGS. 20 A and 20B. Accordingly, defective peeling can be suppressed.

[0346]

When an adhesive or an adhesive sheet having a low fluidity, for example, is used for the adhesive layer 99, the adhesive layer 99 can be easily formed to have an island-like shape (FIG. 20A). [0347]

Alternatively, a partition 96 having a frame-like shape may be formed and the space surrounded by the partition 96 may be filled with the adhesive layer 99 (FIG. 20B).

[0348]

In the case where the partition 96 is used as a component of a display device, the partition 96 is preferably formed using a cured resin. In that case, it is preferable that the partition 96 not overlap with the portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and the portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other, either.

[0349]

In the case where the partition 96 is not used as a component of a display device, the partition 96 is preferably formed using an uncured resin or a semi-cured resin. In that case, the partition 96 may overlap with one or both of the portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and the portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other.

[0350]

In the example described in this embodiment, the partition 96 is formed using an uncured resin, and the partition 96 does not overlap with the portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and the portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other.

[0351]

[Structure example 2 of display device]

FIG. 21A is a top view of a display device 10B. FIG. 21B is an example of a cross-sectional view illustrating the display portion 381 of the display device 10B and a portion for connection to the FPC 372.

[0352]

The display device 10B can be manufactured with the use of the above manufacturing method example 2. The display device 10B can be held in a bent state and can be bent repeatedly, for example.

[0353]

The display device 10B includes the substrate 22 and the substrate 29. The substrate 22 side is the display surface side of the display device 10B. The display device 10B includes the display portion 381 and the driver circuit portion 382. The FPC 372 is attached to the display device 10B.

[0354] Each of the substrate 22 and the substrate 29 is preferably a film, further preferably a resin film. In that case, the display device can be reduced in weight and thickness. The display device using a film substrate is more robust than a display device using glass, metal, or the like. In addition, the display device can have higher flexibility.

[0355]

A conductive layer 86c and the FPC 372 are electrically connected through the connector 76 (FIG. 2 IB). The conductive layer 86c can be formed using the same material and the same step as those of the source and the drain of the transistor.

[0356]

[Example of stack manufacturing apparatus]

Next, an example of a stack manufacturing apparatus will be described with reference to FIG. 22. With the stack manufacturing apparatus illustrated in FIG. 22, a layer to be peeled can be peeled from a formation substrate by the peeling method of this embodiment and transferred to another substrate. With the use of the stack manufacturing apparatus illustrated in FIG. 22, a stack such as a semiconductor device or a display device can be manufactured.

[0357]

The stack manufacturing apparatus illustrated in FIG. 22 includes a laser irradiation unit 610, a substrate reversing unit 630, a plurality of transfer rollers (e.g., transfer rollers 643, 644, 645, and 646), a tape reel 602, a wind-up reel 683, a direction changing roller 604, and a press roller 606.

[0358]

A stack 56 that can be processed with the stack manufacturing apparatus illustrated in FIG. 22 is, for example, a stack including a member to be peeled 56a and a support 56b. In the stack 56, peeling occurs between the member to be peeled 56a and the support 56b. The member to be peeled 56a includes a resin layer and the support 56b includes a formation substrate, for example.

[0359]

The stack manufacturing apparatus illustrated in FIG. 22 attaches a support 601 to the stack 56 and pulls the support 601, so that the member to be peeled 56a is peeled from the support 56b. Since the stack 56 can be automatically divided with the use of the support 601, the processing time can be shortened and the manufacturing yield of products can be improved.

[0360]

The member to be peeled 56a separated from the support 56b is bonded to a support 671 with an adhesive. As a result, a stack 59 in which the support 601, the member to be peeled 56a, and the support 671 are stacked in this order can be manufactured. [0361]

The plurality of transfer rollers can transfer the stack 56. The transfer mechanism that transfers the stack 56 is not limited to a transfer roller and may be a conveyor belt, a transfer robot, or the like. Furthermore, the stack 56 may be placed over a stage over the transfer mechanism.

[0362]

The transfer roller 643, the transfer roller 644, the transfer roller 645, and the transfer roller 646, each of which is one of the transfer rollers that are lined up, are provided at predetermined intervals and rotate in the direction in which the stack 56, the member to be peeled 56a, or the support 56b is sent (the clockwise direction as indicated by solid arrows). The plurality of lined-up transfer rollers are each rotated by a driving portion (e.g., a motor), which is not illustrated.

[0363]

The laser irradiation unit 610 is a unit for irradiating the stack 56 with laser. As a laser, for example, an excimer laser that emits ultraviolet light with a wavelength of 308 nm can be used. Alternatively, a high-pressure mercury lamp, a UV-LED, or the like may be used.

[0364]

As illustrated in FIG. 22, the stack 56 is transferred to the laser irradiation unit 610 with the support 56b facing upward.

[0365]

The excimer laser is a pulsed laser with high output, which can shape a beam into a linear form with an optical system. The substrate is moved within a range that is irradiated with a linear laser beam, so that the whole or necessary portion of the substrate can be irradiated with laser light. Note that when the length of a linear beam is longer than or equal to one side of the substrate, the substrate is moved only in one direction, so that the whole substrate can be irradiated with laser light. The oscillation frequency of the pulsed laser is preferably greater than or equal to 1 Hz and less than or equal to 300 Hz, further preferably 60 Hz or around 60 Hz.

[0366]

For an excimer laser apparatus, besides an apparatus on which one laser oscillator is mounted, an apparatus on which two or more laser oscillators are mounted may be used. In the apparatus including a plurality of laser oscillators, laser beams that are output in synchronization from the laser oscillators are synthesized (superimposed) with an optical system, so that laser light with high energy density can be obtained. Thus, in the application according to this embodiment, a glass substrate whose size is larger than or equal to the 3.5th generation (600 mm x 720 mm), larger than or equal to the 6th generation (1500 mm x 1850 mm), larger than or equal to the 7th generation (1870 mm x 2200 mm), or larger than or equal to the 8th generation (2160 mm x 2460 mm) can be processed. In the apparatus including a plurality of laser oscillators, the output variation of laser light emitted from the laser oscillators is compensated, so that a variation in intensity per pulse is reduced, and a high yield process can be performed. Instead of an apparatus including a plurality of laser oscillators, a plurality of excimer laser apparatuses can be used.

[0367]

FIG. 23 A illustrates an example of the laser irradiation unit 610 using an excimer laser. Laser light 610a and 610b emitted from an excimer laser apparatus 660 having two laser oscillators is synthesized by an optical system 635. Laser light 610c that is extended horizontally by the optical system 635 is incident on a lens 680 via a mirror 650. Laser light 610d transmitted through the lens 680 is reduced compared with the laser light 610c. At this time, the processing region 640 included in the stack 56 is irradiated with the laser light 610d through the support 56b (e.g., a glass substrate). Hereinafter, part of the laser light 610d with which the processing region 640 is irradiated is referred to as a linear beam 610e.

[0368]

The example of an apparatus including two laser oscillators is described here. The apparatus may include one laser oscillator, in which case the apparatus can be simplified. Alternatively, an apparatus including three or more laser oscillators may be used, in which case the intensity of the linear beam 610e can be increased.

[0369]

By moving the stack 56 by the transfer roller 644 in a direction indicated by an arrow in the drawing, the processing region 640 can be irradiated with the linear beam 610e.

[0370]

The irradiation with the linear beam 610e is performed while the stack 56 is transferred by the transfer roller 644 at a certain speed as illustrated in FIG. 23 A; thus, the processing time can be shortened. Note that the stack 56 may be provided on a stage that is movable at least in one direction, and the irradiation with the linear beam 610e may be performed while the stage is moved. In the case of using a stage, the stage is preferably movable in a lateral direction with respect to a travelling direction and a height direction and is preferably capable of adjusting the position or the depth of the focus of the linear beam 610e. FIG. 23 A illustrates an example where the irradiation with the linear beam 610e is performed by moving the stack 56; however, one embodiment of the present invention is not limited thereto. For example, the stack 56 may be irradiated with the linear beam 610e by fixing the stack 56 and moving the excimer laser apparatus 660 or the like. [0371]

In the example illustrated in FIG. 23 A, the processing region 640 that is irradiated with the linear beam 610e is located inward from an end portion of the stack 56. Thus, a region outside the processing region 640 maintains strong adhesion, which can prevent peeling during transfer. Note that the width of the linear beam 610e may be the same as or larger than that of the stack 56. In that case, the whole stack 56 can be irradiated with the linear beam 610e.

[0372]

FIG. 23B illustrates a state where the processing region 640 of the stack 56 is irradiated with the linear beam 610e. The stack 56 includes a formation substrate 58, a first layer 57a, and a second layer 57b. Here, a portion including the formation substrate 58 and the second layer 57b corresponds to the support 56b, and a portion including the first layer 57a corresponds to the member to be peeled 56a.

[0373]

For example, the first layer 57a and the second layer 57b correspond to the resin layer 23 and the metal oxide layer 20, respectively.

[0374]

It is preferable that the laser light 610d pass through the formation substrate 58 and the linear beam 610e enter an interface between the first layer 57a and the second layer 57b or the vicinity thereof. It is particularly preferable that the linear beam 610e be focused on the interface between the first layer 57a and the second layer 57b or the vicinity thereof.

[0375]

When the linear beam 610e is focused on the interface between the first layer 57a and the second layer 57b, water which might exist at the interface between the first layer 57a and the second layer 57b is vaporized and the volume of the water rapidly increases in some cases. In that case, peeling is assumed to occur at the interface between the first layer 57a and the second layer 57b or the vicinity thereof owing to the increase in the volume of the water.

[0376]

Note that there is a technique of crystallizing an amorphous silicon film by irradiation with laser light. In the case of the technique, the laser light is focused on the inside of the amorphous silicon film. In contrast, in one embodiment of the present invention, as illustrated in FIG. 23B, the laser light (here, the linear beam 610e) is focused on the interface between the first layer 57a and the second layer 57b or the vicinity thereof. That is, one embodiment of the present invention is different from the technique of crystallizing an amorphous silicon film in the focus position of laser light.

[0377] Furthermore, in the case where the focus of the linear beam 610e is at sufficiently great depth, the linear beam 610e is focused on not only the interface between the first layer 57a and the second layer 57b or the vicinity thereof but also the entire first layer 57a in the thickness direction, the entire second layer 57b in the thickness direction, or both of them in some cases.

[0378]

As the excimer laser, laser whose wavelength is 308 nm or longer is preferably used. With laser with a wavelength of 308 nm or longer, the sufficient amount of laser light that is necessary for processing can be transmitted through the support 56b even when a glass substrate is used for the support 56b.

[0379]

The substrate reversing unit 630 illustrated in FIG. 22 is a unit for turning the stack 56 upside down. For example, the substrate reversing unit 630 can include rotatable transfer rollers between which the stack 56 is sandwiched from above and below. Note that the structure of the substrate reversing unit 630 is not limited thereto, and the transfer rollers between which the stack 56 is sandwiched from above and below may be provided in a spiral, or the substrate reversing unit 630 may include a transfer arm which is capable of reversing.

[0380]

The stack 56 after passing through the substrate reversing unit 630 is located with the member to be peeled 56a facing upward as illustrated in FIG. 22.

[0381]

The tape reel 602 can unwind the support 601 in a rolled sheet form. The speed at which the support 601 is unwound is preferably adjustable. When the speed is set relatively low, for example, failure in peeling of the stack or a crack in a peeled member can be inhibited.

[0382]

The wind-up reel 683 can wind up the stack 59.

[0383]

The tape reel 602 and the wind-up reel 683 can apply tension to the support 601.

[0384]

The support 601 is sent out intermittently or continuously. It is preferable to unwind the support 601 continuously because peeling can be performed at a uniform speed and with a uniform force. In a peeling process, the peeling is preferably performed successively without a stop, and is further preferably performed at a constant speed. When the peeling stops in the middle of the process and then resumes from the same region, distortion or the like occurs in the region, unlike in the case of successive peeling. Thus, a minute structure of the region or the characteristics of an electronic device or the like in the region are changed, which might influence display of a display device, for example.

[0385]

As the support 601, a film in a rolled sheet form made of an organic resin, a metal, an alloy, glass, or the like can be used.

[0386]

In the example illustrated in FIG. 22, the support 601 is a member that constitutes a device to be manufactured (e.g., a flexible device) together with the member to be peeled 56a, which is typified by a flexible substrate. The support 601 may be a member that does not constitute the device to be manufactured, which is typified by a carrier tape.

[0387]

The delivery direction of the support 601 can be changed by the direction changing roller 604. In the example illustrated in FIG. 22, the direction changing roller 604 is positioned between the tape reel 602 and the press roller 606.

[0388]

The support 601 is bonded to the stack 56 (member to be peeled 56a) by the press roller

606 and the transfer roller 645.

[0389]

In the structure illustrated in FIG. 22, the support 601 can be prevented from being in contact with the stack 56 before reaching the press roller 606. Accordingly, air bubbles can be inhibited from being trapped between the support 601 and the stack 56.

[0390]

The press roller 606 is rotated by a driving portion (e.g., a motor) which is not illustrated. When the press roller 606 rotates, the force of peeling the member to be peeled 56a is applied to the stack 56; thus, the member to be peeled 56a is peeled. At this time, the stack 56 preferably has a peeling trigger. Peeling of the member to be peeled 56a starts from the peeling trigger. As a result, the stack 56 is divided into the member to be peeled 56a and the support 56b.

[0391]

The mechanism that separates the member to be peeled 56a from the stack 56 is not limited to the press roller 606, and a structure body having a convex surface (or a convex curved surface) can be used. For example, a cylindrical (e.g., circular cylindrical, right circular cylindrical, elliptic cylindrical, or parabolic cylindrical) or spherical structure body can be used. Furthermore, a roller such as a drum type roller can be used, for example. Examples of the shape of the structure body include a column with a bottom surface whose boundary includes a curved line (e.g., a cylinder with a perfect circle-shaped bottom surface or an elliptic cylinder with an ellipse-shaped bottom surface), and a column with a bottom surface whose boundary includes a curved line and a straight line (e.g., a column with a semicircular bottom surface or a semi-elliptical bottom surface). If the shape of the structure body is any of such columns, the convex surface corresponds to a curved surface of the column.

[0392]

Examples of a material for the structure body include a metal, an alloy, an organic resin, and rubber. The structure body may have a space or a hollow inside. Examples of the rubber include natural rubber, urethane rubber, nitrile rubber, and neoprene rubber. In the case of using rubber, it is preferable to use a material unlikely to be charged by friction or peeling or to take countermeasures to prevent static electricity. For example, the press roller 606 illustrated in FIG. 22 includes a hollow cylinder 606a formed of rubber or an organic resin and a circular cylinder 606b formed of a metal or an alloy and positioned inside the cylinder 606a.

[0393]

The rotation speed of the press roller 606 is preferably adjustable. By adjusting the rotation speed of the press roller 606, the yield of peeling can be further increased.

[0394]

The press roller 606 and the plurality of transfer rollers may be movable in at least one direction (e.g., the vertical direction, the horizontal direction, or the depth direction). The distance between the convex surface of the press roller 606 and a supporting surface of the transfer roller is preferably adjustable because peeling can be performed on stacks with a variety of thicknesses.

[0395]

There is no particular limitation on an angle at which the press roller 606 bends the support 601. FIG. 22 illustrates an example where the press roller 606 bends the support 601 at an obtuse angle.

[0396]

The stack manufacturing apparatus illustrated in FIG. 22 further includes a roller 617. The roller 617 can deliver the support 601 from the press roller 606 to the wind-up reel 683 along the convex surface.

[0397]

The roller 617 is movable in one or more directions.

[0398]

The roller 617 can apply tension to the support 601 by moving the shaft of the roller 617. That is, the roller 617 is also referred to as a tension roller. Specifically, the roller 617 can pull the support 601 in the delivery direction changed with the press roller 606. [0399]

Moving the shaft of the roller 617 enables the roller 617 to control the angle at which the press roller 606 bends the support 601.

[0400]

The roller 617 can bend back the support 601 to change the delivery direction of the support 601. For example, the delivery direction of the support 601 may be changed to the horizontal direction. Alternatively, the following structure may be employed: the roller 617 bends back the support 601 to change the delivery direction of the support 601; then, the delivery direction of the support 601 is further changed to the horizontal direction by a direction changing roller 607 located between the roller 617 and the wind-up reel 683.

[0401]

The stack manufacturing apparatus illustrated in FIG. 22 further includes guide rollers (e.g., guide rollers 631, 632, and 633), a wind-up reel 613, a liquid feeding mechanism 659, a drying mechanism 614, and ionizers (e.g., ionizers 639 and 620).

[0402]

The stack manufacturing apparatus may include a guide roller that guides the support 601 to the wind-up reel 683. One guide roller may be used, or a plurality of guide rollers may be used. Like the guide roller 632, the guide roller may be capable of applying tension to the support 601.

[0403]

A tape 600 (also called separate film) may be bonded to at least one surface of the support 601. In this case, the stack manufacturing apparatus preferably includes a reel that can wind up the tape 600 bonded to one surface of the support 601. FIG. 22 illustrates an example in which the wind-up reel 613 is positioned between the tape reel 602 and the press roller 606. Furthermore, the stack manufacturing apparatus may include a guide roller 634. The guide roller 634 can guide the tape 600 to the wind-up reel 613.

[0404]

The stack manufacturing apparatus may include the drying mechanism 614. Since the functional element (e.g., a transistor or a thin film integrated circuit) included in the member to be peeled 56a is vulnerable to static electricity, it is preferable that liquid be fed to the interface between the member to be peeled 56a and the support 56b before peeling or that the peeling be performed while liquid is fed to the interface. The presence of the liquid in the portion where the peeling proceeds can decrease the force required for the peeling. The peeling can be performed while liquid is fed to the interface with the liquid feeding mechanism 659. Since a watermark might be formed if the liquid adhered to the member to be peeled 56a is vaporized, the liquid is preferably removed immediately after the peeling. Thus, the member to be peeled 56a including a functional element is preferably blow-dried to remove a droplet left on the member to be peeled 56a. Therefore, watermark generation can be suppressed. A carrier plate 609 may be provided to prevent slack in the support 601.

[0405]

It is preferable that an air flow downward along the inclination of the support 601 so that the droplet drips down while the support 601 is transferred in an oblique direction relative to the horizontal plane.

[0406]

Although the transfer direction of the support 601 may be perpendicular to the horizontal plane, the transfer direction is preferably oblique to the horizontal plane for higher stability and less shaking of the support 601 during the transfer.

[0407]

During the process, a static eliminator included in the stack manufacturing apparatus is preferably used at a position where static electricity might be generated. There is no particular limitation on the static eliminator, and for example, a corona discharge ionizer, a soft X-ray ionizer, or an ultraviolet ionizer can be used.

[0408]

For example, it is preferable that the stack manufacturing apparatus be provided with an ionizer and static elimination be performed by spraying the member to be peeled 56a with air, a nitrogen gas, or the like from the ionizer to reduce adverse effects of static electricity on the functional element. It is particularly preferable to use the ionizer in a step of bonding two members to each other and a step of dividing one member.

[0409]

For example, the stack 56 is preferably divided into the member to be peeled 56a and the support 56b while the vicinity of the interface between the member to be peeled 56a and the support 56b is irradiated with ions using the ionizer 639 to remove static electricity.

[0410]

The stack manufacturing apparatus may include a substrate load cassette 641 and a substrate unload cassette 642. For example, the stack 56 can be supplied to the substrate load cassette 641. The substrate load cassette 641 can supply the stack 56 to the transfer mechanism or the like. Furthermore, the support 56b can be supplied to the substrate unload cassette 642.

[0411]

A tape reel 672 can unwind the support 671 in rolled sheet form. For the support 671, a material similar to that for the support 601 can be used. [0412]

The tape reel 672 and the wind-up reel 683 can apply tension to the support 671.

[0413]

The stack manufacturing apparatus may include guide rollers 677, 678, and 679 that guide the support 671 to the wind-up reel 683.

[0414]

The delivery direction of the support 671 can be changed by the direction changing roller 676.

[0415]

The press roller 675 can bond the member to be peeled 56a to the support 671 that is unwound by the tape reel 672 while applying pressure to them. Accordingly, inclusion of bubbles between the support 671 and the member to be peeled 56a can be inhibited.

[0416]

A separation tape 670 may be bonded to at least one surface of the support 671. A reel 673 can wind up the separation tape 670. A guide roller 674 can guide the separation tape 670 to the reel 673.

[0417]

The manufactured stack 59 may be wound up or cut. FIG. 22 illustrates an example in which the wind-up reel 683 winds up the stack 59. A guide roller guiding the stack 59 to the wind-up reel 683, such as guide rollers 665 and 666, may be provided.

[0418]

In the stack manufacturing apparatus illustrated in FIG. 22, the member to be peeled 56a is peeled from the stack 56 by the press roller 606 and transferred to the support 671 by the press roller 675.

[0419]

As described above, in the peeling method described in this embodiment, the metal oxide layer and the resin layer are stacked over the formation substrate and the peelability of the resin layer with respect to the metal oxide layer is adjusted by light irradiation. Furthermore, when the top surface of the metal oxide layer includes a portion in contact with the resin layer and a portion in contact with the insulating layer, the resin layer can be peeled from the formation substrate at desired timing. Therefore, display devices and the like can be manufactured with high yield by the peeling method described in this embodiment.

[0420]

This embodiment can be combined with any other embodiment as appropriate. In the case where a plurality of structure examples are described in one embodiment in this specification, some of the structure examples can be combined as appropriate.

[0421]

(Embodiment 2)

In this embodiment, a manufacturing method of a display device of one embodiment of the present invention will be described with reference to FIGS. 24A to 24E, FIGS. 25A to 25E, FIGS. 26A to 26C, FIGS. 27A and 27B, and FIGS. 28A to 28C.

[0422]

In this embodiment, the case where low-temperature polysilicon (LTPS) is used for a channel formation region of the transistor will be described.

[0423]

In the case where LTPS is used, a resin layer is preferably formed using a material having high heat resistance. Furthermore, the resin layer is preferably formed to be thick. Thus, a high-temperature process becomes possible and damage in a step for laser crystallization can be reduced.

[0424]

First, the metal oxide layer 20 is formed over the formation substrate 14 (FIG. 24A). For the material and the formation method of the metal oxide layer 20, Embodiment 1 can be referred to.

[0425]

Next, the first layer 24 is formed over the metal oxide layer 20 (FIG. 24B).

[0426]

For the material and the formation method of the first layer 24, Embodiment 1 can be referred to. It is preferable that the heat resistance of the material of the first layer 24 used in this embodiment be sufficiently high.

[0427]

Next, heat treatment is performed on the first layer 24 having a desired shape, whereby the resin layer 23 is formed (FIG. 24C). Here, the resin layer 23 having an island shape is formed.

[0428]

Conditions of the heat treatment can be referred to the description in Embodiment 1.

[0429]

In this embodiment, since the first layer 24 is formed using a material having high heat resistance, the resin layer 23 can have high heat resistance.

[0430]

In this embodiment, since a material having high heat resistance is used for the first layer 24, the heat treatment can be performed at a temperature higher than the heating temperature in Embodiment 1. For example, the temperature of the heat treatment is preferably higher than or equal to 400 °C and lower than or equal to 600 °C, further preferably higher than or equal to 450 °C and lower than or equal to 550 °C.

[0431]

The thickness of the resin layer 23 is preferably greater than or equal to 10 μιη and less than or equal to 200 μηι, further preferably greater than or equal to 10 μιη and less than or equal to 100 μτη, still further preferably greater than or equal to 10 μιη and less than or equal to 50 μιη. When the thickness of the resin layer 23 is sufficiently large, damage in a step of laser crystallization can be reduced. The rigidity of the display device can be increased.

[0432]

The 5 % weight loss temperature of the resin layer 23 is preferably higher than or equal to 400 °C and lower than or equal to 600 °C, further preferably higher than or equal to 450 °C and lower than or equal to 600 °C, still further preferably higher than or equal to 500 °C and lower than or equal to 600 °C.

[0433]

Next, the insulating layer 31 is formed over the formation substrate 14 and the resin layer 23 (FIG. 24D).

[0434]

The insulating layer 31 is formed at a temperature lower than or equal to the upper temperature limit of the resin layer 23. The insulating layer 31 is preferably formed at a temperature lower than the temperature of the heat treatment.

[0435]

The insulating layer 31 can be used as a barrier layer that prevents diffusion of impurities contained in the resin layer 23 into a transistor and a display element formed later. For example, the insulating layer 31 preferably prevents moisture and the like contained in the resin layer 23 from diffusing into the transistor and the display element when the resin layer 23 is heated. Thus, the insulating layer 31 preferably has a high barrier property.

[0436]

For the insulating layer 31, any of the materials described in Embodiment 1 can be used.

[0437]

Next, a transistor 140 is formed over the insulating layer 31 (FIG. 24E and FIGS. 25A to 25E).

[0438] Here, the case where a top-gate transistor including LTPS in a channel formation region is formed as the transistor 140 is shown.

[0439]

First, a semiconductor film is formed over the insulating layer 31 by a sputtering method, a CVD method, or the like. In this embodiment, a 50-nm-thick amorphous silicon film 161 is formed with a plasma CVD apparatus.

[0440]

Next, heat treatment is preferably performed on the amorphous silicon film 161. Thus, hydrogen can be released from the amorphous silicon film 161. Specifically, the amorphous silicon film 161 is preferably heated at a temperature higher than or equal to 400 °C and lower than or equal to 550 °C. For example, when the amount of hydrogen contained in the amorphous silicon film 161 is smaller than or equal to 5 atomic%, manufacturing yield in the crystallization step can be improved. The heat treatment may be omitted in the case where the amount of hydrogen contained in the amorphous silicon film 161 is small.

[0441]

In this embodiment, since the resin layer 23 has high heat resistance, the amorphous silicon film 161 can be heated at high temperatures. Thus, hydrogen in the amorphous silicon film 161 can be sufficiently released and the manufacturing yield in the crystallization step can be increased.

[0442]

Next, the semiconductor film is crystallized to form a semiconductor film 162 having a crystalline structure (FIG. 25A).

[0443]

The semiconductor film can be crystallized by irradiation with laser light from above the semiconductor film. The laser light with a wavelength of 193 nm, 248 nm, 308 nm, or 351 nm, for example, can be used. Alternatively, the semiconductor film may be crystallized by using a metal catalyst element.

[0444]

In this embodiment, since the resin layer 23 has high heat resistance and is formed to be thick, damage due to crystallization can be reduced.

[0445]

Next, channel doping may be performed on the semiconductor film 162 having a crystalline structure.

[0446]

Next, the semiconductor film 162 having a crystalline structure is processed to form an island-shaped semiconductor film.

[0447]

The semiconductor film can be processed by a wet etching method and/or a dry etching method.

[0448]

Next, an insulating layer 163 and a conductive layer 164 are formed over the insulating layer 31 and the semiconductor film. For the insulating layer 163, the description of the inorganic insulating film that can be used for the insulating layer 31 can be referred to. The insulating layer 163 and the conductive layer 164 can be formed in the following manner: an insulating film to be the insulating layer 163 is formed; a conductive film to be the conductive layer 164 is formed; a mask is formed; the insulating film and the conductive film are etched; and the mask is removed.

[0449]

An impurity element is added to part of the semiconductor film, whereby a channel region 162a and low-resistance regions 162b (also referred to as a source region and a drain region) are formed. The impurity element may be added a plurality of times (where light doping and heavy doping are performed) to form a lightly doped drain (LDD) region between the channel region 162a and each of the low resistance regions 162b. The insulating layer 163, the conductive layer 164, and a mask for forming these layers can function as a mask for adding impurity elements.

[0450]

In the case of forming an n-channel transistor, an impurity element imparting n-type conductivity to a semiconductor film is used. For example, an element such as P, As, Sb, S, Te, or Se can be used.

[0451]

In the case of forming a p-channel transistor, an impurity element imparting p-type conductivity to a semiconductor film is used. For example, an element such as B, Al, or Ga can be used.

[0452]

Next, an insulating layer 165 that covers the semiconductor layer, the insulating layer

163, and the conductive layer 164 is formed (FIG. 25C). The insulating layer 165 can be formed in a manner similar to that of the insulating layer 31.

[0453]

Next, heat treatment is performed. Thus, the impurity element which is added to the semiconductor film is activated. The heat treatment is preferably performed after the formation of the insulating layer 165 so as to prevent oxidation of the conductive layer 164.

[0454]

In this embodiment, since the heat resistance of the resin layer 23 is high, heat treatment for activating the impurity element can be performed at a high temperature. Thus, the characteristics of the transistor can be improved.

[0455]

Next, an insulating layer 166 is formed over the insulating layer 165 (FIG. 25D). The insulating layer 166 can be formed in a manner similar to that of the insulating layer 31, and specifically, an insulating film containing hydrogen is formed as the insulating layer 166.

[0456]

Next, heat treatment is performed. Consequently, hydrogen is supplied from the insulating layer 166 containing hydrogen into the semiconductor film (especially into the channel region 162a); thus, defects in the semiconductor film can be terminated with hydrogen. The heat treatment is preferably performed after the insulating layer 166 containing hydrogen is formed. The heat treatment is performed at a temperature lower than the temperature of the heat treatment which is performed on the amorphous silicon film 161 in order to release hydrogen.

[0457]

In this embodiment, since heat resistance of the resin layer 23 is high, heat treatment for hydrogenation can be performed at high temperatures. Thus, the characteristics of the transistor can be improved.

[0458]

Next, openings reaching the low-resistance regions 162b of the semiconductor layer are formed in the insulating layers 165 and 166.

[0459]

Then, the conductive layer 167a and the conductive layer 167b are formed. The conductive layers 167a and 167b can be formed in the following manner: a conductive film is formed; a resist mask is formed; the conductive film is etched; and the resist mask is removed. The conductive layers 167a and 167b are electrically connected to the low-resistance regions 162b through the openings formed in the insulating layers 165 and 166.

[0460]

In the above manner, the transistor 140 can be fabricated (FIG. 25E). In the transistor 140, part of the conductive layer 164 functions as a gate and part of the insulating layer 163 functions as a gate insulating layer. The semiconductor layer includes the channel region 162a and the low-resistance regions 162b. The channel region 162a and the conductive layer 164 overlap with each other with the insulating layer 163 provided therebetween. The low-resistance regions 162b include a portion connected to the conductive layer 167a and a portion connected to the conductive layer 167b.

[0461]

Next, constituents from the insulating layer 34 to the protective layer 75 are formed over the insulating layer 166 (see FIG. 26A). For these steps, Embodiment 1 can be referred to.

[0462]

Next, the irradiation with the laser light 55 is performed (FIG. 26B). For the method for the irradiation with the laser light 55, Embodiment 1 can be referred to.

[0463]

Then, a separation trigger is formed in the resin layer 23 (FIG. 26C). For the method for forming the separation trigger, Embodiment 1 can be referred to.

[0464]

In this embodiment, the top surface of the metal oxide layer 20 includes a portion in contact with the resin layer 23 and a portion in contact with the insulating layer 31. The adhesion (adhesiveness) between the metal oxide layer 20 and the insulating layer 31 is higher than that between the metal oxide layer 20 and the resin layer 23. Therefore, unintentional peeling of the resin layer 23 from the metal oxide layer 20 can be inhibited. In addition, the formation of the separation trigger enables the metal oxide layer 20 and the resin layer 23 to be separated from each other at desired timing. Accordingly, the timing of the separation can be controlled and the force required for the separation is small. This can increase the yield of the separation process and that of the manufacturing process of a display device.

[0465]

Then, the metal oxide layer 20 and the resin layer 23 are separated from each other (FIG. 27A).

[0466]

After that, the substrate 29 is bonded to the exposed resin layer 23 with the adhesive layer 28 (FIG. 27B).

[0467]

The substrate 29 can serve as a supporting substrate of the display device. The substrate 29 is preferably a film, further preferably a resin film. In that case, the display device can be reduced in weight and thickness. The display device using a film substrate is more robust than a display device using glass, metal, or the like. In addition, the display device can have higher flexibility.

[0468] As described above, the resin layer is formed to be thick using a high heat-resistant material, whereby a display device including LTPS in a transistor can be manufactured.

[0469]

[Structure example 3 of display device]

FIG. 28A is a top view of a display device IOC. FIGS. 28B and 28C are each an example of a cross-sectional view illustrating the display portion 381 of the display device IOC and a portion for connection to the FPC 372.

[0470]

The display device IOC can be held in a bent state and can be bent repeatedly, for example.

[0471]

The display device IOC includes the protective layer 75 and the substrate 29. The protective layer 75 side is the display surface side of the display device. The display device IOC includes the display portion 381 and the driver circuit portion 382. The FPC 372 is attached to the display device IOC.

[0472]

The conductive layer 43c and the FPC 372 are electrically connected through the connector 76 (FIGS. 28B and 28C). The conductive layer 43c can be formed using the same material and the same step as those of the source and the drain of the transistor.

[0473]

The display device illustrated in FIG. 28C does not include the resin layer 23 and the insulating layer 31 but includes a stack including a resin layer 23 a, an insulating layer 31a, a resin layer 23b, and an insulating layer 31b. Such a stack can improve the reliability of the display device.

[0474]

This embodiment can be combined with any other embodiment as appropriate.

[0475]

(Embodiment 3)

In this embodiment, a display device and an input/output device that can be manufacturing by applying one embodiment of the present invention will be described with reference to FIG. 29, FIG. 30, FIG. 31, FIG. 32, FIGS. 33 A and 33B, FIG. 34, FIG. 35, FIG. 36, FIG. 37, and FIG. 38.

[0476]

The display device of this embodiment includes a first display element reflecting visible light and a second display element emitting visible light. [0477]

The display device of this embodiment has a function of displaying an image using one or both of light reflected by the first display element and light emitted from the second display element.

[0478]

As the first display element, an element which displays an image by reflecting external light can be used. Such an element does not include a light source (or does not require an artificial light source); thus, power consumed in displaying an image can be significantly reduced.

[0479]

As a typical example of the first display element, a reflective liquid crystal element can be given. As the first display element, an element using a microcapsule method, an electrophoretic method, an electrowetting method, an Electronic Liquid Powder (registered trademark) method, or the like can also be used, other than a microelectromechanical systems (MEMS) shutter element or an optical interference type MEMS element.

[0480]

As the second display element, a light-emitting element is preferably used. Since the luminance and the chromaticity of light emitted from such a display element are not affected by external light, a clear image that has high color reproducibility (wide color gamut) and a high contrast can be displayed.

[0481]

As the second display element, a self-luminous light-emitting element such as an organic light-emitting diode (OLED), a light-emitting diode (LED), or a quantum-dot light-emitting diode (QLED) can be used.

[0482]

The display device of this embodiment has a first mode in which an image is displayed using only the first display element, a second mode in which an image is displayed using only the second display element, and a third mode in which an image is displayed using both the first display element and the second display element. The display device of this embodiment can be switched between these modes automatically or manually.

[0483]

In the first mode, an image is displayed using the first display element and external light. Because a light source is unnecessary in the first mode, power consumed in this mode is extremely low. When sufficient external light enters the display device (e.g., in a bright environment), for example, an image can be displayed by using light reflected by the first display element. The first mode is effective in the case where external light is white light or light near white light and is sufficiently strong, for example. The first mode is suitable for displaying text. Furthermore, the first mode enables eye-friendly display owing to the use of reflected external light, which leads to an effect of easing eyestrain.

[0484]

In the second mode, an image is displayed using light emitted from the second display element. Thus, an extremely vivid image (with high contrast and excellent color reproducibility) can be displayed regardless of the illuminance and the chromaticity of external light. The second mode is effective in the case of extremely low illuminance, such as in a night environment or in a dark room, for example. When a bright image is displayed in a dark environment, a user may feel that the image is too bright. To prevent this, an image with reduced luminance is preferably displayed in the second mode. In that case, glare can be reduced, and power consumption can also be reduced. The second mode is suitable for displaying a vivid (still and moving) image or the like.

[0485]

In the third mode, an image is displayed using both light reflected by the first display element and light emitted from the second display element. An image displayed in the third mode can be more vivid than an image displayed in the first mode while power consumption can be lower than that in the second mode. The third mode is effective in the case where the illuminance is relatively low or in the case where the chromaticity of external light is not white, for example, in an environment under indoor illumination or in the morning or evening.

[0486]

With such a structure, a highly convenient display device with high visibility regardless of the ambient brightness can be fabricated. Specifically, a highly convenient display device with high visibility under external light and indoors can be fabricated.

[0487]

Note that the third mode can be referred to as a mode employing a hybrid display method.

[0488]

The display device and the input/output device described in this embodiment can be referred to as a hybrid display.

[0489]

Hybrid display is a method for displaying a letter and/or an image using reflected light and self-emitted light together in one panel that complement the color tone or light intensity of each other. Alternatively, hybrid display is a method for displaying a letter and/or an image using light from a plurality of display elements in one pixel or one subpixel. Note that when a hybrid display performing hybrid display is locally observed, a pixel or a subpixel performing display using any one of the plurality of display elements and a pixel or a subpixel performing display using two or more of the plurality of display elements are included in some cases.

[0490]

Note that in the present specification and the like, hybrid display satisfies any one or a plurality of the above-described descriptions.

[0491]

Furthermore, a hybrid display includes a plurality of display elements in one pixel or one subpixel. Note that as an example of the plurality of display elements, a reflective element that reflects light and a self-luminous element that emits light can be given. Note that the reflective element and the self-luminous element can be controlled independently. A hybrid display has a function of displaying a letter and/or an image using one or both of reflected light and self-emitted light in a display portion.

[0492]

The display device of this embodiment includes a plurality of first pixels including the first display elements and a plurality of second pixels including the second display elements. The first pixels and the second pixels are preferably arranged in matrices.

[0493]

Each of the first pixels and the second pixels can include one or more sub-pixels. For example, each pixel can include one sub-pixel (e.g., a white (W) sub-pixel), three sub-pixels (e.g., red (R), green (G), and blue (B) sub-pixels, or yellow (Y), cyan (C), and magenta (M) sub-pixels), or four sub-pixels (e.g., red (R), green (G), blue (B), and white (W) sub-pixels, or red (R), green (G), blue (B), and yellow (Y) sub-pixels).

[0494]

In the display device of this embodiment, the first pixels and the second pixels can be used to display a full-color image. Alternatively, the display device of this embodiment can display a black-and-white image or a grayscale image using the first pixels and can display a full-color image using the second pixels. The first pixels that can be used for displaying a black-and-white image or a grayscale image are suitable for displaying information that need not be displayed in color such as text information.

[0495]

FIG. 29 is a schematic perspective view of a display device 300A. In the display device 300A, the substrate 351 and the substrate 361 are bonded to each other. In FIG. 29, the substrate 361 is denoted by a dashed line. [0496]

The display device 300A includes a display portion 362, a circuit 364, a wiring 365, and the like. FIG. 29 illustrates an example in which the display device 300A is provided with an integrated circuit (IC) 373 and an FPC 372. Thus, the structure illustrated in FIG. 29 can be regarded as a display module including the display device 300A, the IC, and the FPC.

[0497]

As the circuit 364, for example, a scan line driver circuit can be used.

[0498]

The wiring 365 has a function of supplying a signal and power to the display portion 362 and the circuit 364. The signal and power are input to the wiring 365 from the outside through the FPC 372 or from the IC 373.

[0499]

FIG. 29 illustrates an example in which the IC 373 is provided over the substrate 351 by a chip on glass (COG) method, a chip on film (COF) method, or the like. An IC including a scan line driver circuit, a signal line driver circuit, or the like can be used as the IC 373, for example. Note that the display device 300A and the display module are not necessarily provided with an IC. The IC may be provided over the FPC by a COF method or the like.

[0500]

FIG. 29 illustrates an enlarged view of part of the display portion 362. Electrodes 311b included in a plurality of display elements are arranged in a matrix in the display portion 362. The electrode 311b has a function of reflecting visible light, and serves as a reflective electrode of the liquid crystal element 180.

[0501]

As illustrated in FIG. 29, the electrode 311b includes an opening 451. In addition, the display portion 362 includes the light-emitting element 170 that is positioned closer to the substrate 351 than the electrode 311b. Light from the light-emitting element 170 is emitted to the substrate 361 side through the opening 451 in the electrode 311b. The area of the light-emitting region of the light-emitting element 170 may be equal to the area of the opening 451. One of the area of the light-emitting region of the light-emitting element 170 and the area of the opening 451 is preferably larger than the other because a margin for misalignment can be increased. It is particularly preferable that the area of the opening 451 be larger than the area of the light-emitting region of the light-emitting element 170. When the area of the opening 451 is small, part of light from the light-emitting element 170 is blocked by the electrode 311b and cannot be extracted to the outside, in some cases. The opening 451 with a sufficiently large area can reduce waste of light emitted from the light-emitting element 170. [0502]

FIG. 30 illustrates an example of cross-sections of part of a region including the FPC 372, part of a region including the circuit 364, and part of a region including the display portion 362 of the display device 300A illustrated in FIG. 29.

[0503]

The display device 300A illustrated in FIG. 30 includes a transistor 201, a transistor 203, a transistor 205, a transistor 206, the liquid crystal element 180, the light-emitting element 170, an insulating layer 220, a coloring layer 131, a coloring layer 134, and the like, between the substrate 351 and the substrate 361. The substrate 361 and the insulating layer 220 are bonded to each other with an adhesive layer 141. The substrate 351 and the insulating layer 220 are bonded to each other with an adhesive layer 142.

[0504]

The substrate 361 is provided with the coloring layer 131, a light-blocking layer 132, an insulating layer 121, an electrode 113 functioning as a common electrode of the liquid crystal element 180, an alignment film 133b, an insulating layer 117, and the like. A polarizing plate 135 is provided on an outer surface of the substrate 361. The insulating layer 121 may have a function of a planarization layer. The insulating layer 121 enables the electrode 113 to have an almost flat surface, resulting in a uniform alignment state of a liquid crystal layer 112. The insulating layer 117 serves as a spacer for holding a cell gap of the liquid crystal element 180. In the case where the insulating layer 117 transmits visible light, the insulating layer 117 may be positioned to overlap with a display region of the liquid crystal element 180.

[0505]

The liquid crystal element 180 is a reflective liquid crystal element. The liquid crystal element 180 has a stacked-layer structure of an electrode 311a serving as a pixel electrode, the liquid crystal layer 112, and the electrode 113. The electrode 311b that reflects visible light is provided in contact with a surface of the electrode 311a on the substrate 351 side. The electrode 311b includes the opening 451. The electrode 311a and the electrode 113 transmit visible light. An alignment film 133a is provided between the liquid crystal layer 112 and the electrode 311a. The alignment film 133b is provided between the liquid crystal layer 112 and the electrode 113.

[0506]

In the liquid crystal element 180, the electrode 311b has a function of reflecting visible light, and the electrode 113 has a function of transmitting visible light. Light entering from the substrate 361 side is polarized by the polarizing plate 135, transmitted through the electrode 113 and the liquid crystal layer 112, and reflected by the electrode 311b. Then, the light is transmitted through the liquid crystal layer 112 and the electrode 113 again to reach the polarizing plate 135. In this case, alignment of a liquid crystal can be controlled with a voltage that is applied between the electrode 311b and the electrode 113, and thus optical modulation of light can be controlled. In other words, the intensity of light emitted through the polarizing plate 135 can be controlled. Light excluding light in a particular wavelength range is absorbed by the coloring layer 131 and thus, emitted light is red light, for example.

[0507]

As illustrated in FIG. 30, the electrode 311a that transmits visible light is preferably provided across the opening 451. Accordingly, liquid crystals in the liquid crystal layer 112 are aligned in a region overlapping with the opening 451 as in the other regions, in which case an alignment defect of the liquid crystals in a boundary portion of these regions is prevented and undesired light leakage can be suppressed.

[0508]

At a connection portion 207, the electrode 31 lb is electrically connected to a conductive layer 222a included in the transistor 206 via a conductive layer 221b. The transistor 206 has a function of controlling the driving of the liquid crystal element 180.

[0509]

A connection portion 252 is provided in part of a region where the adhesive layer 141 is provided. In the connection portion 252, a conductive layer obtained by processing the same conductive film as the electrode 31 la is electrically connected to part of the electrode 113 with a connector 243. Accordingly, a signal or a potential input from the FPC 372 connected to the substrate 351 side can be supplied to the electrode 113 formed on the substrate 361 side through the connection portion 252.

[0510]

As the connector 243, for example, a conductive particle can be used. As the conductive particle, a particle of an organic resin, silica, or the like coated with a metal material can be used. It is preferable to use nickel or gold as the metal material because contact resistance can be decreased. It is also preferable to use a particle coated with layers of two or more kinds of metal materials, such as a particle coated with nickel and further with gold. A material capable of elastic deformation or plastic deformation is preferably used for the connector 243. As illustrated in FIG. 30, the connector 243, which is the conductive particle, has a shape that is vertically crushed in some cases. With the crushed shape, the contact area between the connector 243 and a conductive layer electrically connected to the connector 243 can be increased, thereby reducing contact resistance and suppressing the generation of problems such as disconnection. [0511]

The connector 243 is preferably provided so as to be covered with the adhesive layer 141. For example, the connectors 243 are dispersed in the adhesive layer 141 before curing of the adhesive layer 141.

[0512]

The light-emitting element 170 is a bottom-emission light-emitting element. The light-emitting element 170 has a stacked-layer structure in which an electrode 191 serving as a pixel electrode, an EL layer 192, and an electrode 193 serving as a common electrode are stacked in this order from the insulating layer 220 side. The electrode 191 is connected to the conductive layer 222a included in the transistor 205 through an opening provided in an insulating layer 214. The transistor 205 has a function of controlling the driving of the light-emitting element 170. An insulating layer 216 covers an end portion of the electrode 191. The electrode 193 includes a material that reflects visible light, and the electrode 191 includes a material that transmits visible light. An insulating layer 194 is provided to cover the electrode 193. Light is emitted from the light-emitting element 170 to the substrate 361 side through the coloring layer 134, the insulating layer 220, the opening 451, the electrode 311a, and the like.

[0513]

The liquid crystal element 180 and the light-emitting element 170 can exhibit a variety of colors when the color of the coloring layer varies among pixels. The display device 300A can display a color image using the liquid crystal element 180. The display device 300A can display a color image using the light-emitting element 170.

[0514]

The transistor 201, the transistor 203, the transistor 205, and the transistor 206 are formed on a plane of the insulating layer 220 on the substrate 351 side. These transistors can be fabricated through the same process.

[0515]

A circuit electrically connected to the liquid crystal element 180 and a circuit electrically connected to the light-emitting element 170 are preferably formed on the same plane. In that case, the thickness of the display device can be smaller than that in the case where the two circuits are formed on different planes. Furthermore, since two transistors can be formed in the same process, a manufacturing process can be simplified as compared to the case where two transistors are formed on different planes.

[0516]

The pixel electrode of the liquid crystal element 180 is positioned on the opposite side of a gate insulating layer included in the transistor from the pixel electrode of the light-emitting element 170.

[0517]

In the case where a transistor including a metal oxide in its channel formation region and having an extremely low off-state current is used as the transistor 206 or in the case where a memory element electrically connected to the transistor 206 is used, for example, in displaying a still image using the liquid crystal element 180, even if writing operation to a pixel is stopped, the gray level can be maintained. In other words, an image can be kept displayed even with an extremely low frame rate. In one embodiment of the present invention, the frame rate can be extremely low and driving with low power consumption can be performed.

[0518]

The transistor 203 is used for controlling whether the pixel is selected or not (such a transistor is also referred to as a switching transistor or a selection transistor). The transistor 205 is used for controlling current flowing to the light-emitting element 170 (such a transistor is also referred to as a driving transistor).

[0519]

Insulating layers such as an insulating layer 211, an insulating layer 212, an insulating layer 213, and the insulating layer 214 are provided on the substrate 351 side of the insulating layer 220. Part of the insulating layer 211 functions as a gate insulating layer of each transistor. The insulating layer 212 is provided to cover the transistor 206 and the like. The insulating layer 213 is provided to cover the transistor 205 and the like. The insulating layer 214 functions as a planarization layer. Note that the number of insulating layers covering the transistor is not limited and may be one or two or more.

[0520]

A material through which impurities such as water or hydrogen do not easily diffuse is preferably used for at least one of the insulating layers that cover the transistors. This is because such an insulating layer can serve as a barrier film. Such a structure can effectively suppress diffusion of the impurities into the transistors from the outside, and a highly reliable display device can be provided.

[0521]

Each of the transistors 201, 203, 205, and 206 includes a conductive layer 221a functioning as a gate, the insulating layer 211 functioning as the gate insulating layer, the conductive layer 222a and a conductive layer 222b functioning as a source and a drain, and a semiconductor layer 231. Here, a plurality of layers obtained by processing the same conductive film are shown with the same hatching pattern.

[0522] The transistor 201 and the transistor 205 each include a conductive layer 223 functioning as a gate, in addition to the components of the transistor 203 or the transistor 206.

[0523]

The structure in which the semiconductor layer where a channel is formed is provided between two gates is used as an example of the transistors 201 and 205. Such a structure enables the control of the threshold voltages of transistors. The two gates may be connected to each other and supplied with the same signal to operate the transistors. Such transistors can have higher field-effect mobility and thus have a higher on-state current than other transistors. Consequently, a circuit capable of high-speed operation can be obtained. Furthermore, the area occupied by a circuit portion can be reduced. The use of the transistor having a high on-state current can reduce signal delay in wirings and can reduce display unevenness even in a display device in which the number of wirings is increased because of an increase in size or resolution.

[0524]

Alternatively, by supplying a potential for controlling the threshold voltage to one of the two gates and a potential for driving to the other, the threshold voltage of the transistor can be controlled.

[0525]

There is no limitation on the structure of the transistors included in the display device. The transistor included in the circuit 364 and the transistor included in the display portion 362 may have the same structure or different structures. A plurality of transistors included in the circuit 364 may have the same structure or a combination of two or more kinds of structures. Similarly, a plurality of transistors included in the display portion 362 may have the same structure or a combination of two or more kinds of structures.

[0526]

It is preferable to use a conductive material including an oxide for the conductive layer

223. A conductive film used for the conductive layer 223 is formed in an oxygen-containing atmosphere, whereby oxygen can be supplied to the insulating layer 212. The proportion of an oxygen gas in a deposition gas is preferably higher than or equal to 90 % and lower than or equal to 100 %. Oxygen supplied to the insulating layer 212 is then supplied to the semiconductor layer 231 by later heat treatment; as a result, oxygen vacancies in the semiconductor layer 231 can be reduced.

[0527]

It is particularly preferable to use a low-resistance metal oxide for the conductive layer 223. In that case, an insulating film that releases hydrogen, such as a silicon nitride film, is preferably used for the insulating layer 213, for example, because hydrogen can be supplied to the conductive layer 223 during the formation of the insulating layer 213 or by heat treatment performed after the formation of the insulating layer 213, which leads to an effective reduction in the electric resistance of the conductive layer 223.

[0528]

The coloring layer 134 is provided in contact with the insulating layer 213. The coloring layer 134 is covered with the insulating layer 214.

[0529]

A connection portion 204 is provided in a region where the substrate 351 does not overlap with the substrate 361. In the connection portion 204, the wiring 365 is electrically connected to the FPC 372 via a connection layer 242. The connection portion 204 has a structure similar to that of the connection portion 207. On the top surface of the connection portion 204, a conductive layer obtained by processing the same conductive film as the electrode 311a is exposed. Thus, the connection portion 204 and the FPC 372 can be electrically connected to each other via the connection layer 242.

[0530]

As the polarizing plate 135 provided on the outer surface of the substrate 361, a linear polarizing plate or a circularly polarizing plate can be used. An example of a circularly polarizing plate is a stack including a linear polarizing plate and a quarter-wave retardation plate. Such a structure can reduce reflection of external light. The cell gap, alignment, drive voltage, and the like of the liquid crystal element used as the liquid crystal element 180 are controlled depending on the kind of the polarizing plate so that desirable contrast is obtained.

[0531]

Note that a variety of optical members can be arranged on the outer surface of the substrate 361. Examples of the optical members include a polarizing plate, a retardation plate, a light diffusion layer (e.g., a diffusion film), an anti -reflective layer, and a light-condensing film. Furthermore, an antistatic film preventing the attachment of dust, a water repellent film suppressing the attachment of stain, a hard coat film suppressing generation of a scratch caused by the use, or the like may be arranged on the outer surface of the substrate 361.

[0532]

For each of the substrates 351 and 361, glass, quartz, ceramic, sapphire, an organic resin, or the like can be used. When the substrates 351 and 361 are formed using a flexible material, the flexibility of the display device can be increased.

[0533]

A liquid crystal element having, for example, a vertical alignment (VA) mode can be used as the liquid crystal element 180. Examples of the vertical alignment mode include a multi-domain vertical alignment (MVA) mode, a patterned vertical alignment (PVA) mode, and an advanced super view (ASV) mode.

[0534]

A liquid crystal element having a variety of modes can be used as the liquid crystal element 180. For example, a liquid crystal element using, instead of a VA mode, a twisted nematic (TN) mode, an in-plane switching (IPS) mode, a fringe field switching (FFS) mode, an axially symmetric aligned micro-cell (ASM) mode, an optically compensated birefringence (OCB) mode, a ferroelectric liquid crystal (FLC) mode, an antiferroelectric liquid crystal (AFLC) mode, a super twisted nematic (STN) mode, a transverse bend alignment (TBA) mode, an electrically controlled birefringence (ECB) mode, a guest-host mode, or the like can be used.

[0535]

The liquid crystal element is an element that controls transmission or non-transmission of light utilizing an optical modulation action of the liquid crystal. The optical modulation action of the liquid crystal is controlled by an electric field applied to the liquid crystal (including a horizontal electric field, a vertical electric field, and an oblique electric field). As the liquid crystal used for the liquid crystal element, a thermotropic liquid crystal, a low-molecular liquid crystal, a high-molecular liquid crystal, a polymer dispersed liquid crystal (PDLC), a polymer network liquid crystal (P LC), a ferroelectric liquid crystal, an anti-ferroelectric liquid crystal, or the like can be used. Such a liquid crystal material exhibits a cholesteric phase, a smectic phase, a cubic phase, a chiral nematic phase, an isotropic phase, or the like depending on conditions.

[0536]

As the liquid crystal material, a positive liquid crystal or a negative liquid crystal may be used, and an appropriate liquid crystal material can be used depending on the mode or design to be used.

[0537]

To control the alignment of the liquid crystal, the alignment films can be provided. In the case where a horizontal electric field mode is employed, a liquid crystal exhibiting a blue phase for which an alignment film is unnecessary may be used. The blue phase is one of liquid crystal phases, which is generated just before a cholesteric phase changes into an isotropic phase while the temperature of a cholesteric liquid crystal is increased. Since the blue phase appears only in a narrow temperature range, a liquid crystal composition in which a chiral material is mixed to account for several weight percent or more is used for the liquid crystal in order to improve the temperature range. The liquid crystal composition that includes a liquid crystal exhibiting a blue phase and a chiral material has a short response time and has optical isotropy. In addition, the liquid crystal composition that includes a liquid crystal exhibiting a blue phase and a chiral material does not need alignment treatment and has small viewing angle dependence. An alignment film does not need to be provided and rubbing treatment is thus not necessary; accordingly, electrostatic discharge damage caused by the rubbing treatment can be prevented and defects and damage of a liquid crystal display device in the manufacturing process can be reduced.

[0538]

In the case where the reflective liquid crystal element is used, the polarizing plate 135 is provided on the display surface side. In addition, a light diffusion plate is preferably provided on the display surface side to improve visibility.

[0539]

A front light may be provided on the outer side of the polarizing plate 135. As the front light, an edge-light front light is preferably used. A front light including an LED is preferably used to reduce power consumption.

[0540]

For the materials that can be used for the light-emitting element, the transistors, the insulating layers, the conductive layers, the adhesive layers, the connection layer, and the like, the description in Embodiment 1 can be referred to.

[0541]

<Application example>

In one embodiment of the present invention, a display device provided with a touch sensor (hereinafter also referred to as an input/output device or a touch panel) can be manufactured.

[0542]

There is no particular limitation on a sensor element included in the input/output device of one embodiment of the present invention. Note that a variety of sensors that can sense proximity or touch of a sensing target such as a finger or a stylus can be used as the sensor element.

[0543]

For example, a variety of types such as a capacitive type, a resistive type, a surface acoustic wave type, an infrared type, an optical type, and a pressure-sensitive type can be used for the sensor.

[0544]

In this embodiment, an input/output device including a capacitive sensor element will be described as an example. [0545]

Examples of the capacitive sensor element include a surface capacitive sensor element and a projected capacitive sensor element. Examples of the projected capacitive sensor element include a self-capacitive sensor element and a mutual capacitive sensor element. The use of a mutual capacitive sensor element is preferable because multiple points can be sensed simultaneously.

[0546]

The input/output device of one embodiment of the present invention can have any of a variety of structures, including a structure in which a display device and a sensor element that are separately formed are bonded to each other and a structure in which an electrode and the like included in a sensor element are provided over one or both of a pair of substrates included in the display panel.

[0547]

An input/output device having a structure in which a display device and a sensor element that are separately formed are bonded to each other will be described below. FIG. 31 and FIG. 35 each show a flowchart of a method for manufacturing the display device of one embodiment of the present invention. FIG. 32 and FIGS. 33A and 33B are cross-sectional views of the display device during manufacture. FIG. 32 corresponds to Step S6 in FIG. 31. Similarly, FIG. 33A and FIG. 33B correspond to Step S7 and Step S8, respectively. FIG. 36 and FIG. 37 are cross-sectional views of the display device during manufacture. FIG. 36 corresponds to Step S26 in FIG. 35. Similarly, FIG. 37 corresponds to Step S27.

[0548]

As shown in FIG. 31, first, the metal layer 19 is formed over the formation substrate 14 (Step SI). Next, the metal layer 19 is oxidized, so that the metal oxide layer 20 is formed (Step S2). Here, the metal layer 19 is oxidized by performing H 2 0 plasma treatment, so that the metal oxide layer 20 is formed. For the method for forming the metal oxide layer 20, Embodiment 1 can be referred to.

[0549]

Next, the first layer 24 is formed over the metal oxide layer 20 (Step S3). Then, the first layer 24 is cured to form the resin layer 23 (Step S4). Here, the resin layer 23 is formed by applying the first layer 24 and performing baking. For the method for forming the resin layer 23, Embodiment 1 can be referred to.

[0550]

Next, a transistor and the like are formed over the resin layer 23 (Step S5). Next, a light-emitting element electrically connected to the transistor is formed and sealing is performed (Step S6). Components formed over the resin layer 23 are described with reference to FIG. 32. Note that for the components that are already described, the above description can be referred to.

[0551]

As illustrated in FIG. 32, the metal oxide layer 20 is formed over the formation substrate 14, and the resin layer 23 is formed over the metal oxide layer 20. An insulating layer 115 is formed over the resin layer 23. The insulating layer 115 preferably has a high barrier property. A silicon nitride film is suitably used for the insulating layer 115. The electrode 311a, the electrode 311b, and an electrode 311c are stacked in this order over the insulating layer 115. An end portion of the electrode 311a and an end portion of the electrode 311c are positioned on the outer side than an end portion of the electrode 311b and are in contact with each other. Conductive films that transmit visible light are used for the electrodes 311a and 311c. A conductive film that reflects visible light is used for the electrode 311b. The opening 451 is provided in the electrode 311b. The opening 451 overlaps with a light-emitting region of the light-emitting element 170. An insulating layer 220a is provided over the electrode 311c, the conductive layer 224 is provided over the insulating layer 220a, and an insulating layer 220b is provided over the conductive layer 224. The conductive layer 224 functions as one electrode of a capacitor. The transistor 203, the transistor 205, and the transistor 206 are provided over the insulating layer 220b. One of a source and a drain of the transistor 206 is electrically connected to the electrode 311c in the connection portion 207. The transistor 205 includes two gates. The two gates are electrically connected to each other. One of a source and a drain of the transistor 205 is electrically connected to the electrode 191 of the light-emitting element 170 through a conductive layer 228. The transistors are covered with the insulating layers 212, 213, and 214 and insulating layers 225 and 215. One or more of these insulating layers preferably have a high barrier property. FIG. 32 illustrates an example where a material having a high barrier property is used for the insulating layer 213 and the insulating layer 225. The insulating layer 213 is provided to cover end portions of the insulating layer 220a, the insulating layer 220b, the insulating layer 212, and the like. The insulating layer 225 is provided to cover an end portion of the insulating layer 214. A covering film 226 is a film that reflects visible light. The covering film 226 has a function of reflecting part of light from the light-emitting element 170 to supply the part of the light to the opening 451 side. A lens 227 has a function of transmitting light from the light-emitting element 170. The lens 227 overlaps with the light-emitting region of the light-emitting element 170. The light-emitting element 170 includes the electrode 191, the EL layer 192, and the electrode 193. The EL layer 192 is colored separately for each subpixel. The end portion of the electrode 191 is covered with the insulating layer 216. The insulating layer 217 functions as a spacer. The light-emitting element 170 and the substrate 351 are bonded to each other with the adhesive layer 142.

[0552]

For one or both of the insulating layer 214 and the insulating layer 215, a material having a refractive index of 1.55 or approximately 1.55, a material having a refractive index of 1.66 or approximately 1.66, an acrylic resin, a polyimide resin, or the like can be used.

[0553]

For the covering film 226, a metal can be used. Specifically, the covering film 226 can be formed using a material including silver, a material including silver and palladium, a material including silver and copper, or the like.

[0554]

The refractive index of the lens 227 is preferably greater than or equal to 1.3 and less than or equal to 2.5. The lens 227 can be formed using one or both of an inorganic material and an organic material.

[0555]

Examples of a material for the lens 227 include a material including an oxide or a sulfide and a material including a resin. Specifically, cerium oxide, hafnium oxide, lanthanum oxide, magnesium oxide, niobium oxide, tantalum oxide, titanium oxide, yttrium oxide, zinc oxide, an oxide including indium and tin, an oxide including indium, gallium, and zinc, zinc sulfide, or the like can be used as the material including an oxide or a sulfide. Specifically, a resin to which chlorine, bromine, or iodine is introduced, a resin to which heavy metal atoms are introduced, a resin to which an aromatic ring is introduced, a resin to which sulfur is introduced, or the like can be used as the material including a resin. Alternatively, the lens 227 can be formed using a material including a resin and a nanoparticle of a material whose refractive index is higher than that of the resin. Titanium oxide, zirconium oxide, or the like can be used for the nanoparticle.

[0556]

Next, the transistor and the like are peeled from the formation substrate 14 and transferred to the substrate 351 side (Step S7). Here, an interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof is irradiated with laser light through the formation substrate 14. Separation occurs at the interface between the metal oxide layer 20 and the resin layer 23, so that the resin layer 23 is exposed (FIG. 33 A).

[0557]

Next, the insulating layer 115 is exposed by removing the resin layer 23 (Step S8). Note that the electrode 311a may be exposed by removing part or the whole of the insulating layer 115. When the insulating layer 115 having a high barrier property remains, entry of water into the transistor or the light-emitting element 170 can be prevented, which can increase the reliability of the display device. Here, the resin layer 23 is removed by ashing (FIG. 33B).

[0558]

Next, the liquid crystal element 180 is formed (Step S9). The alignment film 133a is formed over the insulating layer 115 (or the electrode 311a). The coloring layer 131, the insulating layer 121, an insulating layer 232, the electrode 113, the insulating layer 117, and the alignment film 133b are formed in this order on one surface of the substrate 361. FIG. 34 illustrates an example where the coloring layer 131 does not overlap with the light-emitting region of the light-emitting element 170, but the coloring layer 131 may overlap with the light-emitting region of the light-emitting element 170. The insulating layer 121 serves as an overcoat. As the insulating layer 232, an insulating film having a high barrier property is suitably used. The electrode 113 serves as a common electrode of the liquid crystal element 180. The insulating layer 117 serves as a spacer for maintaining a cell gap of the liquid crystal element 180. The insulating layer 117 transmits visible light.

[0559]

The liquid crystal element 180 is formed by bonding the substrate 351 and the substrate 361 so that the liquid crystal layer 112 is sandwiched between the alignment film 133a and the alignment film 133b. The liquid crystal element 180 includes the electrode 311a, the electrode 31 lb, the electrode 311c, the liquid crystal layer 112, and the electrode 113.

[0560]

Furthermore, a diffusion film 233 and the polarizing plate 135 are bonded to the other surface of the substrate 361. The substrate 235 one surface of which is provided with a touch sensor is bonded to the polarizing plate 135. Note that an adhesive layer is not illustrated in some portions in FIG. 34. It is preferable that anti -reflective processing be performed on the other surface of the substrate 235. For example, anti-glare treatment is preferably performed. Reflected light can be scattered by roughness of the surface so as to reduce reflection. An insulating layer 234c is provided between a conductive layer 234a and a conductive layer 234b of the touch sensor. The conductive layer 234b is covered with an insulating layer 234d.

[0561]

Through the above steps, an input/output device 310A in FIG. 34 can be formed. After that, an FPC, an IC, and the like are mounted (Step S10), and display can be confirmed (Step SI 1).

[0562]

The flowchart in FIG. 31 includes a step of removing the resin layer 23 peeled from the formation substrate 14. Meanwhile, FIG. 35 is a flowchart without this step. [0563]

As shown in FIG. 35, first, the metal layer 19 is formed over the formation substrate 14 (Step S21). Next, the metal layer 19 is oxidized, so that the metal oxide layer 20 is formed (Step S22). Here, the metal layer 19 is oxidized by performing H 2 0 plasma treatment, so that the metal oxide layer 20 is formed. For the method for forming the metal oxide layer 20, Embodiment 1 can be referred to.

[0564]

Next, the first layer 24 is formed over the metal oxide layer 20 (Step S23). Then, the first layer 24 is cured to form the resin layer 23 (Step S24). Here, the resin layer 23 is formed by applying the first layer 24 and performing baking. For the method for forming the resin layer 23, Embodiment 1 can be referred to. Note that here, the resin layer 23 including an opening is formed. For example, by providing the opening in a portion of the resin layer 23 where the conductive layer is desired to be exposed, the conductive layer can be exposed without removing the resin layer 23 after the peeling. In the case where visible-light transmittance of the resin layer 23 is low, by providing the opening in a portion of the resin layer 23 where light is extracted, a decrease in light extraction efficiency can be prevented without removing the resin layer 23 after the peeling.

[0565]

Next, a transistor and the like are formed over the metal oxide layer 20 and the resin layer 23 (Step S25). Next, a light-emitting element electrically connected to the transistor is formed and sealing is performed (Step S26). The components are described with reference to FIG. 36. Note that for the components that are already described, the above description can be referred to.

[0566]

As illustrated in FIG. 36, the metal oxide layer 20 is formed over the formation substrate

14, and the resin layer 23 is formed over the metal oxide layer 20. The resin layer 23 is provided with an opening. A portion where the resin layer 23 is not provided includes a region where the metal oxide layer 20 and the electrode 31 la are in contact with each other and a region where the metal oxide layer 20 and the insulating layer 213 are in contact with each other. The electrode 311a, the electrode 311b, and the electrode 311c are stacked in this order over the metal oxide layer 20 and the resin layer 23. An end portion of the electrode 311a and an end portion of the electrode 31 lc are positioned on the outer side than an end portion of the electrode 311b and are in contact with each other. Conductive films that transmit visible light are used for the electrodes 311a and 311c. A conductive film that reflects visible light is used for the electrode 311b. The light-emitting region of the light-emitting element 170 is provided in a portion not overlapping with the electrodes. The insulating layer 220a is provided over the electrode 311c, the conductive layer 224 is provided over the insulating layer 220a, and the insulating layer 220b is provided over the conductive layer 224. The conductive layer 224 functions as one electrode of a capacitor. The transistor 203, the transistor 205, and the transistor 206 are provided over the insulating layer 220b. One of the source and the drain of the transistor 206 is electrically connected to the electrode 311c in the connection portion 207. The transistor 205 includes two gates. The two gates are electrically connected to each other. One of the source and the drain of the transistor 205 is electrically connected to the electrode 191 of the light-emitting element 170 through the conductive layer 228. The transistors each are covered with the insulating layers 212 to 215 and 225. One or more of these insulating layers preferably have a high barrier property. FIG. 36 illustrates an example where a material having a high barrier property is used for the insulating layer 213 and the insulating layer 225. The insulating layer 213 is provided to cover end portions of the insulating layer 220a, the insulating layer 220b, the insulating layer 212, and the like. The insulating layer 225 is provided to cover an end portion of the insulating layer 214. The covering film 226 is a film that reflects visible light. The covering film 226 has a function of reflecting part of light from the light-emitting element 170 to supply the part of the light to the bottom of the drawing. The lens 227 has a function of transmitting light from the light-emitting element 170. The lens 227 overlaps with the light-emitting region of the light-emitting element 170. The light-emitting element 170 includes the electrode 191, the EL layer 192, and the electrode 193. The EL layer 192 is colored separately for each subpixel. An end portion of the electrode 191 is covered with the insulating layer 216. The insulating layer 217 functions as a spacer. The light-emitting element 170 and the substrate 351 are bonded to each other with the adhesive layer 142.

[0567]

Next, the transistor and the like are peeled from the formation substrate 14 and transferred to the substrate 351 side (Step S27). Here, an interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof is irradiated with laser light through the formation substrate 14. Separation occurs at the interface between the metal oxide layer 20 and the resin layer 23, so that the resin layer 23 is exposed (FIG. 37). In a portion where the resin layer 23 is not provided, separation occurs at the interface between the metal oxide layer 20 and the electrode 311a, so that the electrode 311a is exposed (FIG. 37). The electrode 311a is preferably formed using a material with low adhesion to the metal oxide layer 20. The contact area between the electrode 311a and the metal oxide layer 20 is preferably small because the separation at the interface easily occurs.

[0568] Next, the liquid crystal element 180 is formed (Step S28). The alignment film 133a is formed over the resin layer 23 and the electrode 311a. The coloring layer 131, the insulating layer 121, the insulating layer 232, the electrode 113, the insulating layer 117, and the alignment film 133b are formed in this order on one surface of the substrate 361. These components are the same as those in FIG. 34; thus, the description thereof is omitted.

[0569]

The liquid crystal element 180 is formed by bonding the substrate 351 and the substrate 361 so that the liquid crystal layer 112 is sandwiched between the alignment film 133a and the alignment film 133b. The liquid crystal element 180 includes the electrode 311a, the electrode 31 lb, the electrode 311c, the liquid crystal layer 112, and the electrode 113.

[0570]

Furthermore, the diffusion film 233 and the polarizing plate 135 are bonded to the other surface of the substrate 361. The substrate 235 one surface of which is provided with a touch sensor is bonded to the polarizing plate 135. These components are the same as those in FIG. 34; thus, the description thereof is omitted.

[0571]

Through the above steps, an input/output device 310B in FIG. 38 can be formed. After that, an FPC, an IC, and the like are mounted (Step S29), and display can be confirmed (Step S30).

[0572]

The display device of this embodiment includes two types of display elements as described above; thus, switching between a plurality of display modes is possible. Accordingly, the display device can have high visibility regardless of the ambient brightness, leading to high convenience.

[0573]

This embodiment can be combined with any other embodiment as appropriate.

[0574]

(Embodiment 4)

Described in this embodiment is a metal oxide applicable to a transistor disclosed in one embodiment of the present invention. In particular, details about a metal oxide and a cloud-aligned composite (CAC)-OS are described below.

[0575]

A CAC-OS or a CAC metal oxide has a conducting function in a part of the material and has an insulating function in another part of the material; as a whole, the CAC-OS or the CAC metal oxide has a function of a semiconductor. In the case where the CAC-OS or the CAC metal oxide is used in a channel formation region of a transistor, the conducting function is to allow electrons (or holes) serving as carriers to flow, and the insulating function is to not allow electrons serving as carriers to flow. By the complementary action of the conducting function and the insulating function, the CAC-OS or the CAC metal oxide can have a switching function (on/off function). In the CAC-OS or the CAC metal oxide, separation of the functions can maximize each function.

[0576]

The CAC-OS or the CAC metal oxide includes conductive regions and insulating regions. The conductive regions have the above-described conducting function, and the insulating regions have the above-described insulating function. In some cases, the conductive regions and the insulating regions in the material are separated at the nanoparticle level. In some cases, the conductive regions and the insulating regions are unevenly distributed in the material. The conductive regions are observed to be coupled in a cloud-like manner with their boundaries blurred, in some cases.

[0577]

Furthermore, in the CAC-OS or the CAC metal oxide, the conductive regions and the insulating regions each have a size of more than or equal to 0.5 nm and less than or equal to 10 nm, preferably more than or equal to 0.5 nm and less than or equal to 3 nm and are dispersed in the material, in some cases.

[0578]

The CAC-OS or the CAC metal oxide includes components having different bandgaps. For example, the CAC-OS or the CAC metal oxide includes a component having a wide gap due to the insulating region and a component having a narrow gap due to the conductive region. In the case of such a composition, carriers mainly flow in the component having a narrow gap. The component having a narrow gap complements the component having a wide gap, and carriers also flow in the component having a wide gap in conjunction with the component having a narrow gap. Therefore, in the case where the above-described CAC-OS or the CAC metal oxide is used in a channel formation region of a transistor, high current drive capability in the on state of the transistor, that is, high on-state current and high field-effect mobility, can be obtained.

[0579]

In other words, the CAC-OS or the CAC metal oxide can be called a matrix composite or a metal matrix composite.

[0580]

The CAC-OS has, for example, a composition in which elements included in a metal oxide are unevenly distributed. Materials including unevenly distributed elements each have a size of greater than or equal to 0.5 nm and less than or equal to 10 nm, preferably greater than or equal to 1 nm and less than or equal to 2 nm, or a similar size. Note that in the following description of a metal oxide, a state in which one or more metal elements are unevenly distributed and regions including the metal element(s) are mixed is referred to as a mosaic pattern or a patch-like pattern. The region has a size of greater than or equal to 0.5 nm and less than or equal to 10 nm, preferably greater than or equal to 1 nm and less than or equal to 2 nm, or a similar size.

[0581]

Note that a metal oxide preferably contains at least indium. In particular, indium and zinc are preferably contained. In addition, aluminum, gallium, yttrium, copper, vanadium, beryllium, boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, and the like may be contained.

[0582]

For example, of the CAC-OS, an In-Ga-Zn oxide with the CAC composition (such an

In-Ga-Zn oxide may be particularly referred to as CAC-IGZO) has a composition in which materials are separated into indium oxide (Ιηθχι, where XX is a real number greater than 0) or indium zinc oxide where XI, 72, and Z2 are real numbers greater than 0), and gallium oxide (GaC¾, where X3 is a real number greater than 0) or gallium zinc oxide (Ga 4 Zn 74 0z 4 , where X4, 74, and Z4 are real numbers greater than 0), and a mosaic pattern is formed. Then, Ιηθχι or Ιη 2 Ζη7 2 2 forming the mosaic pattern is evenly distributed in the film. This composition is also referred to as a cloud-like composition.

[0583]

That is, the CAC-OS is a composite metal oxide with a composition in which a region including GaO 3 as a main component and a region including In 2 Zny 2 0z 2 or Ιηθχι as a main component are mixed. Note that in this specification, for example, when the atomic ratio of In to an element M in a first region is greater than the atomic ratio of In to the element M in a second region, the first region has higher In concentration than the second region.

[0584]

Note that a compound including In, Ga, Zn, and O is also known as IGZO. Typical examples of IGZO include a crystalline compound represented by InGa0 3 (ZnO) m i (ml is a natural number) and a crystalline compound represented by In(i +x0 )Ga(i- x o)03(ZnO) m o (-1 < x0 < 1 ; mO is a given number).

[0585]

The above crystalline compounds have a single crystal structure, a poly crystalline structure, or a c-axis-aligned crystalline (CAAC) structure. Note that the CAAC structure is a crystal structure in which a plurality of IGZO nanocrystals have c-axis alignment and are connected in the a-b plane direction without alignment.

[0586]

On the other hand, the CAC-OS relates to the material composition of a metal oxide.

In a material composition of a CAC-OS including In, Ga, Zn, and O, nanoparticle regions including Ga as a main component are observed in part of the CAC-OS and nanoparticle regions including In as a main component are observed in part thereof. These nanoparticle regions are randomly dispersed to form a mosaic pattern. Therefore, the crystal structure is a secondary element for the CAC-OS.

[0587]

Note that in the CAC-OS, a stacked-layer structure including two or more films with different atomic ratios is not included. For example, a two-layer structure of a film including In as a main component and a film including Ga as a main component is not included.

[0588]

A boundary between the region including GaOjo as a main component and the region including or Ιηθχι as a main component is not clearly observed in some cases.

[0589]

In the case where one or more of aluminum, yttrium, copper, vanadium, beryllium, boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, and the like are contained instead of gallium in a CAC-OS, nanoparticle regions including the selected metal element(s) as a main component(s) are observed in part of the CAC-OS and nanoparticle regions including In as a main component are observed in part thereof, and these nanoparticle regions are randomly dispersed to form a mosaic pattern in the CAC-OS.

[0590]

The CAC-OS can be formed by a sputtering method under conditions where a substrate is not heated intentionally, for example. In the case of forming the CAC-OS by a sputtering method, one or more selected from an inert gas (typically, argon), an oxygen gas, and a nitrogen gas may be used as a deposition gas. The ratio of the flow rate of an oxygen gas to the total flow rate of the deposition gas at the time of deposition is preferably as low as possible, and for example, the flow ratio of an oxygen gas is preferably higher than or equal to 0 % and less than 30 %, further preferably higher than or equal to 0 % and less than or equal to 10 %.

[0591]

The CAC-OS is characterized in that no clear peak is observed in measurement using Θ/2Θ scan by an out-of-plane method, which is an X-ray diffraction (XRD) measurement method. That is, X-ray diffraction shows no alignment in the a-b plane direction and the c-axis direction in a measured region.

[0592]

In an electron diffraction pattern of the CAC-OS which is obtained by irradiation with an electron beam with a probe diameter of 1 nm (also referred to as a nanometer-sized electron beam), a ring-like region with high luminance and a plurality of bright spots in the ring-like region are observed. Therefore, the electron diffraction pattern indicates that the crystal structure of the CAC-OS includes a nanocrystal (nc) structure with no alignment in plan-view and cross-sectional directions.

[0593]

For example, an energy dispersive X-ray spectroscopy (EDX) mapping image confirms that an In-Ga-Zn oxide with the CAC composition has a structure in which a region including Ga(¾3 as a main component and a region including or Ιηθχι as a main component are unevenly distributed and mixed.

[0594]

The CAC-OS has a structure different from that of an IGZO compound in which metal elements are evenly distributed, and has characteristics different from those of the IGZO compound. That is, in the CAC-OS, regions including GaO ? or the like as a main component and regions including or Ιηθ ι as a main component are separated to form a mosaic pattern.

[0595]

The conductivity of a region including or Ιηθ ι as a main component is higher than that of a region including GaO ? or the like as a main component. In other words, when carriers flow through regions including or Ιηθ ι as a main component, the conductivity of an oxide semiconductor is exhibited. Accordingly, when regions including Ιη 2 Ζη 72 0ζ2 or Ιηθ ι as a main component are distributed in an oxide semiconductor like a cloud, high field-effect mobility (μ) can be achieved.

[0596]

In contrast, the insulating property of a region including GaO ? or the like as a main component is higher than that of a region including Inj2Zny20z 2 or Ιηθ ι as a main component. In other words, when regions including GaO ? or the like as a main component are distributed in an oxide semiconductor, leakage current can be suppressed and favorable switching operation can be achieved.

[0597] Accordingly, when a CAC-OS is used for a semiconductor element, the insulating property derived from GaO ? or the like and the conductivity derived from or Ιηθχι complement each other, whereby high on-state current (I on ) and high field-effect mobility (μ) can be achieved.

[0598]

A semiconductor element including a CAC-OS has high reliability. Thus, the CAC-OS is suitably used in a variety of semiconductor devices typified by a display.

[0599]

This embodiment can be combined with any other embodiment as appropriate.

[0600]

(Embodiment 5)

In this embodiment, a display module and electronic devices of embodiments of the present invention are described.

[0601]

In a display module 8000 in FIG. 39A, a display panel 8006 connected to an FPC 8005, a frame 8009, a printed circuit board 8010, and a battery 8011 are provided between an upper cover 8001 and a lower cover 8002.

[0602]

The display device fabricated using one embodiment of the present invention can be used for, for example, the display panel 8006. Thus, the display module can be manufactured with high yield.

[0603]

The shape and size of the upper cover 8001 and the lower cover 8002 can be changed as appropriate in accordance with the size of the display panel 8006.

[0604]

A touch panel may be provided so as to overlap with the display panel 8006. The touch panel can be a resistive touch panel or a capacitive touch panel and can be formed to overlap with the display panel 8006. Instead of providing the touch panel, the display panel 8006 may have a touch panel function.

[0605]

The frame 8009 protects the display panel 8006 and functions as an electromagnetic shield for blocking electromagnetic waves generated by the operation of the printed circuit board 8010. The frame 8009 can also function as a radiator plate.

[0606]

The printed circuit board 8010 includes a power supply circuit and a signal processing circuit for outputting a video signal and a clock signal. As a power source for supplying power to the power supply circuit, an external commercial power source or the battery 8011 provided separately may be used. The battery 8011 can be omitted in the case of using a commercial power source.

[0607]

The display module 8000 may be additionally provided with a member such as a polarizing plate, a retardation plate, or a prism sheet.

[0608]

FIG. 39B is a schematic cross-sectional view of the display module 8000 with an optical touch sensor.

[0609]

The display module 8000 includes a light-emitting portion 8015 and a light-receiving portion 8016 which are provided on the printed circuit board 8010. A pair of light guide portions (a light guide portion 8017a and a light guide portion 8017b) are provided in a region surrounded by the upper cover 8001 and the lower cover 8002.

[0610]

For example, a plastic or the like can be used for the upper cover 8001 and the lower cover 8002. The upper cover 8001 and the lower cover 8002 can each be thin. For example, each cover can have a thickness of greater than or equal to 0.5 mm and less than or equal to 5 mm. Therefore, the display module 8000 can be significantly lightweight. The upper cover 8001 and the lower cover 8002 can be manufactured with a small amount of material, and therefore, manufacturing costs can be reduced.

[0611]

The display panel 8006 overlaps with the printed circuit board 8010 and the battery 8011 with the frame 8009 located therebetween. The display panel 8006 and the frame 8009 are fixed to the light guide portion 8017a and the light guide portion 8017b.

[0612]

Light 8018 emitted from the light-emitting portion 8015 travels over the display panel 8006 through the light guide portion 8017a and reaches the light-receiving portion 8016 through the light guide portion 8017b. For example, blocking of the light 8018 by a sensing target such as a finger or a stylus can be detected as touch operation.

[0613]

A plurality of light-emitting portions 8015 are provided along two adjacent sides of the display panel 8006, for example. A plurality of light-receiving portions 8016 are provided so as to face the light-emitting portions 8015. Accordingly, information about the position of touch operation can be obtained.

[0614]

As the light-emitting portion 8015, a light source such as an LED element can be used. It is particularly preferable to use a light source that emits infrared light, which is not visually recognized by users and is harmless to users, as the light-emitting portion 8015.

[0615]

As the light-receiving portion 8016, a photoelectric element that receives light emitted from the light-emitting portion 8015 and converts it into an electrical signal can be used. A photodiode that can receive infrared light can be favorably used.

[0616]

For the light guide portions 8017a and 8017b, members that transmit at least the light 8018 can be used. With the use of the light guide portions 8017a and 8017b, the light-emitting portion 8015 and the light-receiving portion 8016 can be placed under the display panel 8006, and a malfunction of the touch sensor due to external light reaching the light-receiving portion 8016 can be suppressed. It is particularly preferable to use a resin which absorbs visible light and transmits infrared light. This is more effective in suppressing the malfunction of the touch sensor.

[0617]

According to one embodiment of the present invention, highly reliable electronic devices having curved surfaces can be manufactured. According to one embodiment of the present invention, flexible and highly reliable electronic devices can be manufactured.

[0618]

Examples of the electronic devices include a television set, a desktop or laptop personal computer, a monitor of a computer or the like, a digital camera, a digital video camera, a digital photo frame, a mobile phone, a portable game machine, a portable information terminal, an audio reproducing device, and a large game machine such as a pachinko machine.

[0619]

The display device of one embodiment of the present invention can achieve high visibility regardless of the intensity of external light. Thus, the display device of one embodiment of the present invention can be suitably used for a portable electronic device, a wearable electronic device (wearable device), an e-book reader, or the like.

[0620]

A portable information terminal 800 illustrated in FIGS. 40 A and 40B includes a housing 801, a housing 802, a display portion 803, a hinge portion 805, and the like.

[0621] The housing 801 and the housing 802 are joined together with the hinge portion 805. The portable information terminal 800 can be opened as illustrated in FIG. 40B from a closed state (FIG. 40A). Thus, the portable information terminal 800 has high portability when carried and excellent visibility when used because of its large display region.

[0622]

In the portable information terminal 800, the flexible display portion 803 is provided across the housing 801 and the housing 802 which are joined to each other by the hinge portion 805.

[0623]

The display device manufactured using one embodiment of the present invention can be used for the display portion 803. Thus, the portable information terminal can be manufactured with high yield.

[0624]

The display portion 803 can display at least one of a text, a still image, a moving image, and the like. When a text is displayed on the display portion, the portable information terminal 800 can be used as an e-book reader.

[0625]

When the portable information terminal 800 is opened, the display portion 803 is significantly curved. For example, the display portion 803 is held while including a curved portion with a radius of curvature of greater than or equal to 1 mm and less than or equal to 50 mm, preferably greater than or equal to 5 mm and less than or equal to 30 mm. Part of the display portion 803 can display an image while being bent since pixels are continuously arranged from the housing 801 to the housing 802.

[0626]

The display portion 803 functions as a touch panel and can be controlled with a finger, a stylus, or the like.

[0627]

The display portion 803 is preferably formed using one flexible display. Thus, a continuous image can be displayed between the housing 801 and the housing 802. Note that each of the housing 801 and the housing 802 may be provided with a display.

[0628]

The hinge portion 805 preferably includes a locking mechanism so that an angle formed between the housing 801 and the housing 802 does not become larger than a predetermined angle when the portable information terminal 800 is opened. For example, an angle at which the housing 801 and the housing 802 become locked (they are not opened any further) is preferably greater than or equal to 90° and less than 180° and can be typically 90°, 120°, 135°, 150°, 175°, or the like. In that case, the convenience, safety, and reliability of the portable information terminal 800 can be improved.

[0629]

When the hinge portion 805 includes a locking mechanism, excessive force is not applied to the display portion 803; thus, breakage of the display portion 803 can be prevented. Therefore, a highly reliable portable information terminal can be provided.

[0630]

A power button, an operation button, an external connection port, a speaker, a microphone, or the like may be provided for the housing 801 and the housing 802.

[0631]

Either of the housing 801 and the housing 802 is provided with a wireless communication module, and data can be transmitted and received through a computer network such as the Internet, a local area network (LAN), or Wi-Fi (registered trademark).

[0632]

A portable information terminal 810 illustrated in FIG. 40C includes a housing 811, a display portion 812, an operation button 813, an external connection port 814, a speaker 815, a microphone 816, a camera 817, and the like.

[0633]

The display device manufactured using one embodiment of the present invention can be used for the display portion 812. Thus, the portable information terminal can be manufactured with high yield.

[0634]

The portable information terminal 810 includes a touch sensor in the display portion 812. Operations such as making a call and inputting a character can be performed by touch on the display portion 812 with a finger, a stylus, or the like.

[0635]

With the operation button 813, the power can be turned on or off. In addition, types of images displayed on the display portion 812 can be switched; for example, switching an image from a mail creation screen to a main menu screen is performed with the operation button 813.

[0636]

When a detection device such as a gyroscope sensor or an acceleration sensor is provided inside the portable information terminal 810, the direction of display on the screen of the display portion 812 can be automatically changed by determining the orientation of the portable information terminal 810 (whether the portable information terminal 810 is placed horizontally or vertically). Furthermore, the direction of display on the screen can be changed by touch on the display portion 812, operation with the operation button 813, sound input using the microphone 816, or the like.

[0637]

The portable information terminal 810 functions as, for example, one or more of a telephone set, a notebook, and an information browsing system. Specifically, the portable information terminal 810 can be used as a smartphone. The portable information terminal 810 is capable of executing a variety of applications such as mobile phone calls, e-mailing, viewing and editing texts, music reproduction, reproducing a moving image, Internet communication, and computer games, for example.

[0638]

A camera 820 illustrated in FIG. 40D includes a housing 821, a display portion 822, operation buttons 823, a shutter button 824, and the like. Furthermore, an attachable lens 826 is attached to the camera 820.

[0639]

The display device manufactured using one embodiment of the present invention can be used for the display portion 822. Thus, the camera can be manufactured with high yield.

[0640]

Although the lens 826 of the camera 820 here is detachable from the housing 821 for replacement, the lens 826 may be incorporated into the housing 821.

[0641]

A still image or a moving image can be taken with the camera 820 at the press of the shutter button 824. In addition, images can also be taken by the touch of the display portion 822 which has a function of a touch panel.

[0642]

Note that a stroboscope, a viewfinder, or the like can be additionally attached to the camera 820. Alternatively, these may be incorporated into the housing 821.

[0643]

FIGS. 41A to 41E illustrate electronic devices. These electronic devices each include a housing 9000, a display portion 9001, a speaker 9003, an operation key 9005 (including a power switch or an operation switch), a connection terminal 9006, a sensor 9007 (a sensor having a function of measuring force, displacement, position, speed, acceleration, angular velocity, rotational frequency, distance, light, liquid, magnetism, temperature, chemical substance, sound, time, hardness, electric field, current, voltage, power, radiation, flow rate, humidity, gradient, oscillation, odor, or infrared rays), a microphone 9008, and the like. [0644]

The display device manufactured using one embodiment of the present invention can be favorably used for the display portion 9001. Thus, the electronic devices can be manufactured with high yield.

[0645]

The electronic devices illustrated in FIGS. 41A to 41E can have a variety of functions, for example, a function of displaying a variety of information (a still image, a moving image, a text image, and the like) on the display portion, a touch panel function, a function of displaying a calendar, the date, the time, and the like, a function of controlling processing with a variety of software (programs), a wireless communication function, a function of being connected to a variety of computer networks with a wireless communication function, a function of transmitting and receiving a variety of data with a wireless communication function, a function of reading a program or data stored in a storage medium and displaying the program or data on the display portion, and the like. Note that the functions of the electronic devices illustrated in FIGS. 41 A to 4 IE are not limited to the above, and the electronic devices may have other functions.

[0646]

FIG. 41A is a perspective view of a watch-type portable information terminal 9200. FIG. 41B is a perspective view of a watch-type portable information terminal 9201.

[0647]

The portable information terminal 9200 illustrated in FIG. 41A is capable of executing a variety of applications such as mobile phone calls, e-mailing, viewing and editing texts, music reproduction, Internet communication, and computer games. The display surface of the display portion 9001 is bent, and an image can be displayed on the bent display surface. The portable information terminal 9200 can employ near field communication conformable to a communication standard. In that case, for example, mutual communication between the portable information terminal 9200 and a headset capable of wireless communication can be performed, and thus hands-free calling is possible. The portable information terminal 9200 includes the connection terminal 9006, and data can be directly transmitted to and received from another information terminal via a connector. Power charging through the connection terminal 9006 is also possible. Note that the charging operation may be performed by wireless power feeding without using the connection terminal 9006.

[0648]

Unlike in the portable information terminal illustrated in FIG. 41A, the display surface of the display portion 9001 is not curved in the portable information terminal 9201 illustrated in FIG. 4 IB. Furthermore, the external state of the display portion of the portable information terminal 9201 is a non-rectangular shape (a circular shape in FIG. 4 IB).

[0649]

FIGS. 41C to 4 IE are perspective views of a foldable portable information terminal 9202. FIG. 41C is a perspective view illustrating the portable information terminal 9202 that is opened. FIG. 4 ID is a perspective view illustrating the portable information terminal 9202 that is being opened or being folded. FIG. 4 IE is a perspective view illustrating the portable information terminal 9202 that is folded.

[0650]

The folded portable information terminal 9202 is highly portable, and the opened portable information terminal 9202 is highly browsable due to a seamless large display region. The display portion 9001 of the portable information terminal 9202 is supported by three housings 9000 joined together by hinges 9055. By folding the portable information terminal 9202 at a connection portion between two housings 9000 with the hinges 9055, the portable information terminal 9202 can be reversibly changed in shape from opened to folded. For example, the portable information terminal 9202 can be bent with a radius of curvature of greater than or equal to 1 mm and less than or equal to 150 mm.

[0651]

This embodiment can be combined with any other embodiment as appropriate.

[Example 1]

[0652]

In this example, the results of peeling a resin layer from a formation substrate are described.

[0653]

A fabrication method of a sample of this example is described with reference to FIGS. 5A1 to 5D and FIGS. 6A to 6B2.

[0654]

First, the metal oxide layer 20 was formed over the formation substrate 14 (FIG. 5A1).

[0655]

As the formation substrate 14, an approximately 0.7-mm-thick glass substrate was used. As the metal oxide layer 20, a titanium oxide film was formed. Specifically, first, an approximately 5-nm-thick titanium film was formed by a sputtering method. After that, the titanium film was subjected to baking at 450 °C for one hour while supplying a mixed gas of a nitrogen gas and an oxygen gas (oxygen concentration of 20 %) with a flow rate of 580 L/min, so that the titanium oxide film was formed.

[0656] Next, the first layer 24 was formed over the metal oxide layer 20 (FIG. 5B). The first layer 24 was formed using a non-photosensitive material containing a soluble polyimide resin. The thickness of the first layer 24 at application of the material was approximately 2.0 μπι.

[0657]

Subsequently, the heat treatment was performed on the first layer 24, so that the resin layer 23 was formed (FIG. 5C). The heat treatment was performed at a temperature of 350 °C for one hour in an N 2 atmosphere.

[0658]

Then, a UV-peeling tape (corresponding to the adhesive layer 75b and the substrate 75a in FIG. 5D) was attached to the resin layer 23.

[0659]

The sample of this example was irradiated with laser light from the formation substrate 14 side (FIG. 6 A). In a top view, the entire surface of the sample was irradiated with laser light. Note that a mask for shielding light (not illustrated) was provided in the peripheral portion of the sample in the light irradiation.

[0660]

As a laser emitting laser light, an XeCl excimer laser with a wavelength of 308 nm was used. The short-axis light-condensing width of the beam was 625 μπι, and the energy density was approximately 440 mJ/cm 2 . Note that the sample was divided into four regions with different irradiation conditions of laser light. The numbers of shots for the four regions were 10, 20, 30, and 40. The repetition rate was 60 Hz. The scanning speed depends on the number of shots. The scanning speed in the region irradiated with 10 shots of the laser light was 3.75 mm/second, that in the region irradiated with 20 shots was 1.90 mm/second, that in the region irradiated with 30 shots was 1.25 mm/second, and that in the region irradiated with 40 shots was 0.93 mm/second.

[0661]

The absorptance and the transmittance of the light with a wavelength of 308 nm of the stack including the formation substrate 14 and the metal oxide layer 20 were approximately 75 % and approximately 13 %, respectively. Thus, it is considered that all of an interface between the metal oxide layer 20 and the resin layer 23, the inside of the metal oxide layer 20, and the inside of the resin layer 23 were irradiated with the laser light.

[0662]

After the laser light irradiation, the formation substrate 14 was peeled from the sample by cutting the inner side than the peripheral portion of the sample with a cutter from the substrate 75a side (FIG. 6B1). [0663]

As shown in FIG. 42, the substrate 75a was able to be peeled from the formation substrate 14 in all of the regions irradiated with 10 shots, 20 shots, 30 shots, and 40 shots of the laser light.

[0664]

FIGS. 43A to 43C show the results of observation of the sample irradiated with 10 shots of the laser light by cross-sectional scanning transmission electron microscopy (STEM).

[0665]

FIG. 43A is a cross-sectional STEM image of the sample before peeling. The thickness of the metal oxide layer 20 was approximately 14 nm. FIG. 43B is a cross-sectional

STEM image of the sample on the substrate 75a side after the peeling. The metal oxide layer

20 was not observed between the resin layer 23 and a coat layer formed for the observation.

Furthermore, titanium was not detected on the resin layer 23 side by energy dispersive X-ray spectroscopy (EDX). FIG. 43C is a cross-sectional STEM image of the sample on the formation substrate 14 side after the peeling. The thickness of the metal oxide layer 20 was approximately 11 nm. It is considered from the above results that the separation occurred at the interface between the metal oxide layer 20 and the resin layer 23.

[0666]

It was confirmed from the results in this example that the formation substrate 14 can be peeled at the interface between the metal oxide layer 20 and the resin layer 23 by the peeling method of one embodiment of the present invention.

[0667]

Furthermore, it was found that the formation substrate 14 can be peeled at the interface between the metal oxide layer 20 and the resin layer 23 under any of the conditions where the energy densities were approximately 306 mJ/cm 2 , approximately 324 mJ/cm 2 , approximately 342 mJ/cm 2 , and approximately 360 mJ/cm 2 (each shot number was 10). Thus, the processing can be performed at an energy density lower than an energy density in a laser crystallization step. Accordingly, the number of substrates which can be processed by the laser apparatus can be increased. Furthermore, the laser apparatus can be used for a long period, and the running costs of the laser apparatus can be reduced.

[0668]

The resin layer in this example has high light transmittance (especially, high transmittance of visible light) and has lower absorptance of light than a colored resin layer. Meanwhile, the absorptance of light with a wavelength of 308 nm of the stack including the formation substrate (glass substrate), the metal oxide layer (titanium oxide film), and the resin layer (polyimide film) in this example was approximately 87 %. This absorptance was higher than the absorptance (81 %) of the light with a wavelength of 308 nm in the case where the metal oxide layer (titanium oxide film) was not provided. By providing the metal oxide layer between the formation substrate and the resin layer, the absorptance of the laser light can be increased.

[0669]

FIG. 44 shows an example of laser processing conditions that can be used for the peeling method of one embodiment of the present invention. A range A can be used for the peeling method using the stack including the formation substrate (glass substrate), the metal oxide layer (titanium oxide film), and the resin layer (polyimide film) in this example. A range B can be used for a peeling method using a structure in which the resin layer (polyimide film having high transmittance of visible light) in this example was formed in contact with the formation substrate (glass substrate). It was found that the energy density and the number of shots of the laser light can be reduced by providing the metal oxide layer. Specifically, by applying one embodiment of the present invention, the energy density and the number of shots of the laser light were able to be similar to those in the case of using a colored resin layer.

[0670]

Accordingly, it was found that in one embodiment of the present invention, a special laser processing condition is not necessary and an increase in manufacturing costs can be prevented. Furthermore, since the resin layer having high transmittance of visible light can be used, high display quality can be obtained even when the resin layer is located on the display surface side of the display device. A step of removing a colored resin layer in order to enhance the display quality can be omitted. The range of choices for the material of the resin layer is widened.

[Example 2]

[0671]

In this example, the results of peeling a resin layer from a formation substrate are described.

[0672]

A display device of a comparative example was fabricated and the display state thereof was observed. First, a resin layer (polyimide film) was formed over and in contact with a formation substrate (glass substrate), and a layer to be peeled (including a transistor and a display element) was formed over the resin layer. The resin layer was irradiated with laser light through the formation substrate, so that the layer to be peeled was peeled from the formation substrate. Thus, the display device was fabricated. [0673]

It was found from the results that when the energy density of the laser light was too high, soot (a residue like powder obtained by carbonization of the resin) was likely to be generated.

[0674]

The following was found: although generation of soot can be inhibited at a low energy density, a residue of the resin layer (polyimide film) is generated over the formation substrate (glass substrate), which leads to a reduction in the yield of peeling.

[0675]

It is considered that a foreign matter such as dust was adhered to a surface of the formation substrate that was subjected to light irradiation at the time of irradiating the resin layer through the formation substrate and therefore, nonuniformity occurred in the light irradiation and the peeling did not occur at a desired position.

[0676]

In the case where the resin layer is formed over and in contact with the formation substrate as described above, the favorable range of laser light irradiation conditions is narrow; thus, it is difficult to control the light irradiation in some cases.

[0677]

In this example, stacks employing one embodiment of the present invention were fabricated, and three kinds of evaluation were performed. In the first evaluation, an influence of the energy density of the laser on the peelability of the sample was examined. In the second and third evaluation, an influence of a foreign matter such as dust on the surface of the formation substrate that is subjected to laser light irradiation on peelability of the sample was examined.

[0678]

<Evaluation 1>

A fabrication method of a sample for Evaluation 1 is described with reference to FIGS.

5A1 to 5D and FIGS. 6A to 6B2.

[0679]

First, the metal oxide layer 20 was formed over the formation substrate 14 (FIG. 5A1).

[0680]

As the formation substrate 14, an approximately 0.7-mm-thick glass substrate was used.

As the metal oxide layer 20, a titanium oxide film was formed. Specifically, first, an approximately 10-nm-thick titanium film was formed by a sputtering method. Next, a surface of the titanium film was subjected to H 2 0 plasma treatment, so that a titanium oxide film that is the metal oxide layer 20 was formed. In the H 2 0 plasma treatment, the bias power was 4500 W, the ICP power was 0 W, the pressure was 15 Pa, the process time was 600 sec, and the process gas was water vapor with a flow rate of 250 seem. The thickness of the titanium oxide film was approximately 26 nm.

[0681]

Next, the first layer 24 was formed over the metal oxide layer 20 (FIG. 5B). The first layer 24 was formed using a photosensitive material including a polyimide resin precursor. The thickness of the first layer 24 at application of the material was approximately 2.0 μπι.

[0682]

Subsequently, the heat treatment was performed on the first layer 24, so that the resin layer 23 was formed (FIG. 5C). The heat treatment was performed at a temperature of 450 °C for one hour in an N 2 atmosphere.

[0683]

Then, the substrate 75a was attached to the resin layer 23 with the adhesive layer 75b (FIG. 5D).

[0684]

The sample of this example was irradiated with laser light from the formation substrate

14 side (FIG. 6A). In a top view, the entire surface of the sample was irradiated with the laser light. Note that a mask for shielding light (not illustrated) was provided in the peripheral portion of the sample in the light irradiation.

[0685]

As a laser emitting laser light, an XeCl excimer laser with a wavelength of 308 nm was used. The short-axis light-condensing width of the beam was 625 μπι, the number of shots was 10, the repetition rate was 60 Hz, and the scanning speed was 3.75 mm/second. Note that the sample was divided into five regions with different irradiation conditions of laser light. The energy densities of the five regions were approximately 263 mJ/cm 2 , approximately 306 mJ/cm 2 , approximately 350 mJ/cm 2 , approximately 394 mJ/cm 2 , and approximately 438 mJ/cm 2 .

[0686]

After the laser light irradiation, the formation substrate 14 was peeled from the sample by cutting the inner side than the peripheral portion of the sample with a cutter from the substrate 75a side (FIG. 6B1).

[0687]

As shown in FIG. 45, the substrate 75a was able to be peeled from the formation substrate 14 in all of the regions irradiated with light whose energy densities were approximately 263 mJ/cm 2 , approximately 306 mJ/cm 2 , approximately 350 mJ/cm 2 , approximately 394 mJ/cm 2 , and approximately 438 mJ/cm 2 . Furthermore, soot was not observed even at a high energy density. [0688]

<Evaluation 2>

Next, a stack employing one embodiment of the present invention (Sample A) and a comparative stack (Comparative sample B) were fabricated, and results of peeling a resin layer from a formation substrate are evaluated.

[0689]

Fabricating methods and peeling methods of Sample A and Comparative sample B are described with reference to FIGS. 46A1 to 46D2. Sample A includes the metal oxide layer 20, whereas Comparative sample B does not include the metal oxide layer 20.

[0690]

First, the metal oxide layer 20 was formed over the formation substrate 14 in Sample A (FIG. 46A1). The metal oxide layer 20 was not formed over the formation substrate 14 in Comparative sample B (FIG. 46A2).

[0691]

As the formation substrate 14, an approximately 0.7-mm-thick glass substrate was used.

As the metal oxide layer 20, a titanium oxide film was formed. Specifically, first, an approximately 10-nm-thick titanium film was formed by a sputtering method. Next, a surface of the titanium film was subjected to H 2 0 plasma treatment, so that a titanium oxide film that is the metal oxide layer 20 was formed. In the H 2 0 plasma treatment, the bias power was 4500 W, the ICP power was 0 W, the pressure was 15 Pa, the process time was 600 sec, and the process gas was water vapor with a flow rate of 250 seem. The thickness of the titanium oxide film was approximately 26 nm.

[0692]

Next, the resin layer 23 was formed over the metal oxide layer 20 in Sample A (FIG. 46A1). The resin layer 23 was formed over the formation substrate 14 in Comparative sample B (FIG. 46A2). The resin layer 23 was formed using a photosensitive material including a polyimide resin precursor. The thickness of the resin layer 23 at application of the material was approximately 2.0 μπι. After the material was applied, baking was performed at 450 °C for one hour in an atmosphere containing nitrogen.

[0693]

Then, the substrate 75a was attached to the resin layer 23 with the adhesive layer 75b (FIGS. 46A1 and 46A2). An epoxy resin was used as the adhesive layer 75b. A PET film was used as the substrate 75a.

[0694]

Next, a plurality of light-blocking layers 15 were formed on a surface of the formation substrate 14 over which the resin layer 23 and the like were not formed (FIGS. 46B 1 and 46B2). The light-blocking layers 15 were formed by forming an approximately 300-nm-thick titanium film by a sputtering method. The light-blocking layers 15 were formed to have an island shape using a mask. The light-blocking layers 15 have five sizes: 220 μπι x 220 μπι, 520 μπι x 520 μιη, 300 μιη x 1100 μιη, 820 μιη χ 1000 μιη, and 1000 μιη χ 2000 μιη. The light-blocking layers 15 for each size were formed over the formation substrate 14.

[0695]

FIGS. 47A to 47F are microscope observation photographs of the light-blocking layers 15. A digital microscope VHX-IOO manufactured by Keyence Corporation was used for the observation.

[0696]

FIGS. 47A to 47C show patterns of the light-blocking layers 15 formed over Sample A. FIG. 47 A shows a pattern of 300 μπι x 1100 μπι, FIG. 47B shows a pattern of 820 μπι x 1000 μπι, and FIG. 47C shows a pattern of 1000 μπι x 2000 μπι.

[0697]

FIGS. 47D to 47F show patterns of the light-blocking layers 15 formed over Comparative sample B. FIG. 47D shows a pattern of 300 μπι x 1100 μπι, FIG. 47E shows a pattern of 820 μπι x 1000 μπι, and FIG. 47F shows a pattern of 1000 μπι x 2000 μπι.

[0698]

Next, Sample A and Comparative sample B were irradiated with laser light from the formation substrate 14 side (light-blocking layer 15 side) (FIGS. 46C1 and 46C2). In top views, the entire surfaces of the samples were irradiated with the laser light. Note that a mask for shielding light (not illustrated) was provided in the peripheral portion of each of the samples in the light irradiation.

[0699]

As a laser emitting laser light, an XeCl excimer laser with a wavelength of 308 nm was used. The short-axis light-condensing width of the beam was 625 μπι, the energy density was approximately 352 mJ/cm 2 , the number of shots was 10, the repetition rate was 60 Hz, and the scanning speed was 3.75 mm/second.

[0700]

Note that the absorptance of the light with a wavelength of 308 nm of the stack including the formation substrate 14 and the metal oxide layer 20 was approximately 82 %. Meanwhile, the absorptance of the light with a wavelength of 308 nm of the formation substrate 14 itself was approximately 51 %. [0701]

In each of the samples, after the laser light irradiation, the formation substrate 14 was peeled from the sample by cutting the inner side than the peripheral portion of the sample with a cutter from the substrate 75a side (FIGS. 46D1 and 46D2).

[0702]

FIGS. 48A to 48F and FIGS. 49A to 49D are microscope observation photographs of a peeling surface on the formation substrate 14 side. FIGS. 48A to 48F show observation results obtained by a digital microscope VHX-100 manufactured by Keyence Corporation. FIGS. 49 A to 49D show observation results obtained by a semiconductor/FPD inspection microscope manufactured by Olympus Corporation.

[0703]

FIGS. 48A to 48C and FIGS. 49A and 49B are observation photographs of a peeling surface of Sample A on the formation substrate 14 side. FIG. 48A corresponds to a portion where the light-blocking layer 15 with a pattern of 300 μιη x 1100 μιη was provided. Similarly, FIG. 48B corresponds to a portion where the light-blocking layer 15 with a pattern of 820 μιη x 1000 μιη was provided, FIG. 48C and FIG. 49 A correspond to a portion where the light-blocking layer 15 with a pattern of 1000 μιη x 2000 μιη was provided, and FIG. 49B corresponds to a portion where the light-blocking layer 15 with a pattern of 220 μιη x 220 μιη was provided.

[0704]

As shown in FIGS. 48 A to 48C and FIGS. 49 A and 49B, a residue of the resin layer 23 was not observed on the peeling surface of Sample A on the formation substrate 14 side. Note that in Sample A, at the time of laser light irradiation, the light-blocking layer 15 was removed or remained depending on cases. In FIGS. 48 A to 48C and FIG. 49B, patterns of the light-blocking layer 15 left were observed on the surface opposite to the peeling surface on the formation substrate 14 side.

[0705]

FIGS. 48D to 48F and FIGS. 49C and 49D are observation photographs of a peeling surface of Comparative sample B on the formation substrate 14 side. FIG. 48D corresponds to a portion where the light-blocking layer 15 with a pattern of 300 μιη x 1100 μιη was provided. Similarly, FIG. 48E corresponds to a portion where the light-blocking layer 15 with a pattern of 820 μιη x 1000 μιη was provided, FIG. 48F and FIG. 49C each correspond to a portion where the light-blocking layer 15 with a pattern of 1000 μιη x 2000 μιη was provided, and FIG. 49D corresponds to a portion where the light-blocking layer 15 with a pattern of 220 μιη x 220 μιη was provided. [0706]

As shown in FIGS. 48D to 48F and FIGS. 49C and 49D, a residue of the resin layer 23 was observed on the peeling surface of Comparative sample B on the formation substrate 14 side. The resin layer 23 was left in a position substantially overlapping with the light-blocking layer 15.

[0707]

FIGS. 50A to 50F are microscope observation photographs of a peeling surface on the substrate 75a side. A digital microscope VHX-100 manufactured by Keyence Corporation was used for the observation.

[0708]

FIGS. 50A to 50C are observation photographs of a peeling surface of Sample A on the formation substrate 75a side. FIG. 50A corresponds to a portion where the light-blocking layer 15 with a pattern of 300 μιη x 1100 μιη was provided. Similarly, FIG. 50B corresponds to a portion where the light-blocking layer 15 with a pattern of 820 μιη x 1000 μιη was provided and FIG. 50C corresponds to a portion where the light-blocking layer 15 with a pattern of 1000 μιη x 2000 μιη was provided.

[0709]

As shown in FIGS. 50A to 50C, in Sample A, the resin layer 23 remained on the entire peeling surface, and a defect was not observed in the resin layer 23.

[0710]

FIGS. 50D to 50F are observation photographs of a peeling surface of Comparative sample B on the substrate 75a side. FIG. 50D corresponds to a portion where the light-blocking layer 15 with a pattern of 300 μιη x 1100 μιη was provided. Similarly, FIG. 50E corresponds to a portion where the light-blocking layer 15 with a pattern of 820 μιη x 1000 μιη was provided and FIG. 50F corresponds to a portion where the light-blocking layer 15 with a pattern of 1000 μιη x 2000 μιη was provided.

[0711]

As shown in FIGS. 50D to 50F, in Comparative sample B, a defect of the resin layer 23 was observed in a position substantially overlapping with the portion where the light-blocking layer 15 was provided.

[0712]

Whether a residue of the resin layer 23 exists in a portion overlapping with the light-blocking layer 15 was examined by observing a peeling surface on the formation substrate 14 side. Ten light-blocking layers per size of the light-blocking layer were examined. The results of the examination are shown in Table 2. [0713]

[Table 2]

[0714]

In Comparative sample B, as for each of the five sizes, a residue of the resin layer 23 was observed in each of the ten light-blocking layers. In Comparative sample B, it is considered that nonuniformity occurred in light irradiation at the time of the light irradiation through the formation substrate 14 because the light-blocking layer 15 was attached to the light irradiation surface of the formation substrate 14, and thus the peeling was not performed in a desired position.

[0715]

A peeling surface on the formation substrate 14 side in Sample A employing one embodiment of the present invention was examined similarly. A residue of the resin layer 23 was not observed as for all of the ten light-blocking layers with the five sizes. In Sample A employing one embodiment of the present invention, generation of a portion having low peelability was able to be inhibited even when the light-blocking layer 15 was attached to the light irradiation surface of the formation substrate 14.

[0716]

<Evaluation 3>

Next, a stack employing one embodiment of the present invention (Sample C) and a comparative stack (Comparative sample D) were fabricated, and results of peeling the resin layer from the formation substrate were evaluated.

[0717]

Fabricating methods and peeling methods of Sample C and Comparative sample D are described with reference to FIGS. 46A1 to 46D2. Sample C includes the metal oxide layer 20, whereas Comparative sample D does not include the metal oxide layer 20.

[0718]

First, the metal oxide layer 20 was formed over the formation substrate 14 of Sample C (FIG. 46A1). The metal oxide layer 20 was not formed over the formation substrate 14 of Comparative sample D (FIG. 46A2). [0719]

As the formation substrate 14, an approximately 0.7-mm-thick glass substrate was used. As the metal oxide layer 20, a titanium oxide film was formed. Specifically, first, an approximately 20-nm-thick titanium film was formed by a sputtering method. Next, a surface of the titanium film was subjected to H 2 0 plasma treatment, so that a titanium oxide film that is the metal oxide layer 20 was formed. In the H 2 0 plasma treatment, the bias power was 4500 W, the ICP power was 0 W, the pressure was 15 Pa, the process time was 600 sec, and the process gas was water vapor with a flow rate of 250 seem. The thickness of the titanium oxide film was approximately 30 nm.

[0720]

Next, the resin layer 23 was formed over the metal oxide layer 20 in Sample C (FIG. 46A1). The resin layer 23 was formed over the formation substrate 14 in Comparative sample D (FIG. 46A2). The resin layer 23 was formed using a photosensitive material including a polyimide resin precursor. The thickness of the resin layer 23 at application of the material was approximately 2.0 μπι. After the material was applied, baking was performed at 450 °C for one hour in an atmosphere containing nitrogen.

[0721]

Then, the substrate 75a was attached to the resin layer 23 with the adhesive layer 75b (FIGS. 46A1 and 46A2).

[0722]

Furthermore, five regions (hereinafter referred to as light-blocking regions) that block light and have a size which can be recognized by bare eyes (a diameter of approximately 1 mm) were formed with a black oil-based marker pen on the light irradiation surface of the formation substrate 14. Next, the resin layer 23 was irradiated with laser light through the formation substrate 14. After that, the resin layer 23 was peeled from the formation substrate 14, and whether the resin layer 23 remained on the peeling surface of the formation substrate 14 was observed.

[0723]

As shown in FIG. 5 IB, in Comparative sample D, residues of the resin layer 23 were observed at five portions (portions surrounded by dotted lines) on the peeling surface of the formation substrate 14. The residues overlapped with the light-blocking regions. Meanwhile, as shown in FIG. 51 A, in Sample C, a residue of the resin layer 23 was not observed. Although a light-blocking region on the rear surface (the surface opposite to the peeling surface) of the formation substrate 14 can be slightly recognized in FIG. 51 A, the resin layer 23 did not remain on the peeling surface of the formation substrate 14. [0724]

It is found from the results of Evaluation 1 that by employing one embodiment of the present invention, generation of soot can be suppressed even at a high energy density of the laser light. It is also found from the results of Evaluation 2 and Evaluation 3 that by employing one embodiment of the present invention, generation of a residue of the resin layer can be suppressed without excessively increasing the energy density of the laser light in the case where a foreign matter is present on the light irradiation surface. As described above, the peeling method of one embodiment of the present invention has a large range of laser light irradiation conditions and peeling is easily controlled. The yield of peeling can be increased by the peeling method of one embodiment of the present invention.

[Example 3]

[0725]

Items shown in Table 3 in the peeling method of one embodiment of the present invention will be described below in detail.

[0726]

[Table 3]

Processing on base layer H 2 0 plasma treatment

Nonphotosensitive material

Material of resin layer Photosensitive material including polyimide resin precursor

including soluble polyimide resin

Ease of removing resin

layer before curing O O

Processability of resin O Δ

layer Removal by light exposure Removal by etching

Marker recognition Δ O

Influence of dust on rear

O O

surface of formation

Hardly influenced Hardly influenced substrate

Thermal conductivity of titanium oxide: 6.3 W/m-K titanium oxide: 6.3 W/m-K peeling interface polyimide: 0.18 W/m-K polyimide: 0.18 W/m-K

Generation of soot O O

Hardly generated Hardly generated

Resin layer on formation O O

substrate after peeling No No

Removal of resin layer

Performed Not performed Performed Not performed after peeling

(b) Exposing through electrode

after peeling step by forming

(a) Exposing through

Method for forming opening in resin layer in Refer to (b) electrode by removing

contact with through formation of resin layer and Refer to (a) (opening is formed resin layer by ashing

electrode forming through electrode in with resist mask) after peeling

opening (forming opening by light

exposure)

X

Finishing coloring ® ® ®

Non-colored Colored Non-colored Non-colored

Peelability ® ® ® ®

[0727]

As described in Example 1 and the like, as the processing performed on the base layer, H 2 0 plasma treatment is preferable. As the material of the resin layer, a photosensitive material including a polyimide resin precursor is favorable. Alternatively, as the material of the resin layer, a nonphotosensitive material including a soluble polyimide resin is favorable.

[0728] Here, when the material of the resin layer is applied to the formation substrate, the material might be non-uniformly applied to part of the peripheral portion of the substrate or the like. It is preferable to remove such an unnecessary portion easily before curing the resin layer. For example, the unnecessary portion can be removed with an organic solvent such as thinner. Depending on the material of the resin layer, turbidity, gelation, or solidification might occur by reaction with thinner. The material of the resin layer used in Example 1 and the like is dissolved in an organic solvent such as thinner; therefore, the unnecessary portion can be easily removed before curing the resin layer.

[0729]

The photosensitive material is preferably used because the resin layer can be easily processed. The resin layer can be processed by applying the material and then performing light exposure and development. The fabrication process can be shortened because a resist mask is not needed.

[0730]

In the case of using the nonphotosensitive material, a resist mask is formed as follows: the material is applied and cured by heating, a resist is applied to the resin layer, and then light exposure and development are performed. After that, the resin layer can be processed by dry etching.

[0731]

It is preferable that a fabrication apparatus easily read an alignment marker in a step of forming a layer to be peeled (e.g., a transistor or a display element) over the resin layer and a step of attaching a substrate to the resin layer. The photosensitive material is colored compared with a nonphotosensitive material in some cases. The resin layer having a high visible-light transmitting property is preferable because a fabrication apparatus can easily recognize the marker and the degree of freedom of the layout is increased as compared with a colored resin layer.

[0732]

When dust is present on the rear surface (the surface opposite to the surface where the resin layer is formed) of the formation substrate in a step of irradiating the entire surface of the resin layer with laser light through the formation substrate, the light irradiation is not appropriately performed, which leads to defective peeling. When the power of the laser is too strong with respect to the resin layer, the resin layer is changed in quality in some cases. For example, soot is generated. In the peeling method of one embodiment of the present invention, the base layer is formed between the formation substrate and the resin layer. Even when a foreign matter is adhered to the light irradiation surface of the formation substrate, heat is uniformly conducted to the entire base layer in some cases by heating the base layer around the foreign matter. Therefore, the power of the laser does not need to be excessively enhanced, and the resin layer can be prevented from being damaged by the laser light. Accordingly, the peeling method of one embodiment of the present invention is less likely to be influenced by dust on the rear surface of the formation substrate, and generation of soot is less likely to occur. Note that as shown in Table 2, the thermal conductivity of titanium oxide is appropriately 6.3 W/m-K, and that of polyimide is appropriately 0.18 W/m-K.

[0733]

As described in Example 1 and the like, when the peeling occurs at the interface between the metal oxide layer and the resin layer, the resin layer does not remain over the formation substrate after the peeling. The peeling occurs in the resin layer depending on the thickness of the metal oxide layer or the like. That is, the resin layer remains over the formation substrate after peeling in some cases.

[0734]

In the case of removing the resin layer after peeling, a through electrode can be exposed.

The resin layer is preferably removed by ashing. Since the resin layer is removed, the completed device is not affected by the color of the resin layer.

[0735]

In the case of not removing the resin layer after peeling, the through electrode is preferably exposed by peeling. When the resin layer is formed, an opening is formed in the resin layer to form the through electrode in the opening. In the case of using the photosensitive material, an opening can be formed in the resin layer by light-exposure technique. At this time, the opening has a tapered shape. In the case of using the nonphotosensitive material, an opening can be formed in the resin layer with a resist mask. At this time, the shape of the opening is close to a perpendicular shape. Then, the resin layer and the through electrode are exposed by peeling. Note that a material having low adhesion to the formation substrate is preferably used for the through electrode. In addition, a contact area with the through electrode and the formation substrate is preferably as small as possible. Since the resin layer is not removed, the completed device is affected by the color of the resin layer. In the case of using a colored resin, the resin layer is preferably not provided in an unnecessary portion to suppress a decrease in light extraction efficiency. The resin layer having a high visible-light transmitting property is preferably used because light extraction efficiency is less likely to decrease even when the resin layer is left.

[Example 4]

[0736] In this example, the results of peeling a resin layer from a formation substrate are described.

[0737]

A fabrication method of a sample of this example is described with reference to FIGS. 5A1 to 5D and FIGS. 6A to 6B2.

[0738]

First, the metal oxide layer 20 was formed over the formation substrate 14 (FIG. 5A1).

[0739]

As the formation substrate 14, an approximately 0.7-mm-thick glass substrate was used. As the metal oxide layer 20, a titanium oxide film was formed. Specifically, first, an approximately 20-nm-thick titanium film was formed by a sputtering method. Next, a surface of the titanium film was subjected to H 2 0 plasma treatment, so that a titanium oxide film that is the metal oxide layer 20 was formed. In the H 2 0 plasma treatment, the bias power was 4500 W, the ICP power was 0 W, the pressure was 15 Pa, the process time was 600 sec, and the process gas was water vapor with a flow rate of 250 seem.

[0740]

Next, the first layer 24 was formed over the metal oxide layer 20 (FIG. 5B). The first layer 24 was formed using a material containing a photosensitive acrylic resin. The thickness of the first layer 24 at application of the material was approximately 2 μπι.

[0741]

Subsequently, the heat treatment was performed on the first layer 24, so that the resin layer 23 was formed (FIG. 5C). The heat treatment was performed at a temperature of 300 °C for one hour in an N 2 atmosphere.

[0742]

FIG. 52 shows light transmittance of an acrylic resin. The sample was fabricated in the following manner: a material containing a photosensitive acrylic resin was applied on a glass substrate to have a thickness of approximately 2 μπι; and baking was performed for one hour in an N 2 atmosphere. The baking temperatures were 250 °C, 300 °C, and 350 °C. As shown in FIG. 52, the acrylic resin has high visible-light transmitting property. Since the acrylic resin formed by baking at 300 °C, which was used in this example, has high visible-light transmitting property, the acrylic resin was less likely to adversely affects the visible-light transmitting property even when remaining after peeling.

[0743]

Then, the substrate 75a (film) was attached to the resin layer 23 with the adhesive layer 75b (FIG. 5D). [0744]

The sample of this example was irradiated with laser light from the formation substrate 14 side (FIG. 6A). In a top view, the entire surface of the sample was irradiated with the laser light. Note that a mask for shielding light (not illustrated) was provided in the peripheral portion of the sample in the light irradiation.

[0745]

As a laser emitting laser light, an XeCl excimer laser with a wavelength of 308 nm was used. The short-axis light-condensing width of the beam was 625 μπι, the number of shots was 10, the repetition rate was 60 Hz, and the scanning speed was 3.75 mm/second. The energy densities were approximately 352 mJ/cm 2 and approximately 396 mJ/cm 2 .

[0746]

The absorptance of light with a wavelength of 308 nm in the stack including the formation substrate 14, the metal oxide layer 20, and the resin layer 23, which was fabricated in this example, was approximately 92 %. Thus, it is considered that all of an interface between the metal oxide layer 20 and the resin layer 23, the inside of the metal oxide layer 20, and the inside of the resin layer 23 were irradiated with the laser light.

[0747]

The absorptance of light with a wavelength of 308 nm in other components is described. The absorptance of light with a wavelength of 308 nm of the glass substrate used as the formation substrate 14 was approximately 51 %. The absorptance of light with a wavelength of 308 nm in the stack including the formation substrate 14 and the metal oxide layer 20 (titanium oxide film) was approximately 76 % in the case where the metal oxide layer 20 had a thickness of approximately 10 nm, and was approximately 85 % in the case where the metal oxide layer 20 had a thickness of approximately 30 nm. It is found that as the metal oxide layer 20 has a larger thickness, the peelability is increased. This is presumably because the metal oxide layer 20 has high laser light absorptance.

[0748]

After the laser light irradiation, the formation substrate 14 was peeled from the sample by cutting the inner side than the peripheral portion of the sample with a cutter from the substrate 75a side (FIG. 6B1).

[0749]

In this example, the substrate 75a was able to be peeled from the formation substrate 14 under either of the conditions where the energy densities of the laser light were approximately 352 mJ/cm 2 and approximately 396 mJ/cm 2 .

[0750] FIG. 53 is a photograph of the sample fabricated with the laser light having an energy density of approximately 352 mJ/cm 2 .

[0751]

FIGS. 54A and 54B show results of the sample fabricated with the laser light having an energy density of approximately 352 mJ/cm 2 which was observed by a cross-sectional scanning transmission electron microscopy (STEM).

[0752]

FIG. 54A is a cross-sectional STEM image of the sample on the substrate 75a side after the peeling. The metal oxide layer 20 was not observed between the resin layer 23 and a coat layer 30a formed for the observation. In FIG. 54A, an interface between the resin layer 23 and the coat layer 30a and the vicinity thereof are surrounded by a dashed line. Furthermore, it is considered that titanium was not left because only a noise-level peak was detected on the resin layer 23 side by energy dispersive X-ray spectroscopy (EDX). FIG. 54B is a cross-sectional STEM image of the sample on the formation substrate 14 side after the peeling. The resin layer 23 was not observed between the metal oxide layer 20 and a coat layer 30b formed for the observation. It is considered from the above results that the peeling occurred at the interface between the metal oxide layer 20 and the resin layer 23.

[0753]

It was confirmed from the results in this example that the formation substrate 14 can be peeled at the interface between the metal oxide layer 20 and the resin layer 23 by the peeling method of one embodiment of the present invention.

[0754]

Furthermore, it was found that the formation substrate 14 can be peeled at the interface between the metal oxide layer 20 and the resin layer 23 under either of the conditions where the energy densities are approximately 396 mJ/cm 2 and approximately 352 mJ/cm 2 (each shot number was 10). Thus, it was found that the processing can be performed at an energy density lower than or equal to an energy density in a laser crystallization step. It was also found that the processing can be performed with the number of shots which is the same as or smaller than that used in the laser crystallization step.

[0755]

The resin layer in this example has high light transmittance (especially, high transmittance of visible light) and has lower absorptance of light than a colored resin layer. Meanwhile, the absorptance of light with a wavelength 308 nm of the stack including the formation substrate (glass substrate), the metal oxide layer (titanium oxide film), and the resin layer (acrylic film) in this example was approximately 92 %. This absorptance was higher than the absorptance (77 %) of the light with a wavelength 308 nm in the case where the metal oxide layer (titanium oxide film) was not provided. By providing the metal oxide layer between the formation substrate and the resin layer, the absorptance of the laser light can be increased.

[0756]

Note that as described with reference to FIGS. 7A and 7B in Embodiment 1, when the foreign matter 18 such as dust is present on the light irradiation surface of the formation substrate 14, nonuniformity occurs in light irradiation. In the structure in this example, an influence of a foreign matter such as dust on the surface of the formation substrate that is subjected to laser light irradiation on peelability of the sample was examined.

[0757]

Ten regions that block light and have a size which can be recognized by bare eyes (a diameter of approximately 1 mm) were formed with a black marker pen on the light irradiation surface of the formation substrate 14. The other components were fabricated in a manner similar to the above manner. The resin layer 23 was irradiated with laser light through the formation substrate 14 and the metal oxide layer 20. The laser light irradiation condition was the same as the above, and the energy density was approximately 352 mJ/cm 2 . After that, the resin layer 23 was peeled from the formation substrate 14, and whether the resin layer 23 remained on the peeling surface of the formation substrate 14 was observed. As a result, a residue of the resin layer 23 was not observed in the sample having the structure in this example.

[0758]

It is found from the results that by employing one embodiment of the present invention, generation of a residue of the resin layer 23 can be suppressed without excessively increasing the energy density of the laser light in the case where a foreign matter is present on the light irradiation surface.

[0759]

When a foreign matter is adhered to the light irradiation surface of the formation substrate 14, heat is uniformly conducted to the entire base layer in some cases by heating the base layer around the foreign matter. Thus, heat is conducted to a portion shielded by the foreign matter of the base layer, and generation of a portion with low peelability can be suppressed. Therefore, as the base layer between the formation substrate 14 and the resin layer 23, a layer having higher thermal conductivity than the formation substrate 14 is preferably used.

[0760]

It is found from the results in this example that generation of a residue is suppressed and the yield of peeling is increased by the peeling method of one embodiment of the present invention. [0761]

Accordingly, it was found that in one embodiment of the present invention, a special laser processing condition and a special resin material are not necessary and an increase in manufacturing costs can be prevented. Furthermore, since the resin layer having high transmittance of visible light can be used, high display quality can be obtained even when the resin layer is located on the display surface side of the display device. A step of removing a colored resin layer in order to enhance the display quality can be omitted. The range of choices for the material of the resin layer is widened.

[Example 5]

[0762]

In this example, results of a transistor and a flexible OLED display that were fabricated by one embodiment of the present invention are described.

[0763]

fabrication results of transistor>

A fabrication method of a sample of this example is described with reference to FIGS.

5A1 to 5D and FIGS. 6A to 6B2.

[0764]

First, the metal layer 19 and the metal oxide layer 20 were formed over the formation substrate 14 (FIG. 5A2).

[0765]

As the formation substrate 14, an approximately 0.7-mm-thick glass substrate was used. The methods for forming the metal layer 19 and the metal oxide layer 20 are described. First, an approximately 35-nm-thick titanium film was formed over the formation substrate 14 by a sputtering method. Next, a surface of the titanium film was subjected to H 2 0 plasma treatment to oxidize part of the titanium film, so that a titanium oxide film was formed. Thus, a stack including the titanium film corresponding to the metal layer 19 and the titanium oxide film corresponding to the metal oxide layer 20 was able to be formed. In the H 2 0 plasma treatment, the bias power was 4500 W, the ICP power was 0 W, the pressure was 15 Pa, the process time was 600 sec, and the process gas was water vapor with a flow rate of 250 seem.

[0766]

Next, the resin layer 23 was formed over the metal oxide layer 20 (FIG. 5C). The resin layer 23 was formed using a photosensitive material including a polyimide resin precursor. The material was applied in twice to have a total thickness of approximately 4.0 μπι. The heat treatment was performed for each application (twice in total). As each heat treatment, baking was performed for one hour at 450 °C in an N 2 atmosphere. [0767]

Next, a channel-etched bottom-gate transistor (corresponding to the layer 25 to be peeled in FIG. 5D) was formed over the resin layer 23. A C AC-OS was used for a semiconductor layer. The channel length was 4 μιη and the channel width was 3 μιη.

[0768]

Then, the substrate 75a was attached to the layer 25 to be peeled with the adhesive layer 75b (FIG. 5D).

[0769]

The sample of this example was irradiated with laser light from the formation substrate 14 side (FIG. 6A). The layer 25 to be peeled was peeled from the formation substrate 14. Water is fed to the peeling interface in the peeling.

[0770]

As a laser emitting laser light, an XeCl excimer laser with a wavelength of 308 nm was used. The short-axis light-condensing width of the beam was 625 μπι, the number of shots was 10, the repetition rate was 60 Hz, the scanning speed was 3.75 mm/second, and the energy density was approximately 440 mJ/cm 2 .

[0771]

FIG. 55 shows U-V g characteristics and field effect mobility μκε of transistors before and after peeling caused by laser light irradiation. FIG. 55 shows results at V = 0.1 V and results at V = 20 V. In FIG. 55, U-V g characteristics before peeling are shown by thick solid lines, h-V g characteristics after the peeling are shown by thin solid lines, μκε before the peeling was shown by thick dotted lines, and μρε after the peeling was shown by thin dotted lines. In FIG. 55, the bold solid lines and the thin solid lines substantially overlap with each other, and the bold dotted lines and the thin dotted lines substantially overlap with each other. As shown in FIG. 55, the characteristics do not significantly change before and after the peeling, and the transistors even with a channel length of 4 μπι have normally-off characteristics.

[0772]

fabrication results of flexible OLED display>

A flexible OLED display fabricated in this example is an active matrix organic EL display that has a display region with a size of 8.67 inches diagonally, 1080 x 1920 effective pixels, a resolution of 254 ppi, and an aperture ratio of 46.0 %. The flexible OLED display includes a scan driver and is externally provided with a source driver by COF.

[0773]

A channel-etched transistor including a CAC-OS was used as a transistor. [0774]

As the light-emitting element, a tandem (stacked-layer) organic EL element emitting white light was used. The light-emitting element has a top-emission structure. Light from the light-emitting element is extracted to the outside of the display through a color filter.

[0775]

In the fabrication method of a flexible OLED display of this example, a formation substrate over which the transistor and the like were formed and a formation substrate over which the color filter and the like were formed were bonded to each other and peeling treatment was twice, so that the transistor, the color filter, and the like were transferred to a film substrate. In this example, one embodiment of the present invention was applied to the peeling process of the formation substrate over which the transistor and the like were formed. Specifically, the transistor, the light-emitting element, and the like were formed over the formation substrate with a metal oxide layer and a resin layer provided therebetween. The structures of the metal oxide layer and the resin layer are the same as those in manufacture of the above transistor. Meanwhile, in the peeling process of the formation substrate over which the color filter and the like were formed, an inorganic peeling layer (tungsten oxide film) was used.

[0776]

FIG. 56 shows display results of the flexible OLED display fabricated in this example. As shown in FIG. 56, display defects due to peeling caused by laser irradiation were not observed, and normal light emission was confirmed.

REFERENCE NUMERALS

[0777]

10A: display device, 10B: display device, IOC: display device, 13 : adhesive layer, 14: formation substrate, 15: light-blocking layer, 16: region, 17: region, 18: foreign matter, 19: metal layer, 20: metal oxide layer, 21 : liquid feeding mechanism, 22: substrate, 23 : resin layer, 23a: resin layer, 23b: resin layer, 24: first layer, 25: layer, 26: linear beam, 27: processing region, 28: adhesive layer, 29: substrate, 31 : insulating layer, 31a: insulating layer, 31b: insulating layer, 32: insulating layer, 33 : insulating layer, 34: insulating layer, 35: insulating layer, 40: transistor, 41 : conductive layer, 43a: conductive layer, 43b: conductive layer, 43c: conductive layer, 44: metal oxide layer, 45: conductive layer, 49: transistor, 55: laser light, 56: stack, 56a: member to be peeled, 56b: support, 57a: first layer, 57b: second layer, 58: formation substrate, 59: stack, 60: light-emitting element, 61 : conductive layer, 62: EL layer, 63 : conductive layer, 64: cut, 65: instrument, 74: insulating layer, 75: protective layer, 75a: substrate, 75b: adhesive layer, 76: connector, 80: transistor, 81 : conductive layer, 82: insulating layer, 83 : metal oxide layer, 84: insulating layer, 85: conductive layer, 86a: conductive layer, 86b: conductive layer, 86c: conductive layer, 91 : formation substrate, 92: metal oxide layer, 93 : resin layer, 95: insulating layer, 96: partition, 97: coloring layer, 98: light-blocking layer, 99: adhesive layer, 112: liquid crystal layer, 113 : electrode, 115: insulating layer, 117: insulating layer, 121 : insulating layer, 131 : coloring layer, 132: light-blocking layer, 133a: alignment film, 133b: alignment film, 134: coloring layer, 135: polarizing plate, 140: transistor, 141 : adhesive layer, 142: adhesive layer, 162a: channel region, 162b: low-resistance region, 163 : insulating layer, 164: conductive layer, 165: insulating layer, 166: insulating layer, 167a: conductive layer, 167b: conductive layer, 170: light-emitting element, 180: liquid crystal element, 191 : electrode, 192: EL layer, 193 : electrode, 194: insulating layer, 201 : transistor, 203 : transistor, 204: connection portion, 205: transistor, 206: transistor, 207: connection portion, 211 : insulating layer, 212: insulating layer, 213 : insulating layer, 214: insulating layer, 215: insulating layer, 216: insulating layer, 217: insulating layer, 220: insulating layer, 220a: insulating layer, 220b: insulating layer, 221a: conductive layer, 221b: conductive layer, 222a: conductive layer, 222b: conductive layer, 223 : conductive layer, 224: conductive layer, 225: insulating layer, 226: covering film, 227: lens, 228: conductive layer, 231 : semiconductor layer, 232: insulating layer, 233 : diffusion film, 234a: conductive layer, 234b: conductive layer, 234c: insulating layer, 234d: insulating layer, 235: substrate, 242: connection layer, 243 : connector, 252: connection portion, 300A: display device, 310A: input/output device, 310B: input/output device, 311a: electrode, 311b: electrode, 311c: electrode, 351 : substrate, 361 : substrate, 362: display portion, 364: circuit, 365: wiring, 372: FPC, 373 : IC, 381 : display portion, 382: driver circuit portion, 451 : opening, 600: tape, 601 : support, 602: tape reel, 604: direction changing roller, 606: press roller, 606a: hollow cylinder, 606b: circular cylinder, 607: direction changing roller, 609: carrier plate, 610: laser irradiation unit, 610a: laser light, 610b: laser light, 610c: laser light, 610d: laser light, 610e: linear beam, 613 : reel, 614: drying mechanism, 617: roller, 620: ionizer, 630: substrate reversing unit, 631 : guide roller, 632: guide roller, 633 : guide roller, 634: guide roller, 635: optical system, 639: ionizer, 640: processing region, 641 : substrate load cassette, 642: substrate unload cassette, 643 : transfer roller, 644: transfer roller, 645: transfer roller, 646: transfer roller, 650: mirror, 659: liquid feeding mechanism, 660: excimer laser apparatus, 665: guide roller, 666: guide roller, 670: separation tape, 671 : support, 672: tape reel, 673 : reel, 674: guide roller, 675: press roller, 676: direction changing roller, 677: guide roller, 678: guide roller, 679: guide roller, 680: lens, 683 : reel, 800: portable information terminal, 801 : housing, 802: housing, 803 : display portion, 805: hinge portion, 810: portable information terminal, 811 : housing, 812: display portion, 813 : operation button, 814: external connection port, 815: speaker, 816: microphone, 817: camera, 820: camera, 821 : housing, 822: display portion, 823: operation button, 824: shutter button, 826: lens, 8000: display module, 8001 : upper cover, 8002: lower cover, 8005: FPC, 8006: display panel, 8009: frame, 8010: printed board, 801 1 : battery, 8015: light-emitting portion, 8016: light-receiving portion, 8017a: light guide portion, 8017b: light guide portion, 8018: light, 9000: housing, 9001 : display portion, 9003 : speaker, 9005: operation key, 9006: connection terminal, 9007: sensor, 9008: microphone, 9055: hinge, 9200: portable information terminal, 9201 : portable information terminal, and 9202: portable information terminal.

This application is based on Japanese Patent Application Serial No. 2016-170379 filed with Japan Patent Office on August 31, 2016, Japanese Patent Application Serial No. 2016-173346 filed with Japan Patent Office on September 6, 2016, Japanese Patent Application Serial No. 2016-198948 filed with Japan Patent Office on October 7, 2016, and Japanese Patent Application Serial No. 2016-233445 filed with Japan Patent Office on November 30, 2016, the entire contents of which are hereby incorporated by reference.