Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
MICRODISCHARGE LIGHT SOURCE CONFIGURATION AND ILLUMINATION SYSTEM
Document Type and Number:
WIPO Patent Application WO/2007/002170
Kind Code:
A3
Abstract:
A novel plasma source configuration system based on an arrangement of microdischarges is presented with particular emphasis on the generation of radiation for next-generation lithographic integrated circuit manufacturing, microscopy and medical/biological imaging. The present invention makes substantive improvements to the current state-of-the-art by specifically addressing known deficiencies, problems limiting high-volume manufacturing and cost-of-ownership considerations. In particular, the present invention enables a series of innovative illumination configurations that can improve lithographic tool design and performance.

Inventors:
JURCZYK BRIAN E (US)
STUBBERS ROBERT (US)
Application Number:
PCT/US2006/024104
Publication Date:
April 23, 2009
Filing Date:
June 21, 2006
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
STARFIRE INDUSTRIES LLC (US)
JURCZYK BRIAN E (US)
STUBBERS ROBERT (US)
International Classes:
G21G4/00; A61N5/06; G01J1/00; G01J3/10; H01J3/26
Foreign References:
US6016027A2000-01-18
US6414438B12002-07-02
US20050140945A12005-06-30
US6665051B22003-12-16
US6804327B22004-10-12
US6038279A2000-03-14
US20040256575A12004-12-23
US6194833B12001-02-27
US6667484B22003-12-23
US20040108473A12004-06-10
Attorney, Agent or Firm:
PIPPENGER, Phillip, M. et al. (Voit & Mayer Ltd.,Two Prudential Plaza, Suite 4900,180 N. Stetson Ave, Chicago Illinois, US)
Download PDF:
Claims:

CLAIM(S):

1. A microdischarge plasma source comprising: two or more electrodes configured to create a volume for the formation of a plasma, each electrode comprising an electrode material having an electrode surface, the volume having a characteristic dimension; an insulating medium positioned between said two or more electrodes; one or more apertures for plasma conduction, each such aperture having a shape; a gas injection and pressure control system for introducing a working gas at a predetermined pressure and flow rate; a voltage source to provide charging to one or more of the electrodes; and an energy storage mechanism for providing electrical energy to said voltage source;

wherein when the working gas or vapor is introduced by the gas injection and pressure control system and a voltage is applied to said one or more electrodes by the voltage source sufficient to induce electrical breakdown of the gas, a plasma is formed in said volume and electrically conducted through said one or more apertures generating a plasma pulse.

2. The microdischarge plasma source of claim 1, wherein the two or more electrodes are arranged in one or more configurations selected from the group consisting of a capillary discharge configuration, a hollow-cathode configuration, a conventional z-pinch configuration, and a pseudospark configuration.

3. The microdischarge plasma source of claim 1 , wherein the gas injection and pressure control system is operable to release gas or metal vapor through or across one or more of the electrode surfaces.

4. The microdischarge plasma source of claim 1, wherein the characteristic dimension of the volume is in the range of about 0.2 to 20,000 micrometers.

5. The microdischarge plasma source of claim 1 wherein the electrode material has a low sputtering coefficient.

6. The microdischarge plasma source of claim 1, wherein the electrode material is selected from the group consisting of W, Mo, Ti 3 SS, Al, Sn and Li.

7. The microdischarge plasma source of claim 1, wherein the insulating material has a good dielectric strength, voltage standoff and material compatibility, and is selected from the group consisting of oxides, carbides, nitrides, alumina, sapphire, diamond-like carbon.

8. The microdischarge plasma source of claim 1 , wherein the insulating material is stepped or shadow-shielded to limit surface flashover and leakage current.

9. The microdischarge plasma source of claim 1, wherein the aperture shape has a characteristic dimension is in the range of about 0.1 to 10,000 micrometers and is selected from the group consisting of a cylindrical borehole, rectangular slot, and an accurate segment.

10. The microdischarge plasma source of claim 1 , wherein the energy storage mechanism is a capacitor directly mounted to each microdischarge element.

11. The microdischarge plasma source of claim 1 , wherein the resultant plasma is pulsed over a period of from about 0.5 nanoseconds to about 1,000 nanoseconds.

12. The microdischarge plasma source of claim 11, wherein during the pulse the peak current is from about 0.1A to about 5.000A, the peak voltage is from about 100V to about 5,000V, and gas pressure is from about O.lTorr to about l,000Torr.

13. The microdischarge plasma source of claim 11 , wherein the plasma discharge is triggered by a trigger selected from the group consisting of self breakdown and external triggering.

14. The microdischarge plasma source of claim 11 , wherein the voltage source is inhibited from providing charge to the microdischarge both during the plasma pulse and for a period of time after the pulse.

15. The microdischarge plasma source of claim 14, wherein the period of time is defined by a recharge time of the energy storage capacitor and is user-settable.

16. The microdischarge plasma source of claim 14, wherein the pulse repetition rate is from about OkHz to about 2OkHz.

17. The microdischarge plasma source of claim 11 , wherein the electrode dimensions are configured to enhance ion-electron recombination after the current pulse to reset the microdischarge switch action.

18. The microdischarge plasma source of claim 11 , wherein the gas comprises a gas admixture to alter the discharge characteristics.

19. The microdischarge plasma source according to claim 1, wherein the shaped aperture channels the plasma during the current pulse to define an emission volume.

20. The microdischarge plasma source according to claim 19, wherein the one or more apertures are configured to allow MHD compression and heating in the plasma.

21. The microdischarge plasma source according to claim 19, wherein the discharge characteristics are predetermined at least in part by the characteristic electrode dimension, characteristic aperture dimension, voltage, capacitance, and gas pressure, such that the plasma is resistively heated to achieve the desired temperature for emission. .

22. The microdischarge plasma source of claim 21 , wherein the resistively heated plasma exhibits MHD stability and decreased high-energy (fast) ion generation.

23. The microdischarge plasma source according to claim 1, wherein the size of the plasma emission volume is configured such that during discharge it exhibits a substantially constant radiation output per unit volume to yield high-brightness.

24. The microdischarge plasma source according to claim I 3 wherein light having a wavelength is generated by the plasma during the current pulse and wherein the wavelength of the light is determined at least in part by radiating atoms or compounds.

25. The microdischarge plasma source according to claim 24, wherein the radiating atoms or compounds comprise one or more gases selected from the group consisting of Xe, Sn, Li, O, O 2 , N 2 , Ne, Ar, Kr, Ar 2 , Kr 2 , Xe 2 , ArF, KrF, XeF.

26. The microdischarge plasma source according to claim 25, wherein light energy generated by the plasma is reflected back into the plasma to improve efficiency.

27. The microdischarge plasma source according to claim 25, further comprising an optical collection system for directing the generated light.

28. The microdischarge plasma source according to claim 27, wherein the optical collection system comprises at least one element selected from the group consisting of a grazing incidence reflector and a multi-layer reflector.

29. The microdischarge plasma source according to claim 28, wherein the light collection element consists of one or more refractory lenses or mirrors for collecting DUVAVUV light.

30. The microdischarge plasma source according to claim I 3 wherein a plurality of microdischarges are configured in a multidimensional array.

31. The microdischarge plasma source according to claim 30, wherein the multidimensional array is of a form selected from the group consisting of a planar grid, a close hexagonal pattern, a spherical surface with a focal point, and an elliptical surface with a focal point.

32. The microdischarge plasma source of claim 45, further comprising cooling channels within the array to dissipate waste heat and provide power scaling.

33. The microdischarge plasma source of claim 45 , wherein each microdischarge source is addressable by an external circuit for spatial and temporal control.

34. A method of illumination comprising: in a microdischarge array having a plurality of light-emitting plasma volumes, each for generating one or more desired wavelengths, and being spatially arranged and temporally controlled to produce a desired illumination pattern, applying a predetermined gas pressure to the microdischarge sources in the array; applying a predetermined voltage to the microdischarge sources in the array; and applying external triggering to the microdischarge sources in the array to induce electrical breakdown and cause plasma formation and light generation.

35. The method of claim 34, further comprising providing one or more light collection elements integrated with the array to capture light from each light-emitting plasma volume.

36. The method of claim 35, wherein each light collection element is selected from the group consisting of a grazing incidence reflective mirror, a multi-layer reflective mirror, a refractive optic lens and a refractive optic mirror.

37. The method of claim 36, wherein the sources are high-brightness and are linearly multiplexed to fill the etendue limitation of a projection optics system.

38. The method of claim 36, further comprising simulating a higher repetition rate by modulating each microdischarge light source in the array for spatial and temporal averaging to maintain dose control.

39. The method of claim 36, wherein the microdischarge sources are spatially stable leading to reduced shot noise for improved lithographic performance and line edge roughness.

40. The method of claim 36, further comprising placing the microdischarge light source array at a secondary image plane of a fiy's-eye illumination system to minimize the number of upstream optical elements, thus reducing input light power requirements.

41. The method of claim 36, further comprising configuring the microdischarge light source array and integrated optical elements to simulate Kohler or critical illumination.

42. The method of claim 36, further comprising configuring the microdischarge light source array and integrated optical elements to spatially average emitted light for improved uniformity.

43. The method of claim 36, further comprising simulating an aperture wheel by selectively pulsing chosen sources in a spatial pattern, further reducing light power requirements.

44. The method of claim 43, wherein the spatial pattern is selected to simulate an illumination pattern selected from the group of patterns consisting of normal, annular, oblique, and quadrapole.

45. The method of claim 43, further comprising temporally adjusting the spatial pattern to produce a grey scaled illumination profile for optimization of the contrast, depth of focus, and partial coherence of the light.

46. The method of claim 43, further comprising adjusting the illumination profile while scanning across a mask in a lithographic operation.

47. The method of claim 43, further comprising modulating individual microdischarge light sources to simulate patterns for masldess lithography.

48. The method of claim 36, wherein the microdischarge array is scalable in area and can be expanded to dimensions even greater than Im 2 .

49. A light source system comprising: at least one microdischarge array; a plurality of light collection elements; a pressure barrier for separating the plasma from the external environment; a charging circuit for providing power to energy storage capacitors in the microdischarge array; a control system for managing microdischarge array operation and addressing; a gas pressure management system to maintain the local microdischarge environment; a thermal management system for transporting excess heat from one or more elements of the light source system; and a support for mounting and aligning the light source with an illumination optics system.

50. The light source system of claim 49, wherein the pressure barrier comprises a thin foil mounted on a support to provide a vacuum boundary.

51. The light source system of claim 49, wherein the gas pressure management system regulates the flow, admixture and background pressure of the gas used in the light source system.

52. The light source system of claim 49, wherein the energy storage capacitors comprise a low-inductance, thin-film capacitor attached to each of substantially all microdischarge units.

53. The light source system of claim 49, wherein the charging circuit comprises one or more switchable transistor to provide adjustable charging periods to individual microdischarge units.

54. The system of claim 49, wherein the charging circuit is adapted to inhibit charging during the plasma pulse and afterglow discharge phases.

55. The system of claim 49, wherein the thermal management system comprises a series of cooling channels in contact with the microdischarge array, light collection elements, energy storage capacitors, and pressure barrier to extract waste heat.

56. The system of claim 49, wherein the control system spatially and temporally modulates individual microdischarge light source triggering to generate a light pattern for illumination.

57. The system of claim 56, wherein the light pattern replicates an illumination profile selected from the group consisting of normal, angular, quadrapole, oblique, and custom greyscaled patterns.

58. The system of claim 56, wherein the light pattern is modulated for maskless lithography.

59. The system of claim 56, wherein the light source is used for EUV/SXR lithography.

60. The system of claim 49, wherein the light source is a microdischarge array comprising: a plurality of microdischarge sources, each comprising two or more electrodes; an insulating medium between said electrodes; and one or more apertures; cooling channels; integral energy storage with low-inductance transmission lines; and a gas injection and pressure control system.

61. The system of claim 60, wherein the array is arranged in approximately a 20- cm x 20-cm planar grid configuration.

62. The system of claim 61, wherein each microdischarge source is approximately 0.6-mm wide and there are 1024 pixels in the array.

63. The system of claim 61 , wherein each microdischarge operates at 0- 1 OkHz repetition rate for an effective operating frequency of approximately 0-1 OM pulses/second.

64. The system of claim 61, wherein the ' electrode comprises molybdenum.

65. The system of claim 61, wherein the characteristic dimension of the shaped aperture is between about 25 micrometers and about 50 micrometers.

66 The system of claim 61, wherein the characteristic dimension of the electrodes is between about 125 micrometers and about 250 micrometers.

67. The system of claim 61 , wherein the insulating material is high-strength alumina.

68. The system of claim 61, wherein the gas is xenon at a pressure of approximately 50 Torr.

69. The system of claim 61 , wherein the voltage is 1 ,000 V.

70. The system of claim 61, wherein the microdischarge array is configured for producing 13.5-nm EUV/SXR radiation.

71. The system of claim 70, wherein the light collection elements are selected from the group consisting of grazing incidence mirrors and normal incidence mirrors, for collecting EUV/SXR light and transferring light into the illumination optics system.

72. The system of claim 70, wherein the pressure barrier serves as a spectral purity filter to attenuate higher wavelength out-of-band radiation.

73. The system of claim 70, wherein the light source system is configured to simulate the light pattern of a fly's-eye illumination optical system.

74. The system of claim 70, wherein the collection elements comprise optical mirrors or refractory lenses for collecting DUV/VUV light and transferring light into the illumination optics system.

75. The system of claim 61, wherein the light source system is scalable in area enabling sizes greater than or equal to a square meter.

Description:

MICRODISCHARGE LIGHT SOURCE CONFIGURATION AND ILLUMINATION SYSTEM

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This patent application claims the benefit of U.S. Provisional Patent Application No. 60/60/692,445 filed June 21, 2006.

BACKGROUND OF THE INVENTION

[0002] The semiconductor industry is driven by higher lithographic resolution to achieve ever smaller integrated circuit dimensions and feature sizes. Lithographic systems must have high reliability, minimum down time, cost effective throughput, and reasonable process latitude. Ultraviolet light sources are used to generate short-wavelength light to transfer patterns from an optical mask onto the silicon wafer for printing. Traditionally these sources have been lamps (e.g. i-line) and excimer lasers (e.g. 248nm KrF and 193nm ArF). Next- generation optical lithography will need to employ smaller wavelengths to reliably reach feature sizes less than 45-nm half pitch. Plasma-based light sources are required to achieve these short wavelengths and high-energy photons. These systems require high power to achieve the required manufacturing throughput. New applications, such as large-area flat panel processing for plasma television and broad-area light sources, also require a suitable large-area light source for efficient high- volume manufacturing.

EUV AND SXR LITHOGRAPHY

[0003] To reach the 45-nm node and beyond, all-reflective imaging systems with small numerical apertures will be required in the 1 to 20 nni range comprising soft x-ray (SXR) and extreme ultraviolet (EUV) wavelengths. EUV lithography at 13.5nm wavelength is a strong candidate for such lithographic processing, since available Mo/Si multi-layer mirror technology can reflect such light through an optical imaging system for the accurate reproduction of small mask features. A great deal of development and effort has gone into the development of reflective optics for EUV to yield suitable illumination and projection optics systems for industrial manufacturing.

[0004] There are considerable challenges to EUV source development; since EUV photons are very high in energy (~92eV) and cannot be created by steady-state means. The present state of the art in high energy ultraviolet and x-ray sources utilize plasmas produced

by laser bombardment or electrical discharge to produce a plasma pinch. In a plasma pinch, an electric current is passed through a plasma such that the self-generated magnetic field provides plasma confinement and MHD compression to yield emission of EUV and SXR radiation. Likewise in laser systems, an intense burst of energy is deposited in a small volume of fluid, creating a plasma and EUV/SXR emission.

[0005] Presently, EUV source technology development is primarily hampered by large input power requirements leading to physics and engineering challenges in component lifetime, physical sputtering, fast particle generation, collection optic erosion, source stability, source alignment, EUV electrical power conversion efficiency, thermal loading, local melting and vaporization, debris generation, system contamination, power regulation and supply, and materials selection. There are additional challenges to the camera optics system for transferring a pattern to the wafer, including the optics deformation, contamination, source stability, dose uniformity, shot noise, flare, optical contrast, etc. Improvements to the state of the art are necessary if a reliable source technology for lithography is to be found.

EUV Source and Collection Optic Technology

[0006] Major industrial suppliers, such as Xtreme Technology (US 6,894,298), Cymer Inc. (US 2004/0108473), Philips EUV (US 6,788,763), and many other companies (US 6,408,052), research institutions (US 6,414,438), laboratories (US 6,667,484), universities (US 6,031,241) and industrial consortia (US 6,654,446) have been developing light sources for next-generation lithography for integrated circuit manufacturing. Gas discharge produced plasmas (DPP) and laser produced plasmas (LPP) originally employed xenon as the working fluid due to its moderate EUV emission at 13.5nm without contamination on optical surfaces, since it is a noble gas. Other elements can radiate efficiently at 13.5nm, for example lithium, tin, and oxygen, but these fuels introduce new challenges. The conversion efficiency of energy into 13.5nm light has been reported to be about 0.5%-1.2% for xenon plasmas. [0007] One important aspect of the optical imaging system is an invariant quantity known as the etendue. The etendue of the system may represent a measure of the maximum beam size and solid angle that can be accepted by the optical system; it is typically represented as the square of the numerical aperture multiplied by the projected source area. Typically the light source and collection optics combination is designed such that it is equal or less than the etendue accepted by the projection optics. In this manner, all of the collected light is usable instead of being wasted. As such, the conventional wisdom has been to design a light source

to maximize the source area to match with the collectible light solid angle. For a typical lithography system for the 45 -nm node companies such as ASML, Nikon and Canon are reporting 1.0-3.3 mm 2 -steradian maximum etendue. As a result, designers of EUV light sources with 3.3-steradian collection angle lead to 1-mm 2 source areas. [0008] Laser plasma light sources are very expensive and highly inefficient due to low laser driver conversion efficiency and short component lifetime. However, the volume of the plasma source generated with these systems can be small enough to allow large areas of light collection, particularly if the system is configured to have large optical access around the emission volume. The largest drawback to LPP is the cost of the laser components and development of these systems has lagge'd discharge sources by several years in terms of demonstrable power and system integration. In contrast, the DPP sources are relatively inexpensive and have a comparatively higher efficiency since the electrodes are indirect contact with the plasma. Large capacitor banks with magnetic pulse compression are typically used to generate intense currents over periods of hundreds of nanoseconds to a few microseconds with a short -20-50 nanosecond pinch phase when the EUV emission takes place. Different electrode configurations lead to variations in the operational design. For example, the dense plasma focus has a larger solid angle collection area (closer to 2π) with a smaller source volume, whereas the standard z-pinch may be limited to less than 45° half- angle of emission with a larger source size.

[0009] The primary driver on EUV source development is power. For economically viable wafer fabrication, throughput models based on the wafer processing speed of the lithography stepper/scanner tool have dictated the required EUV source intensity. Over the past 5 years, the light source power requirement has grown considerably from 20W at the intermediate focus to 185W in 2005. Even the 185W projection has been based on several assumptions such as qualified photoresists with lOmJ/cm 2 sensitivity that may not be technically feasibly. Other factors, such as line edge roughness due to shot noise, may lead to even higher power requirements for high volume manufacturing. Therefore, it is reasonable to assume that this value will increase in the near future >200W in-band 13.5-nm EUV power at the entrance into the illumination system (see FIGURE 34).

[0010] To achieve the desired level of in-band light power at the intermediate focus, after accounting for collection inefficiency, debris mitigation loss, light reabsorption, mirror reflectivity loss, source variations, light source repetition rate, and a host of other compounding effects, the required electrical input power can be projected to exceed 10OkW.

Since the light source consists of a single electrode assembly or laser optical system configured to put as much light into the maximum allowable etendue, this level of heat flux on surfaces with critical dimensions on the order of a few centimeters has proven impractical due to thermal and erosion limitations; the radiation flux and particle intensities in this range rival nuclear fusion reactors in terms of energy deposition. As a result, major source suppliers and developers have switched to lithium and tin for EUV radiation, since the conversion efficiencies have been reported to be between 2.5%-4%. This fuel selection results in a reduction of source power to something more manageable ~40kW+, which is still extremely difficult to accomplish on a single electrode configuration. However, it is important to note that the added metal vapor deposition, reactive chemical effects and downstream contamination effects are a significant additional challenge that requires additional expense and subsystem support. As a result, EUV lithography systems are reaching their limit in terms of feasibility from a single DPP source perspective. [0011] As required light power further increases for economic manufacturing viability, even these advanced fuel systems will suffer the same fate due to thermal and erosion effects. As a result, innovative EUV source configurations are needed to overcome this challenge. One such method involves multiplexing (spatial or temporal) of individual source units. [0012] As detailed in US 6,861,656, Murakami suggests combining temporal multiplexing with a movable or tilting mirror assembly to direct light from each source into the illumination optics system at different time intervals. The adjustment of the tilt mirror preserves each source's principle optical ray and reduces the source area back to the size of each individual source maintaining the original etendue constraint. The additional moving mirror reduces the light intensity by about ~30% but allows a linear addition of source power, thereby allowing an increase in total usable power. In US application 2004/015520, Kleinschmidt follows this line of thinking with a specific apparatus for multiplexing four large z-pinch sources with interleaved light pulses with a rotating optical mirror for light collimation. In this system, four light sources each collecting 40W EUV could be multiplexed yielding a net ~115 W at the intermediate focus (see FIGURE 2). Similarly in US 2004/0129895, Goldstein proposes a rotating multi-mirror disc with fixed angles for sequential source multiplexing.

[0013] Other source developers have proposed similar concepts to spread the thermal and particle loading over large area electrodes for proper cooling and thermal management. Philip's EUV source uses twin rotating electrodes coated with a thin layer of Sn material to

produce a plasma discharge with the application of a short laser pulse for vaporization and pre-ionization. The rotating electrode move the hot spot out of the way and allow cooling with electrode surface regeneration by adding/smoothing the layer of tin for the next shot. Multiple machines can be spatially multiplexed for even higher power levels with a rotating mirror assembly to project light into the illumination optics.

[0014] Multiplexing multiple large DPP units also leads to large device size, fabrication plant footprint, and total operational cost for the lithography machine. Note, in all of these multiplexing cases, the EUV light source still maximizes allowable source area for a given system etendue limitation.

ILLUMINATION TECHNOLOGY

[0015] In the field of microscopy, imaging and lithography, illumination plays a very important role in being able to accurately resolve an image, obtain the right contrast and focus, and complete a particular process. There are multiple illumination methods depending on the application and these are widely reported in the scientific literature over the past 300+ years.

[0016] The goal of most optical illumination systems is to provide efficient energy transfer from a source to another location so that an image can be projected to a remote location. Specifically, an illumination condenser produces an image of a light source in the pupil of a projection lens to produce uniform illumination across the projection lens field. The maximum illumination possible occurs when the source image fills the projection lens pupil. This condition of maximum illumination is called Kohler illumination and in projection exposure lithography systems, Kohler illumination provides uniform illumination across the exposure field (see FIGURE 8).

[0017] Basic illumination systems consist of a source and a condenser lens, where the condenser forms an image of the source in the pupil of the projection optic. For EUV/SXR processes, transmission optical elements are difficult to employ for bending and shaping light in the illumination and projection optics systems due to the high degree of light attenuation in materials at wavelengths <130nm; therefore, reflective optical components are employed. The current state of the art for aNA=0.25 EUV system is a six mirror illuminator with a six mirror projection optics box. The aforementioned power requirements are highly sensitive to the number of reflective optical elements in the entire lithographic system, since each reflective element is about 70% efficient using normal-incidence Bragg reflection. Thus,

only a small fraction of the light in the system from the light source reaches the wafer, e.g. (69%) λ 13 = 0.8%, including one bounce loss at the mask. This also neglects light source input losses, so large powers are required at the source to achieve sufficient illumination of the mask and wafer exposure for lithographic printing.

[0018] In EUV/SXR illumination systems, the reason for so many optical components is to project more light into the pupil, decrease unwanted effects such as spherical aberration, coma and astigmatism, and increase the illumination uniformity across the pupil. This is especially important for the current state-of-the-art EUV lithographic systems, where variations in the source intensity and spatial distribution are commonplace leading to under- or over-exposure of photoresist at the wafer stage; the latter being critical to fine detailed integrated circuit manufacturing with resolutions < 90nm. Due to the high power levels in the aforementioned LPP and DPP EUV sources, there is considerable interest in achieving exposure uniformity across the scanning reticle/wafer system. The highly coupled nature of the source-optic system leads to stringent requirements on dose control, shot noise, positional stability, high repetition rate, etc.

[0019] As discussed by Mori in US 5,760,963, traditional UV lithography systems, one common method to achieve uniform illumination and wafer exposure has been to use a fly's- eye lens array. The fly's-eye lens array is composed of nearly identical lenslets arranged in a two-dimensional array with the optical axes of each of the lenslets parallel to a common optical axis. When the fly's-eye lens array is illuminated with collimated radiation, each lenslet in the array forms a secondary source image over a planar two-dimensional area. The secondary source image array increases the intensity uniformity over an extended area and is imaged by a condenser optic assembly to the pupil of the projection optical system. As discussed above, this type of illumination is Kδhler illumination and provides efficient uniform illumination over the field of the projection lens.

[0020] Reflective fly's-eye arrays (see FIGURE 4) were originally proposed by Murakami in US 5,581,605, and subsequently improved upon by Foo in US 6,231,198, where the optical integrator off-axis segmentation results in an extremely uniform array of secondary point sources that are substantially free of spherical aberration and coma. Entire illumination optical systems have been proposed by Koch et al. US 6,195,201 and Komatsuda US 6,665,051 (see FIGURE 3) based on these designs and others.

[0021] As mentioned above, these illumination systems have a large number of reflective optical elements (in most cases, 6 or more) necessary to transform the EUV light into uniform

illumination at the reticle. For the cases of light source multiplexing highlighted earlier, the method of Kleinschmidt and others would still utilize this 6 mirror illumination system for spatial and temporal multiplexing to add additional source power.

Multiple Source Illumination Approaches

[0022] The idea of using multiple sources for concurrent illumination to increase the source power was discussed earlier in Murakami in US 6,861,656. Unfortunately, the bundling of several light sources will result in an effective source area that is equal to the smallest circle that encompasses all of the sources in a plane perpendicular to the axis of optical propagation, therefore restricting the allowable solid angle of light capture due to the etendue limitations of the rest of the optical system. Therefore, interleaving pulses from multiple sources with a rotating mirror assembly is needed, further adding a 30% drop in light output but allowing linear addition of high-power sources to achieve the needed light intensity.

[0023] However, Goldstein in US 2004/0129895, proposes an alternate spatial multiplexing technique that combines light from the multiple sources when illuminating a mask. In this system, collectors create multiple images of each source and reflect each of the multiple images onto a corresponding hexagonal mirror in a pupil. The multiple images are reflected in parallel from the pupil where they are transformed and linearly combined at the mask plane. The specific embodiment takes light from three different LPP sources and spatially combines the collected light at the mask plane within the etendue limitation of the projection optics system using an 18-element hexagonal mirror system. Goldstein shows that it is possible to add light from simultaneous sources while irradiating the mask and get by Murakami's limitation.

[0024] The present invention introduces a novel approach to multiple source illumination with microdischarge plasma sources to take advantage of multiplexing 10s to 1000s of individual sources with small source volumes to meet the etendue limitations of the lithography camera. Spreading thermal loading over a large area mitigates the problems with power scaling. As will be detailed later in the detailed description of the invention, an individual collector element can take an image of a microsource to simulate a light pattern for illumination of the mask. Due to the compact size of the array, the microdischarges can be arranged to simulate a secondary image plane found in conventional fly's eye optical integrators and simultaneously achieve Kohler or critical illumination with a significant

reduction in upstream optical elements. In addition, the array of microdischarge sources can allow the adjustment of the illumination profile without need of a physical shutter or aperture wheel to create a particular illumination pattern. This can be electronically adjusted for superior lithographic performance. In addition, source spatial stability and high repetition rate lead to excellent dose control and uniformity with low shot noise. This also addresses a critical need in EUV/SXR lithography systems.

MICRODISCHARGE TECHNOLOGY

[0025] Traditional plasma discharges involve the formation and generation of a plasma and conducting DC or RF current through a gaseous medium, such as fluorescent and metal- vapor arc lamps, and are efficient sources of radiation for optical illumination. These sources are usually macroscopic in size and operation. Large-scale plasma discharges have been extensively studied since the early 1900s and are well reported in the literature, see Lieberman, Chapman, von Engel. The application of high currents to generate intense plasma discharges was also studied extensively in the mid-1950s for application to thermonuclear plasmas, mid-1970s for high-energy lasers, and mid-1990s for fast discharge fusion effects; the latter being well treated in the literature by Friedberg, Lieberman and Ryutov.

[0026] Many of the aforementioned DPP light sources used for generating EUV/SXR radiation are macroscopic in size with characteristic length scales on the order of 1-10 cm as reported in the literature and microlithography conference proceedings. As mentioned previously, these macroscale DPP sources are necessary to generate a large enough plasma source volume to maximize the allowable etendue for the lithographic optical system. [0027] The inventors have been active in the microdischarge field since 1998, researching hollow cathode, pseudospark, capillary discharge, and z-pinch plasma technologies for spacecraft propulsion and plasma processing on the mm-μm characteristic length scale. This invention seeks to leverage beneficial length-scale effects of microscale plasma discharges for many applications, including but not limited to the production of EUV/SXR radiation for lithography and application for novel approaches for optical illumination. In addition, there are other microdischarge source applications, including space propulsion, surface treatments and plasma processing, medical imaging and microscopy, pulsed power switching and laser applications, and other uses that take advantage of the microdischarge benefits.

[0028] Microdischarge devices typically operate on the left side of the Paschen curve, achieving gas breakdown and current flow when the appropriate P-d condition is reached. The P-d is drastically reduced with the use of a hollow cathode (and sometimes hollow anode) to provide electron path length multiplication. Devices typically operate at low current with sufficient ballast for stable discharge operation with low-temperature light emission for a variety of uses. High pressure microdischarges approaching or exceeding atmospheric have been demonstrated for plasma processing, radical generation, medical sterilization, and other applications reported in the literature.

[0029] Recent developments in microdischarge technology offer promise for efficiency light generation on small length scales. In US 6.016,027, DeTemple demonstrated the fabrication of 0.4mm characteristic length near-atmospheric pressure plasma discharges. Similarly in US 2004/0160162, Eden produced arrays of microfabricated discharge devices using semiconductor fabrication techniques for use in optical wavelength plasma spectroscopy systems and medical light treatment therapies (see FIGURE 6). [0030] The inventors have been active in the field of pulsed and high-current microdischarges. In the case of a microdischarge engineered to exploit the pseudospark effect, large charge generation and transfer mechanisms can exist with current densities of > 10 kA/cm 2 observed. Capillary discharge versions flow current through a small opening, allowing a high-pressure gradient to yield enough charge carriers for large currents, with the small opening minimizes loss of fill gas, resulting in very high plasma densities, ri e > 10 15 cm " and power densities greater than 10 W/m . The large current rise also forms a small Z- pinch in the plasma column, effectively stabilizing the current for a known length of time at a specific current depending on the geometry, pressure and pulse forming network characteristics. Due to the reduced length scales, microdischarge devices can operate on the sub- 100 nanosecond time scale for high current pulses. Systems can be engineered to resistively heat without negative effects of MHD instability or space charge effects. The result is a repeatable switch for providing intense non-equilibrium plasma conditions, ideal for getting light in UV, DU V/ VUV, and EUV/SXR using a variety of radiator materials including excimer and exciplex radiators for stimulated emission.

Cost Of Ownership

[0031] Pertaining to EUV/SXR lithography, an overriding factor is initial capital cost and operational expenses over the lifetime of the optical lithographic tool, including downtime

expense and component maintenance/replacement. LPP sources offer the easiest pathway for scalability, since additional lasers and temporal multiplexing is achievable. However, an LPP system forecasted for HVM with the 2004 joint published source requirements leads to >$30M initial costs plus $3M operating expenses without major collector optic repair! A four-unit multiplexed DPP system is significantly cheaper at $20M initial cost and $1M operating expenses, but an additional $4-5M lost annual revenue due to equipment downtime and maintenance for collector optic repair, cleaning cycles and electrode replacement. [0032] As reported by ASML, Canon and Nikon at the 2005 EUV source workshop in San Jose, source integrators and tool developers would like to keep operational costs ~$0.5M per year (comparable to KrF excimer laser systems for 193nm lithography). The current state-of-the-art is far from achieving this goal. Therefore, there is need for a substantial improvement in EUV source and optics integration.

Maskless Lilthography and Large Area Processing

[0033] Optical maskless lithography is under development using spatial light modulators, a series of movable mirrors that can either reflect light from a source into the projection optics system or into a beam dump or null region. Each spatial light modulator can be adjusted to produce a mask pattern that is user programmable, eliminating the costs of mask development, fabrication, storage, cleaning and transport. Flexibility is the greatest driver for maskless lithography, more so than cost, since there is a shortened development time. For small batch jobs and foundry services, this technology enables short high volume runs of products for economic viability.

[0034] In US 5,691,541, Celgio outlines this basic principle where the spatial light modulators are a digital micro-mirror device, such as those employed in digital light projection systems. The array of mirrors is modulated such that the dark region of the desired pattern is deflected and an un deflected mirror corresponding to a bright portion of the patent. Thus, a high contrast pattern can be projected onto a substrate each time the illumination source flashes.

[0035] The present invention can accomplish optical maskless one step further where each individual microdischarge element can be modulated and arrayed into a mask pattern. The microdischarge array can be placed at the location of the mask or a more advantageous position, such as a secondary image plane in the projection optics to reduce the complexity of

the entire system. The microdischarge size and areal density can be offset with patterning needs and device half pitch.

[0036] In addition to maskless, there is a need for large area light generation for semiconductor processing for flat panel displays and other macroscopic pattering. The microdischarge light sources can be scaled into large size to generate broad area light sources for such applications.

[0037] In summary, there are needs for plasma-based light sources to generate UV 5 DUV/VUV, and EUV/SXR for ligthographic processing, microscopy, and medical imaging. In EUV, there is a clear need for a scalable source that can provide power, handle thermal loading and lifetime effects, maintain low cost and improve the state of the art. The present invention addresses the aforementioned EUV/SXR plasma light source challenges and enables innovative illumination improvements leading to superior lithographic and microscopy systems. In addition, there are benefits in large area processing, maskless lithography and diagnostic imaging.

BRIEF SUMMARY OF THE INVENTION

[0038] While this and other sections of this document speak of "the invention," it will be appreciated that all such references are to specific embodiments of the invention and do not, and are not intended to, convey a limitation as to every embodiment of the invention. [0039] The present invention relates to the design and fabrication of plasma microdischarge devices with primary application for generating and using ultraviolet light (UV, DUV/VUV, EUV/SXR) for lithographic processing for next-generation integrated circuit manufacturing, microscopy, and medical/biological imaging. The present invention leverages advantages given in microdischarge length scale to produce cost-efficient designs and superior systems compared to the current state of the art, including maskless lithography and reduced-optics EUV lithography. In addition, the scalable architecture of the plasma microdischarge also enables large-area processing applications.

[0040] The invention describes a plasma microdischarge device technology with specific application to generating light for industrial applications such as semiconductor lithography, microscopy and medical imaging. The microdischarge array technology herein can enable low-cost generation of EUV/SXR light in configurations that support novel light collection techniques, improvements in illumination uniformity, reduced power demands for

manufacturing, improved dose control and spatial stability, and electronically-controlled illumination patterning for superior lithographic performance.

[0041] In the preferred embodiment, a series of microdischarge devices of suitable lifetime and plasma-generating capability are assembled into an array or spatial configuration. The individual microdischarge devices are engineered to possess minimal debris generation and improved lifetime, radiation emitting capability in particular at the 13.5-nm wavelength, and improved conversion efficiency with minimal plasma instability. The microdischarge array is placed into an illumination optical system which mimics the secondary source characteristics of a fly's-eye optical system designed to produce uniform Kohler illumination for superior lithography. By virtue of the designed microdischarge source array, enough EUV light power can be generated with sufficient dose control, uniformity and process latitude within physical and thermal constraints for a high- volume manufacturing tool with lower cost-of-ownership compared to the current state-of-the-art- in EUV/SXR source-optical systems. The light sources can be modulated at high effective repetition rate and custom grey-scaled illumination patterns can improve lithographic contrast, depth of focus, and partial coherence with high resolving capability. In addition, this document includes a variety of other embodiments of the present invention that fit within the general scope and magnitude of the invention, including maskless lithography and large- area plasma source processing

BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING(S)

[0042] Figure 1 : Prior Art. Graph showing the increasing power requirements, wafers per hour requirement, multiple mirror configurations, and clean photons at intermediate focus. The 2004 specification was 115W at the intermediate focus. (Graphic from EUV

Workshop 2004)

[0043] Figure 2: Prior Art. Data from the 2004 EUV Source Workshop showing estimated requirements and trends for sources in EUV lithography. (Graphic from EUV

Workshop 2004)

[0044] Figure 3: Anticipated costs of next-generation lithography tools and current prediction of optical EUV systems >$50M each.

[0045] Figure 4: Prior Art. (a) Cymer dense plasma focus discharge plasma system with complicated magnetic pulse compression power systems in use for larger EUV sources with near maximum etendue. (Graphic from US2004/0108473 and EUV Source Workshop 2003).

(b) Pinhole camera images of plasma emission volume showing large size, significant shot- to-shot irregularities, and shot noise problems. (Graphic from EUV Source Workshop 2003) [0046] Figure 5: Prior Art. (a) Illustration of Xtreme Technologies conventional z-pinch discharge plasma source with magnetic pulse compression. (Graphic from EUV Source Workshop 2003). (b) Pinhole camera imaging of plasma emission volume showing large size with spatial jitter on order of 100-150 micrometers. (Graphic from EUV Source Workshop 2003).

[0047] Figure 6: Prior Art. (a) Illustration of Philips Extreme UV systems transient hollow-cathode discharge with simpler pulse power supply system. (Graphic from EUV Source Workshop 2003). (b) Pinhole camera images of very large plasma emission volumes and elongated pinches suffering from MFID instability and spatial jitter. (Graphic from EUV Source Workshop 2003)

[0048] Figure 7: Prior Art. Illustration of large source spatial and temporal multiplexing with maximized etendue per source. The example is the Xtreme Technologies XTS Sn light source with quad-unit spatial and temporal (rotating mirror) multiplexing to satisfy etendue constraints. (Graphic from US2004/0155207)

[0049] Figure 8: Prior Art. (a) Illumination and projection system diagram showing reticle, source and mirrors, including fly's-eye system. (Graphic from US 6,665,051). (b) A portion of the light beam is chopped by an aperture wheel to select the appropriate illumination pattern. (Graphic from US 6,665,051)

[0050] Figure 9: Prior Art. Illustration of one type of fly' s-eye mirror element array showing arcurate segments and spherical collection elements. (Graphic from US 6,665,051) [0051] Figure 10: Prior Art. Komatsuda's illuminator design with fly's-eye optical integration for Kδhler illumination. Note the particular features of the secondary source image plane and its resemblance to a grouping of microdischarge sources illuminating the optical integrator. (Graphic from US 6,665,051)

[0052] Figure 11 : Prior Art. (a) Illustration of HiNA experiment and fly's-eye optical elements for high numerical aperture testing in ASET in Japan. (Graphics from Oizumi, SPIE 2004). (b) Photographs of secondary source image plane from fly's-eye optical elements for normal and annular Kδhler illumination. (Graphics from Oizumi, SPIE 2004) [0053] Figure 12: Illustration of microdischarge array with integrated thermal cooling channels and energy-storage capacitors.

[0054] Figure 13: (a) Illustration of typical conventional microdischarge z-pinch configuration, (b) Illustration of typical microdischarge hollow-cathode device configuration, (c) Illustration of typical microdischarge pseudospark configuration, (d)

Illustration of typical capillary microdischarge configuration (anode return can follow insulator).

[0055] Figure 14: Examples of shaped apertures to define emission volumes for plasma light generation including (a) cylindrical, (b) rectangular slot, (c) arcurate segment, and (d) full channel width.

[0056] Figure 15: Microdischarge debris reduction with hollow cathode exit aperture to minimize downstream contamination. Dense plasma also ionizes debris and sputter products resulting in high redeposition probability and wall recycling.

[0057] Figure 16: (a) Capillary discharge 13.5-nm laser with 11-nm radial repumping and grazing incidence light guide (Hollow-cathode capillary configuration), (b) Illustration of stimulated emission in plasma channel with coherent directed light emission along the optical axis (to improve collection efficiency).

[0058] Figure 17: (a) Optical repumping of point source (non-coherent, i.e. non-laser) for improved conversion efficiency of electrical energy into useable light (wasted light is partially reused), (b) Generic illustration of optical repumping for improved conversion efficiency.

[0059] Figure 18: One location for placement of microdischarge array for generating light to simulate the secondary image plane of a fly's-eye optical illumination system.

(Graphic adapted from US 6,665,051)

[0060] Figure 19: The preferred embodiment microdischarge source placement at the secondary source-image plane after the second fly's-eye optical element and before the optical integrator for projection onto the mask.

[0061] Figure 20: One embodiment of the present invention would involve placing the microdischarge light source array inside the illumination optics system near the blue dashed line location. This would eliminate the need for the optical elements highlighted with the big red X' s, lower required input power and reduce costs. (Graphic adapted from US 6,665,051)

[0062] Figure 21 : Microdischarge plasma source multiplexing into arrays for illuminating optical elements, shown with individual collectors. Shown is a 2D conventional grid with individual addressable microdischarge units with a cylindrical aperture.

[0063] Figure 22: Microdischarge array with hexagonal (triangular pitch) for compactness.

[0064] Figure 23 : Coaxial integrated energy-storage capacitor for improved packing density and array integration with thermal cooling channels. Gas feed, triggering means, power leads and mounting assemblies are not shown.

[0065] Figure 24: Microdischarge source construction with integrated energy storage capacitor, gas input feed, triggering (optical, particle beam, RF, DC pulse), etc. This illustrations shows a planar example, and other configurations, such as coaxial, are also suitable.

[0066] Figure 25: Illustration of individual source coUimation and light capture. The divergence/convergence angle can be customized for a particular illumination system's need (e.g. diverging, converging, parallel, etc.).

[0067] Figure 26: Array of micro sources with no optical collimation but small solid angle (a) with and (b) without overlapping at optical integrator, and (c) illustration of wide- area source. Figures (a) and (b) also illustrate possible plane angles that could be used, depending on the surface geometry of the optical integrator chosen. [0068] Figure 27: Illustration of the placement of a microdischarge source array at the secondary image plane of a fly's-eye optical system to achieve optical integration on the mask plane with uniform illumination. The microdischarge source array can also be adapted to maintain the proper focal length and angle of illumination.

[0069] Figure 28: 2-D Parabolic collectors that could be used for collecting light into a linear or arc-like segment. Ellipsoidal collector cross section is also possible if focusing is needed. Additional (second bounce) collector mirrors can be added to increase collectible light while maintaining a less expensive 2-D collection optic configuration. Plasma emission volume in this illustration is cylindrical, but other aperture shapes can be used (linear, arcurate, etc) since the plasma emission volume is defined by the shape of the aperture. [0070] Figure 29: Placement of the source before the intermediate focus into an array to mimic the collection surfaces from a state-of-the-art EUV source to minimize cost of conversion to a microdischarge-driven configuration, (a) illustrates convergence of light rays from each source at the intermediate focus and (b) illustrates a 2D array to accomplish this. [0071] Figure 30: Example placement of microdischarge array at the first fly's-eye mirror location in the advanced illumination system or upstream one location as an input into the first fly's-eye optic. In this case, the second fly's-eye optic is retained to form the light

source into an accurate segment to minimize optical distortions, such as chromatic aberrations, spherical aberrations, coma, astigmatism, etc.

[0072] Figure 31 : (a) Illumination pattern showing intensity distribution for a wide angle emission volume onto an imaging plane for a linear array of sources, (b) the illumination pattern for only a few sources activated showing a uniform intensity across the midplane, (c) illumination pattern showing intensity distribution for a small solid angle emission volume onto an imaging plane for a linear array of sources, and (d) the illumination pattern for only a few sources activated showing a uniform intensity across the midplane.

[0073] Figure 32: (a) (Prior Art) Beam stop aperture configurations for state-of-the-art illumination systems and (b) illustration of partial coherence and depth of focus possibilities for the microsource array configuration. Addressable microdischarges to simulate effect of apertures, such as (Bl) annular, (B3) circular, (B2) quadrapole, and fan oblique. With the microsource array, the illumination pattern can be arbitrarily configured. (Graphic A from

US 6,665,051)

[0074] Figure 33 : Illustration of on-the-fly adjustment of the illumination pattern with the same mask to allow greater layout flexibility, few masks and more dense features.

[0075] Figure 34: Illustration of a fly's-eye optical recombiner element,

[0076] Figure 35 : Illustration of Kδhler illumination at the reticle with uniform illumination across the pupil from a summation of multiple sources.

[0077] Figure 36: Illustration of etendue changes with source number and source size.

Nsources X SourceArea x SolidAngle = Max Etendue (each unit cell has I/Nth the etendue of the total array of N unit cells - there is a max size, beyond which instabilities and/or heat cause problems and a theoretical minimum size, below which physics will not hold or manufacturing expense is impractical.

[0078] Figure 37: Illustration of high source pulse repetition rate with temporal sequencing to achieve an effective continuous source for improved dose control at the wafer.

[0079] Figure 38: Illustration of distributed thermal sources and sinks for microdischarge array thermal management. Several array and cooling configurations are possible, such as liquid cooling and/or cooling via the gas flow itself.

[0080] Figure 39: Numerical plasma simulations for a microdischarge in a capillary pseudospark configuration with 200um aperture showing (a) electron temperature, (b) electron density, (c) mean charge, and (d) I-V trace. Note some variation in electron density shows some MHD effects and plasma compression.

[0081] Figure 40: Numerical plasma simulations for a microdischarge in a capillary pseudospark configuration with 20um aperture showing (a) electron temperature, (b) electron density, (c) mean charge, and (d) I-V trace. Note the plasma is completely uniform across the emission volume and MHD stable.

[0082] Figure 41 : Microdischarge plasma optimization simulations showing peak conversion efficiency of electrical power into light generation vs. emission volume dimensions, (a,b) capacitance, (c,d) charging voltage, (d,e) pressure.

[0083] Figure 42: Illustration of the effect of aspect ratio on collectable angle of light emission, (a) Large capturable solid angle from plasma light source volume that is compact with near 1 : 1 or 1 :2 aspect ratio, (b) Small capturable solid angle from plasma light source volume that is long with a 1 : 10 or 1 :20 aspect ratio.

[0084] Figure 43 : Radiation emission volume for a cylindrical-aperture microdischarge source showing effective emission area for matching with light collection angle, which determines the etendue of the source and, hence, the amount of usable light power.

[0085] Figure 44: Example of an individual single-shell optical collection for a microdischarge source (showing an exemplary 15°-45° collection angle) for unit cell fabricated optics.

[0086] Figure 45: Example of an individual double-shell optical collection for a microdischarge source for unit cell fabricated optics. Additional collector shells are possible, but manufacturing expense increases while additional light collection decreases, causing diminishing returns for additional collector optics.

[0087] Figure 46: Prior Art. Reflectivity curves for different materials, Ru, Mo, Pd, etc.

(Graphic from US2004/0108473)

[0088] Figure 47: Optimization of microdischarge source emission volume with collector solid angle capture fraction and plasma conversion efficiency can maximize the usable light for a particular application.

[0089] Figure 48: Block diagram illustrating many tradeoffs in microdischarge source design for optimal light capture and system efficiency.

[0090] Figure 49: Simulated radiation spectral profile for a microdischarge plasma source using xenon radiator for in-band EUV vs. out-of-band radiation. The OOB production is quite small and less than 1.5% of the in-band value during the pulse.

[0091] Figure 50: (a) Is an operational photograph of 2OeV argon plasma during discharge testing with mm-scale hollow-cathode capillary discharge pseudospark

microdischarge with vacuum gap, and (b) illustration of a mm-scale hollow-cathode capillary discharge pseudospark microdischarge device.

[0092] Figure 51 : (a) Photographs and (b) drawings of microdischarge test units for argon plasmas.

[0093] Figure 52: Example experimental test unit fired with argon gas demonstrating short rise time and high repetition rate operation, (a) photograph of test unit and (b) photograph of discharge.

[0094] Figure 53 : Foreground shows capillary borehole in a sapphire insulator material with 750um diameter and 250um thickness used in testing. Background shows anode and cathode electrode test pieces with 5mm apertures.

[0095] Figure 54: Photograph of assembled anode and cathode of test unit with 250-μm thick dielectric with 750-μm borehole aperture.

[0096] Figure 55: (a) Microdischarge testing station and (b) microdischarge test-unit mount showing charging lines and optical diagnostics.

[0097] Figure 56: Microdischarge plasma pulse in argon showing high-brightness plasma emission volume and plasma plume.

[0098] Figure 57: (a) Oscilloscope trace of plasma discharge pulse in hollow cathode mode with current on order of 1OA. (b) Microdischarge self-pulsing with high ballast showing regular Pashcen breakdown, Townsend phase and hollow cathode phases of discharge.

[0099] Figure 58: (a) Example oscillogram showing anode voltage (chl), cathode voltage pulse (ch2), rogowski coil (ch3), and current measuring resistor (ch4). Peak current -400A, pulse length ~250nsec, applied voltage ~lkV. (b) Oscillogram measuring effective beam current downstream of microdischarge plasma source showing only electron generation and no fast ions.

[00100] Figure 59: Photograph of xenon plasma microdischarage in a capillary pseudospark configuration with 500um cylindrical aperture dimension and 5- 15mm cathode diameter.

[00101] Figure 60: (a) Measured EUV signal on filtered photodiode with current pulse waveform I(t) (300A peak) for one example discharge pulse, (b) Oscilloscope trace showing raw cathode voltage (ch T), filtered EUV photodiode current (ch 3), and integrated discharge current (math channel) demonstrating EUV emission in the 1 l-17nm band.

[00102] Figure 61 : 7X7 microdischarge array pulsed in air at -100 Torr and l-2kV. This array utilized a common cathode region sourcing plasma to multiple apertures.

[00103] Figure 62: Custom current pulse shaping with capacitor and transmission line (R,

L, C, dl/dt, dV/dt, etc.) design for current pulse shaping to improve conversion efficiency.

Many permutations are possible, including parallel lines and offset conductor lines for continuous (maybe reflection free) arbitrary pulse forming/shaping lines.

[00104] Figure 63: A simplified circuit diagram for the microdischarge source including charging power supply, (one example is serial inductance tuned to the built-in capacitors to control charge rate and isolate each cap from the other. Each switch would have addressable charge line ( = switches on each line), likely a transistor. Charging inductors can be tuned to resonance with on-board capacitor (pulse forming line). Firing can be either triggered or auto-firing, as desired.

[00105] Figure 64: Illustration of assembly of a microdischarge source module showing coaxial charging lines, individual energy storage capacitors, microdischarge units, individual collection optics and spectral purity filter/pressure barrier/debris catcher held in place with a hibachi grid.

[00106] Figure 65: Example estimate for HVM EUV light source using a microdischarge array placed at the secondary image plane of the illumination optics with electronic adjustment of the aperture.

[00107] Figure 66: Example application for a microdischarge plasma source array for spacecraft power and propulsion applications.

[00108] Figure 67: Prior Art. (a) Illustration of conventional EUV maskless lithography using electronic mask consisting of high density deformable mirrors that can be electronically scrolled across the wafer, (b) Addressable reflective mirror elements that direct light into the projection system for bright patterns and deflects light for dark patterns.

[00109] Figure 68: Prior Art. Microdischarge devices fabricated with semiconductor fabrication techniques. (Graphic from US2004/0160162)

[00110] Figure 69: Prior Art. (a) Examples of 200um diameter arrays of microdischarges operating near-atmospheric pressure discharges in air or air/neon, (b) 10 x 10 array of microdischarges operating in an air/neon mixture for production of visual and shallow UV light for medical therapies.

DETAILED DESCRIPTION OF THE INVENTION

[00111] The following sections will attempt to describe the various aspects of microdischarge array, light source configurations and illumination systems, particularly in relation to the generation of light for application to photolithographic integrated circuit manufacturing and microscopy systems employing the same. In particular, the sections will:

1. Describe the source technology development.

2. Describe the usefulness in array fabrication and arrangements.

3. Describe the advantages for debris, lifetime, light output (e.g. EUV), stability, etc. of preferred embodiment.

4. Describe the advantages for thermal, size, power supply cost, rep rate, etc. of preferred embodiment.

5. Describe the illumination system development.

6. Describe the advantages for EUV power, Kohler illumination, partial coherence, optical reduction, etc. for the preferred embodiment.

7. Describe other embodiments such as application to maskless lithography and large- area processing.

MICRODISCHARGE TECHNOLOGY

[00112] The present invention utilizes microdischarges for the generation of plasma and light for many applications, including: lithographic processing, microscopy, medical imaging, space propulsion, and surface modification. A microdischarge source is composed of an electrode arrangement 100 (comprising two or more elements) that forms a discrete volume for the formation of plasma 407. An insulating material 101 can be placed between some of the electrodes to provide voltage standoff or a physical barrier. The choice of the electrode material 100 and insulator material 101 has an important effect on operational ranges due to temperature and physical sputter effects. The plasma serves as a conductor to transport charge (current) across a voltage gradient between the electrodes in the system. The characteristic dimension of this volume affects the discharge characteristics, including microdischarge initiation, microdischarge quenching and switch resetting, and circuit parameters. A shaped aperture 102 can separate at least two of the electrodes and can form a discrete channel for the conduction and constriction of plasma. This aperture can be tailored in geometry to define an emission volume for the radiation of light. The microdischarge

plasma source requires gas injection and pressure control to achieve the appropriate number density in the discrete volume for plasma formation. Voltage is applied to one or more electrodes in the system to create electric fields to accelerate charged particles and breakdown the gas into conducting plasma. An energy storage capacitor 302 and power supply 300 provides sufficient charge to generate a plasma pulse or a steady-state discharge. A variety of plasma discharge configurations are possible for driving current through a gaseous medium. Figure 13 shows several configurations possible that are reported in the literature, including cylindrical z-pinch, dense plasma focus, transient hollow cathode discharge, vacuum arc, capillary discharge, etc. These are variations on a theme for generating plasma, each with different current, voltage, erosion, thermal, lifetime, and temperature effects. [00113] As detailed in the background section of this document for lithographic applications, the traditional approach to generating light (e.g. EUV) by discharge means has been to create a source with a large enough volume to maximize the allowable etendue into the lithographic optics system. These pinch plasmas have the advantage is that more light is collectable, but the disadvantages are high thermal loading, MHD compression's unwanted effects of high-energy fast ion production, increased electrode and insulator erosion and debris generation, low lifetime, and lower conversion efficiency of electrical energy into light emission, since some of the generated light is outside the etendue collection volume. The present invention improves the state-of-the-art in plasma discharge light emission with improved physics characteristics on a smaller length scale and innovative optical integration leading to an improved EUV/SXR/DUV/VUV sources, illumination configurations for high volume manufacturing, and options for maskless lithography (Fig. 67) and broad-area processing.

Discharge Physics Processes

[00114] As mentioned in the background section, traditional plasma discharges involve the formation and generation of a plasma and conducting DC or RF current through a gaseous medium to generate radiation emission. During the conduction of current through the plasma, resistive heating (ohmic), magnetic compression (MHD-fluid), and photon absorption excites the electrons orbiting the ions and atoms in the gas leading to light emission. These processes are dependent on a variety of factors including the element species, electron temperature, ion temperature, presence of impurities, plasma density, gas pressure, current, etc. Traditional light sources described in the background section of this

document employ the aforementioned configurations to achieve the desired plasma conditions for generating radiation.

[00115] For the present invention, a plasma is formed between two electrodes 100 in a miniature hollow cavity or electrode configuration, achieving a fast high-current pulse and plasma light emission. The reasons for employing smaller dimensions and critical length scales compared to the current state-of-the-art is to improve the plasma response, mitigate deleterious effects (common to the larger systems) and also enable local source multiplexing. The characteristic dimensions of these microdischarge devices is 0.1 to 10,000 micrometers and can be on-order of the local Debye length and lead to enhanced physics on a small length scale! As detailed later in this invention, a unique feature of the microdischarge array is the integrated pulse capacitor within each unit cell, allowing fast circuit response on the small length scale to achieve the high electron temperatures required for EUV emission with minimal plasma erosion and distributed thermal management. Therefore to achieve the desired circuit and plasma response, careful consideration of the microscale discharge physics processes is necessary.

[00116] Pseudospark and other high-current discharge devices operate on the left side of the Paschen curve, achieving gas breakdown and current flow 408 when the appropriate P-d condition is reached. The P-d is drastically reduced with the use of a hollow cathode (and sometimes hollow anode) to provide electron path length multiplication. As a result, large charge generation and transfer mechanisms exist for the pseudospark with current densities of > 10 kA/cm 2 observed. The pseudospark discharge allows fast rise times (for high dl/dt >10 12 A/sec), is very repeatable and has beneficial scaling with smaller device size. [00117] The capillary discharge version flows current through a small opening 102, allowing a high-pressure gradient to yield enough charge carriers for large currents, but the small opening minimizes loss of gas into the ambient environment. The large current rise can also form a small Z-pinch in the plasma column, effectively stabilizing the current for a known length of time at a specific current depending on the geometry, pressure and pulse forming network conditions, or alternatively provide additional MHD compression to further plasma heating. The result is a repeatable plasma switch for producing hot, dense plasmas with very high densities, n e > 10 15 cm "3 , and power densities greater than 10 9 W/m 2 . The plasma microdischarge devices can operate on the nanosecond time scale for high current pulses 408. The high-pressure gradient in the capillary can generate these high current densities on the proposed small length scales.

[00118] Microscale hollow-cathode devices operate like a conventional hollow-cathode discharge, building up charge carriers through the Townsend phase into the hollow cathode phase. However, the key difference is when the bulk plasma commutes with the virtual anode, the current runs into the supermissive phase with huge current densities through explosive electron emission, Schottky processes and local field-emission effects at the electrode surface. This provides the high charge carrier densities through plasma double layer formation or localized cathode spots. This high-current supermissive process is maintained by the actions of the glow discharge in the cavity and is self-regulating. If the electron injection processes inject too much charge into the bulk plasma (such as beginning to transition into a metal-vapor arc), the local sheaths contract away from the walls, decreasing local electric field intensity, and inhibit run-away self-sputtering processes. However, on the short length scales and time scales of the microdischarge, this effect can be easily controlled for long plasma source lifetime.

[00119] If the supermissive phase is localized within a hollow cathode geometry, the erosion rate is further reduced due to the closed cavity structure and vacuum resistance. Sputtered wall material will condense on the interior walls for utilization on later pulses. Consideration of the electrode wall materials and selective placement of differing materials can promote plasma effects in one location relative to another and improve the lifetime of the microdischarge electrode structure. With careful design consideration, a microscale pseudospark configuration is ideal for generating high-current, high-quality plasmas without significant erosion processes.

[00120] For operation in a high-current or supermissive phase, the large current densities and magnetic field effects will influence the plasma temperature, sheath dimensions and local effects in the gap region. From ideal MHD theory, as the current increases in the gap and the plasma constricts due to the azimuthal field generation, the plasma is compressed and heated, increasing in radiative emission in the DUWVUV and EUV/SXR spectrum. Careful selection of the cathode aperture dimensions 102 and borehole length will affect the capillary action pressure gradient, wall stabilization effects and resistance to current quenching that could inhibit current flow. Gas admixtures may also allow improved plasma response and control in improving plasma response and characteristic frequencies in the bulk plasma- sheath system. Introduction of a lighter charge species, such as hydrogen or helium, relative to an EUV emitter such as xenon which has a mass of 137amu, greatly affects the relative ion

mobility and limited charge separation and internal potentials that create highly-energetic fast ions (that can be harmful to downstream optics or other components).

Preliminary Experimental Testing

[00121] Testing on 1 - 1 Omm cathode aperture pseudospark plasma configurations with argon gas demonstrated 10-2OeV plasma temperatures with >lkA current pulses. These initial test-bed systems, see Figure 50 and 51, utilized external capacitor configurations with relatively high system inductance, resulting in extended pulse length beyond the ion acoustic and magnetosonic instability characteristic times. As a result, z-pinch instability was observed (and quantified) leading to decreased microdischarge lifetime. However, the MHD compression and additional heating led to excellent plasma light generation. [00122] Based on these results, design of a low-inductance, short line integrated capacitor microdischarge was done. Simple parallel plate capacitor and cylindrical channel configurations were tested for manufacturing and integration capability, as shown in Figure 24. A dielectric Kapton coating 101 is spun onto the electrode surfaces (forming the capacitor) and bonded together. Several recipes for spin-coating the dielectric were experimentally verified to control the dielectric thickness and uniformity for capacitor construction. Figure 52 also shows a digital photograph of the plasma (optical wavelengths) during operation. The vacuum chamber is backfilled with gas and repetitive pulsing argon plasma >20kHz was achieved at 2Torr pressure and 300V. This device was a 800-μm cathode aperture and generated plasma with peak current rise time at 5-10 nsec and a total pulse length of 20-100 nee.

[00123] Subsequent testing was performed on improve electrode configurations in a controlled vacuum environment with current- voltage diagnostics and downstream plasma measurement probes, in Figure 55. Figures 57 and 58 show waveforms 409, 410 from capillary pseudospark microdischarge configurations with 500-750μm aperture characteristic dimensions using a sapphire dielectric with 250-μm. Peak currents from 100-2000 A were observed on plasma pulse timescales of 50-500nsec for argon and xenon plasmas. Figure 60 shows radiation emission in the EUV band (11-17 nm) was observed with a Si/Zr filtered photodiode at pressure and charging voltage conditions sufficient to achieve high plasma temperatures. Figure 59 also shows photograph of plasma microdischarge operation. [00124] Adjustment of the shaped aperture to provide sufficient resistance and localized plasma heating to minimize unwanted MHD effects so there is little (or no) fast ion emission

observed downstream of the plasma source. This experimentally confirms microdischarge plasma simulations and enables the design and construction of microdischarge systems with fast discharge timescales; quick discharge reset timescales, and high-brightness for light emission. Additionally, fabrication of arrays of sources with common central cathodes or independently-addressable electrodes is possible, shown in Figure 61. The characteristic electrode dimension and support structure serve as one limit on the miniaturization of the microdischarge cavity.

MICRODISCHARGE FABRICATION Microdischarge Source Construction

[00125] The construction and integration of the microdischarge elements is fairly straightforward using conventional micromachining techniques and MEMS-scale fabrication processes, such as electrical discharge machining, diamond milling, photoelectroforming, LIGA, planar wafer processing and 3D construction and wafer bonding, etc. A variety of techniques and tools are available in the literature and future micro- and nano-manipulation processes should not depart from the scope of this invention.

[00126] An integrated energy-storage capacitor dielectric can be deposited with spin coating to form a uniform surface thickness and distribution for forming the capacitor. Such a technique is adapted from spinning photoresists in semiconductor manufacturing, commonly reported in the literature. Additional methods for 2D/3D integrated capacitor formation are possible using materials deposition, organic growth, crystalline growth, and a variety of conventional fabrication techniques that fall within the scope of this invention. Especially when high microdischarge source areal density is required and the capacitor needs to be located behind or beneath the electrodes.

[00127] In a special embodiment of this invention is preferable to construct the microdischarge cavities in a coaxial configuration to increase the packing density such that multiple sources can be placed together into a grouped arrangement (e.g. an array) for alignment with an illumination optical element. The coaxial arrangement, relative to the planar capacitor, leads to higher areal density when viewed from the EUV emission direction. Other arrangements are possible and should not depart from the scope of the invention.

Array Fabrication

[00128] For array integration, the individual unit cells can be formed into a variety of 2D and 3D shapes with the only limitations placed on engineering the power, gas and thermal cooling interconnects 600 (if necessary). A preferred configuration for ease of construction is a 2D planar array in a grid-like pattern 500 or hexagonal close-packed pattern 505 to achieve a high areal density of light sources, see Figures 21 and 22.

[00129] Such an array could be fabricated with individual unit cells and assembled into a composite array, assembled into groups of unit cells, or fabricated as a single large-scale integrated unit. There are advantages and disadvantage for each approach, since the large- integrated unit would be difficult to machine and any error could render the entire unit susceptible to failure; however, the single fabrication has excellent stability and positioning alignment that is important for optical alignment downstream in the illumination system. The use of an addressable array where each discharge cell can be individually charged/fired provides a means of circumventing this limitation by eliminating defective unit cells from operation, leaving the remaining array usaffected and usable. Whereas, it is relatively easy to machine an individual unit cell and integration into a large array is difficult with all of the interconnects; in addition, if the microdischarge devices are fabricated <400μm in critical dimensions, individual tolerances may vary significantly and lead to error propagation. If the length-scale is sufficiently small enough, then MEMS-scale fabrication may enable easier large array integration. Thus, the integration of the microdischarge unit cells into a large- scale array is an engineering challenge but is possible with the conventional and MEMS-scale fabrication techniques outlined earlier.

[00130] A simple array fabrication technique may involve precision laser or micro-EDM machining of the electrodes and aligned with a set of contacts, similar to processing overlay in semiconductor layering manufacturing. High precision can be maintained with sub- micrometer tolerances as demonstrated in modern-day IC manufacturing and similar techniques for alignment can be adapted for microdischarge array fabrication and integration.

MICRO EUV SOURCE TECHNOLOGY & ADVANTAGES

EUV Emission

[00131] The array of sources can be plasma-based, particle beam or other generation mechanism. In the preferred embodiment, the sources are small discharge produced plasma sources where a current flows through the gas to generate an electrical discharge and the resistive heating and induced magnetic field compression effects cause the radiator fuel (a gas

or vaporized solid/liquid) to emit photons in the soft x-ray or extreme ultraviolet band suitable for photolithographic techniques and processing (radiation wavelength of EUWSXR is about 1 to 20 nm).

[00132] During the current drive through the plasma column 408, resistive heating between the electrons and the working fluid (such as Xe gas) will lead to local power deposition and plasma heating. As the current is increased through the plasma column, discharging the energy storage capacitor and completing the circuit, the self-generated magnetic field will serve to contract the plasma column to a smaller radius. This also heats the plasma and increases the electrical resistance allowing more power deposition into the local working fluid. There is a tradeoff between operating in a purely resistive mode and allowing magnetic compression and pinching. When the plasma is hot enough, electron collisions with working fluid atoms will result in excitations and emission of radiation characteristic to the wavelength of de-excitation. The goal is to tailor the plasma pinch heating, duration, and size to yield a high degree of energy conversion into EUV light for use in the lithography process. Similarly, this processes can be extended to other SXR processes and wavelengths.

[00133] At large plasma dimensions on order of millimeters, the plasma is conductive enough that resistive heating is not sufficient to achieve high enough temperature for EUV emission. The current state of the art in EUV source technology by companies such as Cymer 400,, Xtreme 402,, and Philips 403 rely on the MHD compression and pinch action to achieve high temperatures for EUV emission, as shown in Figures 4-6. As mentioned previously this is not without cost since the plasma source volume exhibits spatial instability and also there are unwanted effects such as fast ion generation and pinch breakup. [00134] On smaller length scales, plasmas have been observed to exhibit non-equilibrium electron energy distribution functions, since sheath and pre-sheath effects may acquire more beam-like characteristics. As a result, the electron energy distribution 411 may have a higher energy tail and non-Maxwellian statistical distribution leading to higher EUV/SXR light generation efficiency, see Figure 39. Shaping the microdischarge cavity to promote higher electron temperatures, while minimizing ion heating may be important for minimizing electrode erosion and the generation of dangerous plasma instabilities or fast ion generation. In addition, the higher resistivity of the small characteristic length plasma leads to more efficiency heating without requiring MHD compression of shock heating to achieve EUV emission, see Figure 40. This leads to improved conversion efficiency of electrical energy

input into the plasma into usable light without negative effects. In addition, smaller length scale plasma light generation is inherently more uniform across the emission volume or channel since the plasma is itself significantly spatially uniform, see Figure 43.

Fast Discharge Physics

[00135] The plasma discharge can take many forms, but a preferential embodiment is a microdischarge with the energy storage device (i.e. a capacitor) built into the electrode system to minimize the system inductance to allow extremely fast circuit response leading to high average currents for plasma heating. This fast current effect can put a higher fraction of stored energy into the plasma during the time period for light emission, which is favorable and leads to improved conversion efficiency into desired radiation (especially EUVYSXR). [00136] One of the problems with larger-sized plasma sources under development for EUV lithography, Cymer dense plasma focus 400, Philips pseudospark 403 and vacuum arcs, or Xtreme Z-pinch 402 is that the current pulse must be long to generate light with a large enough source diameter for maximizing the etendue from a single source. Therefore these plasma pulses are MHD unstable and will decay through dangerous instability modes generating extremely high energy ions and debris particles, enhancing local electrode erosion and generating sputtered metal contaminants for the optical system downstream, and causing source spatial and temporal drift in focal point for optical collimation. The latter affects shot stability and image quality for the downstream optics and lithography or imaging step. Variations from shot-to-shot affect the dose uniformity and also dose control. [00137] Laser produced sources 203 using filmentary jets or microdroplets, such as the Cymer lithium LPP and Xtreme tin LPP, do succeed in getting the source volume down into the 50-500 micrometer diameter. However there is significant shot noise and shot jitter due to compounding effects from: (1) spatial drift of the droplets or filament from the high- velocity injection system (for 10kHz operation or more), (2) laser-target misalignment, (3) laser defocusing, (4) plasma interaction effects with debris mitigation, applied magnetic fields, etc.), and other facility effects. These negative affect the downstream imaging optics and place limitations on the lithography tool capabilities.

[00138] The present innovation seeks to mitigate these undesirable MHD effects by operating the plasma discharge and pinch on a timescale faster than the onset time for MHD instability, thereby stabilizing the plasma, improving source stability and minimizing unwanted high-energy ions and debris generation. If the conditions are tuned by varying

system parameters, for example, the voltage, gas pressure, electrode configuration, electrode material choice, triggering method, admixtures, pressure gradient, capillary and/or pseudospark effects, then it is possible to generate a fast plasma pinch that is stable to ion acoustic and magnetosonic MHD instabilities. To achieve this effect, the source volume may be decreased in size to accommodate the smaller length scales for faster discharge times, as simulated in Figures 39-40. Similarly, if the discharge is resistive enough the plasma will not rely on MHD compression and sufficient yield can be achieved. Therefore, the collectable light from a microdischarge source will be considerably less than the contemporary source mentioned above (see Fig. 42), albeit without the unwanted high energy erosive ions and sputtered electrode debris. However, the source uniformity and ability for multiplexing will allow linear addition of multiple units to achieve the required light emission within the etendue limitation of an optical system for lithographic applications, see Figure 36. [00139] A critical aspect of a fast pinch time is MHD stability to ion acoustic and magnetosonic wave propagation. If the current pulse is over before the characteristic ion acoustic time is reached (based on a conservative estimate on ion temperature at the peak plasma temperature), magnetosonic disturbances are also suppressed since the Alfven velocity is low during the pinch (most compression is achieved due to smaller initial starting radius). Therefore, the pinch is stable to m=0 sausage mode instability and potentially dangerous inductive voltage transients are eliminated. While the electron drift velocity exceeds the ion thermal velocity in the pinch channel, potentially leaving the current pulse susceptible to current-driven microinstabilities, the shortness of the current pulse leaves radial ion motion virtually undisturbed in the channel and stiff to m=l kink perturbations. [00140] By exploiting this fast physics regime, the operation of a microdischarge source with both resistive heating and some MHD heating without significant production of fast ions could be achieved. This will lead to improved lifetime of the microdischarge electrodes and reduced effects on downstream optical components. This is especially important if an array of microdischarges were inserted within the illumination optics system and integrated with fly's-eye optical elements 202 for advanced Kδhler illumination 215 (see Figure 35) as discussed in a later embodiment of the present invention.

Fast Microdischarge Current Pulses

[00141] Due to the integrated micro capacitor-electrode configuration, very fast current rise times can be achieved with small source volumes. The pseudospark effect enables very

large current slew rates exceeding 10 12 A/s in the plasma channel; therefore, high currents exceeding -IkA can be achieved over periods ~nsec for sufficient plasma heating to generate EUV/SXR or DUV/VUV emission. In addition, the small device dimension lowers the voltage requirements to achieve required electric fields for pseudospark operation; this makes the pulse forming network fairly simple for rapid triggering and efficient current drive. [00142] Simulations were performed on several small microdischarge cavities with an integrated coaxial capacitor with a lumped parameter series RLC model. The dimensions, capacitance, plasma conditions, repetition rate and electrode materials were chosen based on conditions to simulate an EUV system. Figure 39 and 40, shows two examples for discharge optimization based on varying aperture, emission volume length, capacitance, gas pressure, charging voltage, etc. Conversion efficiencies near 3% of electrical energy into EUV 2% bandwidth light in 4pi steradian were obtained for xenon. Other plasma radiations, such as Sn, are considerably higher. Plasma current pulses with peak currents in the ~100- 1000 A range with timescales in the 20-200nsec range are calculated. Plasma temperatures in the 10- 15eV range are obtained with a mean charge state of 8 to 10. Note the high fraction of pinch time relative to total current pulse length will increase the effective conversion efficiency of plasma power into EUV light generation compared to other systems. These values are greater than those obtained by the large scale EUV sources under development since the emission volume is well defined and there is little excess plasma outside of the capture region for the optical system.

Limited High-Energy Ion Production

[00143] Due to the fast current pulse, localized MHD instabilities are suppressed simply because the discharge timescale is faster than the ion thermal and magnetosonic propagation speed; pinches that operate on these timescales are termed "fast" due to this effect. The small length scales and low system inductance due to the integrated capacitor of the microdischarge array allows extremely fast circuit response. In fact, the, ion energy should stay relatively low while the electrons are non-Maxwellian and not in thermodynamic equilibrium. Therefore, the influence of high-energy ion erosion on the primary collection optic should not be a problem for surface roughening (reflectivity) or physical erosion (lifetime). [00144] Traditional larger EUV sources are plagued by fast particle and ion emission that leads to downstream optical component erosion, electrode physical sputtering and also reshaping of electrodes that alter the plasma dynamics in the source. Data presented at the

EUVL Symposium in Miyazaki 2004 showed LPP sources at Sandia National Laboratory reporting >40keV Xe ions and DPP sources at Xtreme Technologies GmbH have reported 8keV peak Xe ion energy. These values are enough to greatly lower collector and downstream optic lifetimes into the 100's of hours, since the fast particles will impact the delicate optical components and rapidly destroy them.

Simple Pulsing Network

[00145] The nanoscale current pulse can ultimately be tailored to yield the longest duration plasma pinch with relevant density and temperature conditions for efficiency Xe EUV emission. This will involve careful selection of unit cell parameters, gas pressure and applied voltage; however, as demonstrated in the reference case, conditions for EUV emission are feasible. In the preferred embodiment, the energy-storage capacitor is integrated with the actual microdischarge device with low-inductance, high-dielectric constant and sufficient voltage standoff.

[00146] The microdischarge dimensions are smaller than conventional discharge light sources and a consequence is that lower voltages are required to achieve the same levels of electric field intensity and current drive. As a result the voltages required to drive the current pulse in the plasma are significantly reduced compared to conventional DPP sources from Cymer, Xtreme and Philips; instead of 4-2OkV drive voltage required, the typical operation voltages for the micro discharges is 300V-1200V. Therefore the fast charging power supply and pulse network can be very simple and robust since each unit cell is directly integrated with its own low-inductance capacitor. Instead of using a complicated external pulse shaping network, such as those used by Cymer 301, Xtreme, 301 and Philips 300 (see Figures 4-6) that use magnetic pulse compression and IGBT switching, the individual microdischarge source can be engineered with a multi-component capacitor or shaped transmission line (see Figure 62) to achieve the desired superposition of capacitance, inductance and resistance to achieve improved current pulse shaping for improved EUV emission, reduction in out-of- band radiation or other effects.

[00147] The microdischarge plasma device can be operated at a high operation frequency due to the small length scales increasing electron-ion recombination rate. With rapid charging of the internal capacitor, frequencies >20kHz can be obtained with commonly available transistor components, see Figure 63. This greatly improves the capability and cost-effectiveness of the power supply and capacitor recharging supply, since there is little

high voltage to worry about. This significantly decreases the cost and complexity of a pulse forming network for high rep rate operation, since there is no pulse compression stage 301 necessary. This greatly simplifies the cost and complexity of the pulsed power system driver compared to the larger EUV source systems. In addition, each microdischarge unit can be individually addressed without much additional engineering for spatial and temporal modulation.

Plasma Radiation Volume Spatial Stability

[00148] The stability of the plasma EUV source is important for the collection optics for accurate image transfer to the reticle. However, the pinch physics and MHD instabilities lead to variations in the position of the pinch 401 and also cause the introduction of spatial jitter and zippering (or micropinch.es). In the present invention, due to the enhanced stability of the plasma discharge to MHD effects the plasma radiation source will be well defined and exhibit improved stability relative to the larger sources. This will improve the optical collection capability and also improve the dose uniformity at the mask and wafer. [00149] The individual microdischarge source will not produce nearly as much power per discharge firing compared to the source intensities 401 achieved by Cymer, Philips or Xtreme. However, when fired at high repetition rate, the total unit power is increases. If the microdischarge source is then multiplexed with its neighboring units in the array, the total EUV power can rival or exceed the current state-of-the-art light sources due to the superior cooling and power dissipation advantages of a distributed array of sources.

High Rep Rate Operation

[00150] As mentioned earlier, one benefit of the microdischarge smaller length scale is a lower total operating voltage compared to conventional EUV light sources (many of the aforementioned large light sources operate in the range of 4-2OkV). While the operational voltage may be 600V, the local electric fields are comparable due to the small length scales

(E = V/d). The lower voltage allows thinner dielectric interfaces in capacitor construction, which in turn lowers the total system inductance and allows faster rise time. A key aspect of this lower voltage is simpler power supply 300 and capacitor charging components resulting in lower cost and faster recharge time.

[00151] The reset time of the plasma discharge source will be limited by the plasma decay time to open the electrically conducting circuit, this reset time depends on the device length

scale. Many of the aforementioned DPP EUV sources under development are limited in repetition rate <6-10kHz due to this reset time. In the case of the present invention, the microdischarge source fast current pulse (typically on the order of 0.1-1 OOnsec) and the smaller characteristic length scale of the device (typically on the order of 0.2-20,000μm) leads to fast recombination and switch reset action. Therefore, the microdischarge sources can be operated at higher repetition rate without difficulty and operation >20kHz is achievable. This is highly desirable for improved EUV dose control at the reticle and wafer stages.

Dose Control

[00152] In addition to high repetition rate firing of the microdischarge sources, the individual sources firing in an array increase the effective repetition rate, since there are N sources firing at R repetition rate, see Figure 37. Thus, for an array with 1000 sources operating at 10kHz, the effective repetition rate is 1OM pulses/second. This further improves the dose control especially for lithographic applications to distribute the emission into a more continuous light source is desired. This is important since during EUV lithographic processing, for example scanning, the mask and wafer stages are moved relative to each other with high speed (see Fig. 33) resulting in only a few (on order 5-20) shots per unit area on the wafer with a conventional DPP or LPP light source operating at 5-1OkHz; therefore, any instability or error with the light source will directly effect the exposure at the wafer as much as 20%. For a microdischarge light source array at an effective rep rate of 10MHz would see 5k-20k shots across the exposure field... lowering the instability or error per shot to less than 0.02%! This would approximate a nearly continuous light source from the perspective of the mask and wafer stages (as shown in Figure 37). This adds significant value to the lithography tool since it lowers constraints on the optical system.

Improved Thermal Management and Distribution

[00153] As identified in the background section, one of the greatest challenges to light source development is thermal management. In the large-scale state-of-the-art light sources under development, thermal loading near the plasma emission or pinch location can rival or exceed that of fusion reactors. The present invention distributes this power loading across many different sources such that the individual power at each microdischarge element is small. This prevents localized melting, temperature-enhanced sputtering, and vaporization

effects that will lead to degradation of the microdischarge system. An illustration of this effect is shown in Figure 38.

[00154] As noted in the background section, source multiplexing is required to increase the total power delivered into an optical system since the sources are operated at their thermal limit. One such multiplexing scheme is shown in 200. The microdischarge system is able to overcome the difficulties associated with multiplexing large, bulky, powerful sources as shown in Figure 7.

[00155] In addition to spatially distributing the power loading relative to the current state- of-the-art EUV sources, the present invention will operation at repetition rates —10kHz. This means that the peak thermal loading during each pulse cycle is further reduced such that thermal shocks can be avoided. This ensures longer lifetime of components and also the stability of the source.

[00156] Depending on the number of sources in the array and the total input power, the calculated average power loading per unit cell is estimated to be about lW/mm2. This is then distributed in 4pi sterradians onto electrode surfaces, insulators, collector elements, and any other barriers, filters or structural components. Since the sources microdischarge sources will operate at a frequency of -10-20 kHz (limited by the power supply and unit cell capacitor refresh capability), the peak power loading is distributed over the current pulse and plasma expansion duration of 10-100 ns. This results in a peak thermal loading of ~5-10 kW/cm 2 and is manageable from an operational envelop perspective with appropriate cooling channels 600 and thermal management.

[00157] The present innovation breaks up the total light input into multiple segments that can have individual cooling channels or heat sinks 600 to transport the excess heat and energy away from the electrodes and insulating surfaces to minimize the thermal degradation effects. As will be shown in later sections, using innovative optical illumination placement and the spatial illumination capabilities of the microdischarge source array, the required EUV light intensity can be achieved for high- volume manufacturing of integrated circuit components and advanced microscopy imaging.

Reduced Electrode Debris Emission

[00158] The present invention significantly reduces the electrode erosion compared to the current state-of-the-art larger EUV sources, leading to improved source lifetime and downstream optical management. Electrode erosion is caused primarily by a combination of

physical effects including ion bombardment in the cathode plasma sheath region, high-energy particle bombardment generated during MHD instabilities and other plasma disruption events, and high-temperature vaporization and melting effects. [00159] The latter effect is minimized in the microdischarge array due to the aforementioned thermal spatial distribution and high repetition rate operation to minimize the peak thermal loading on the electrode surfaces (from photons and particles). The MHD component is greatly reduced by the suppression of instabilities by fast current stabilization of the microdischarge, further minimizing the electrode erosion contribution. This leaves the contribution from the plasma sheath in the cathode region; however, the operational voltages for the microdischarge sources are significantly lower than employed in the current state-of- the-art, resulting in lower sputtering yields and electrode wear.

[00160] Therefore the cathode will be the largest source of electrode debris caused by ion impact sputter erosion. Since the drive voltages with the smaller microdischarges will be 300-1200 V, the ion sputter yield will be significantly lower than other DPP sources. One advantage of the hollow-cathode capillary-discharge pseudospark microdischarge configuration is that most sputtered electrode material is redeposited inside the cathode due to wall recycling (i.e. electrode material is usually collisionally re-ionized in the bulk plasma and redirected into the wall with high sticking coefficient). The remaining fraction that makes it into the bulk plasma environment will have a geometric escape probability 406 to exit the cathode region that is bounded by the escape solid angle due to the narrow aperture 102 and influenced by gas-phase scattering 405, as illustrated in Figure 15. Other discharge configurations have varying degrees of debris trapping and recycling, and a tradeoff with device fabrication, EUV output, conversion efficiency and other considerations can be made with microdischarge source selection.

[00161] In addition, the self-magnetic field in the plasma channel and/or dielectric wall charging during the current pulse restricts particle erosion in the shaped aperture improving the lifetime of the critical dimension for the hollow cathode configuration. The anode will see little erosion since it is very close to the plasma potential during the discharge pulse and bombarding ion energies will not be appreciable during the pulse due to suppression of MHD instability and near-adiabatic plasma expansion in the anode region as the current pulse terminates.

ADDITIONAL EUV SOURCE PHYSICS Optical Repumping

[00162] One of the main inefficiencies in discharge plasma light sources is that a large fraction of the radiated light is not capturable by collection optics due to the presence of the discharge electrodes, support structure, cooling channels, triggering and gas feeds, etc. In addition to the desired photon wavelength, e.g. 13.5nm, there are other photons emitted that transport energy out of the system, eventually winding up as waste heat. A prime example is the highly probable 1 lnm xenon unresolved transition array where there are many more probable transition states compared to the 13.5nm 2% bandwidth location. As reported in the literature, for optimized plasma temperatures in larger-scale EUV DPP sources about 4-5 times as many 11-nm photons are emitted from the plasma than 13.5-nm photons. Therefore it is desired to recollect some of this wasted energy back into the plasma for re-radiation into the desired photons on an optical path suitable for collection.

[00163] Optical repumping is achieved by reflecting uncaptured radiation back into the plasma column for readsorption and pumping of excited states to have a chance for re- emitting photons in the desired wavelength and direction for light collection. Such repumping would increase the effective conversion efficiency since otherwise lost energy is recaptured in the plasma and potentially emitted into useable light.

[00164] One configuration employs the use of Mo-Be multilayer mirrors 208 with a xenon plasma to reflect 11-nm light 211 back into the pinched plasma region to optically pump excited states that could transition into desired 13.5nm light 210. The reflecting surfaces can be built directly into (or part of) the microdischarge source itself. Multiple configurations are possible with a desired configuration in the capillary discharge tube arrangement where the tube walls can reflect light back into the plasma column to increase the conversion efficiency, examples are shown in Figure 17. Other pumping configurations are of interest for stimulated emission or partial coherent emission and are considered to be within the scope of the present invention.

Stimulated Or Directed Emission

[00165] Desired radiation is emitted during the plasma current pulse in the microdischarge configuration by promoting excited states of plasma ions and achieving line transitions and electron decay. It is possible to stimulate emission by population inversion. During the emission phase, the plasma radiators will be excited and will convert electron collisional

energy into radiation photons. If a seeding photon propagates through the plasma, then stimulated emission can occur that is amplified by additional photons added to the coherent light stream. A lasing effect can happen depending on the level of population inversion achieved in the plasma volume over the pinch duration. This is especially important for using excimer or exciplex radiators in the plasma, such as ArF, KrF, XeF, Ar2, Kr2, Xe2, N2, 02, etc.

[00166] The advantageous aspect of stimulated emission is the directionality of the emitted photons. Since the radiated EUV/SXR light is normally emitted isotropically in 4π steradian, only a fraction of the usable light is capturable. It is therefore advantageous to promote emission in the forward direction (i.e. towards the collection optics) to capture more EUV light. If stimulated emission could be achieved, then a greater fraction of the generated EUV would propagate in the desired direction (as opposed to 4π emission) and a greater fraction could be collectable.

[00167] In the preferred embodiment, a normal incidence optical mirror element (i.e Mo/Si mulilayer mirror 209) is placed on the rear of one electrode to reflect in-band radiation through the central region of the plasma pinch to stimulate and promote de-excitation in the direction of optical propagation, (see Figure 16). This also has the added benefit of redirecting otherwise lost light back into the optical collection. Therefore the "effective" conversion efficiency of the microdischarge light source can be increased. However, this effect is limited by opacity and plasma critical density for reabsorption. [00168] In one embodiment, a seed light source is modulated to direct photons through the pinch plasma region to stimulate emission along the desired optical axis. In a different embodiment, if the stimulated response is high enough, the desired wavelength could be injected through the rear of one microdischarge cell to drive emission in the forward direction 210. If multiple units were stacked end-on-end, it is possible in principle to eliminate the need for a light collecting element and use the directed coherent photons in a similar manner as lithography from a synchrotron or undulator source. Such a configuration could be very advantageous for other microscopy applications, in addition to lithography.

Source Fuel Flexibility

[00169] Xenon is an advantageous fuel choice for EUV since it is non-fouling, is easy to store and is noble. However, there are other fuels that offer better radiation and photon- emission characteristics, such as lithium, tin, etc. There are other emission-candidate

elements, such as oxygen, iodine, antimony, indium, tellurium, etc. that exhibit transitions in a plasma state that could be used for generating EUV or other soft x-ray spectra. [00170] It is possible to operate the microdischarge arrays with tin or lithium, resulting in an increase in conversion efficiency between 2-5 times that of xenon. Lithium injection can be accomplished with resistive heating or thermal control for appropriate vapor injection into the plasma pinch region. In the case of Sn, due to the low vapor pressure, injection can be achieved within the hollow cathode by means of a Sn liquid solder feed; that source could be operated with a mixture of Sn and Xe, allowing gas-phase startup. Other carrier gas or metal- organic compounds are possible, such as tin hydride, tin chloride, etc.

[00171] The increase in EUV output comes at a price, since there are complications related to clogging of the cathode region, vapor phase migration to insulating surfaces and also chemical reaction considerations in the case of lithium. As will be shown later, the placement of the microdischarge array inside the illumination optic (see Figure 20) requires a clean source to minimize the effect on delicate fly's-eye optical components, suggesting the use of xenon as the radiation of choice in the preferred embodiment.

Out of Band Radiation Limitation

[00172] One important consideration for EUV/SXR light source development is the generation of out-of-band radiation that can affect downstream optical components and exposure at the wafer for lithographic processing. The fast current rise time and pinch phase of the microdischarge source will lower the generation of out-of-band radiation (see Fig. 49) relative to the current state-of-the-art larger EUV DPP sources; however, there may still be a need for reduction in these other wavelengths. Therefore, a thin material or spectral purity filter 216 could be used to limit this out-of-band radiation. The use of lithium as a radiator limits this out-of-band signal due to its narrow linewidth and small number of electron orbital combinations.

LIGHT COLLECTION

Etendue Limitations

[00173] The usable optical light from a source is limited by an invariant quantity called the etendue, which is represented by the product of the numerical aperture squared and the source area. Or alternatively, it can be represented as the solid angle of the collected light multiplied by the source area. In an optical system, the illumination and projection optics is usually

limited by a maximum value for light that can be transferred. For a state-of-the-art EUV lithography 0.25NA scanner tool, as reported by stepper/scanner manufactures, the value is approximately 3.3 mm 2 -steradian. Therefore, it is important to keep the source-optical system etendue less than this invariant quantity such that light energy is not wasted or lost in the system leading to inefficiency, although in some cases it may make sense to produce light beyond this etendue value to ensure uniformity in illuminating the edges of a pupil for example.

[00174] Multiple source configurations are possible to generate the required EUV and distribute thermal loading, including 10's to 1000's of individual point sources since the unit cell width (including thermal cooling channels, anode, cathode, energy-storage capacitor, etc.) can be 20mm down to 20μm (as detailed previously in the microdischarge technology section and Figure 36). The small source volume in the pinch region should allow multiplexing within the etendue and entrance solid angle considerations of the illumination optics.

Microdischarge-Optic Configurations

[00175] The light generated during the plasma pulse can be collected or projected into an illumination system for use in lithography or microscopy applications. The preferred technique is that individual microdischarge unit cells can be configured with a grazing- incidence collector optic that is integrated with the microdischarge electrode assembly, see Figure 25. This ensures that there is proper alignment with the microdischarge array and also take advantage of integrated thermal management. The light collector substrate could be mechanically shaped (by mold forming and release — Media Lario or other techniques) for accurate light projection; and/or the optical material (Ru or Pd) deposited by pulsed laser deposition, electroforming, or other method, onto the parabolic or ellipsoidal surface to obtain adequate RMS surface roughness for 13.5-nm specular reflection. In the one embodiment, the collectable solid angle is about 1.6 steradian with a single bounce at 7.5- 22.5° grazing incidence; resulting in a ~20% 2π collection efficiency (see Figure 25). This is comparable to first generation collector systems employed by Xtreme, Cymer and Philips as reported in the literature, see Figure 2.

[00176] Such individual small collection optics 213 can be integrated with each individual light source region 407 to improve the optical collection and direct a greater fraction of the emitted EUV radiation towards the illumination optical system. In the case of a single

grazing incidence collection optic with a capture angle of approximately 15° to 45° will result in about 1.6 steradian solid angle of light captured, see Figure 44. The optical material can be made from the family of materials exhibiting strong specular and grazing angle reflection, such as ruthenium, molybdenum, rhodium, palladium, etc. (see Figures 25). The grazing incidence collection optic could be manufactured from standard optical techniques, such as diamond milling with surface polishing and a mold-release method with a nickel electroform to yield the 0.5nm RMS surface roughness levels required for minimal loss of reflectivity for soft x-ray light incident on the surface, particularly 13.5nm EUV light for lithography. [00177] Other optical collection configurations are possible, such as multi-bounce mirrors, multi-shell collectors (see Figure 45), wider solid angle collection systems or even 2D optical systems, such as a parabolic collector 213 to collect emitted light from an array into a sheet for projection into an illumination optic (see Figure 28). As such, the captured EUV/SXR light can be collimated and directed axially, divergent or towards a focal point. There are multiple configurations possible with minor variations in angle, direction, material, etc. and are included within the scope of this invention.

[00178] Alternatively, no optical collection can be used and the light emitted from each microdischarge source can simply be projected into an illumination system; however, the usable solid angle will likely be small given the optical integration techniques available due to the relatively large distances between the source and optic without construction (see Figure 26). This technique works well with a compact array of elongated sources, see Figure 31. However, a portion of this inefficiency is regained by eliminating the reflectivity loss encountered with an optical collector, typically 20-40% that is dependent on angle, surface roughness and material. The no-optical collection works well with engineered stimulated emission and/or enhanced radiation along the optical axis.

Light Capture Optimization

[00179] There is an optimization on useable light capture efficiency that can be performed on a microdischarge array. Since the microdischarge plasma pulse can be adjusted by varying the discharge parameters 411, such as electrode geometry 100, gas pressure, aperture characteristic dimensions 102, charging voltage, circuit capacitance and inductance, etc., the conversion efficiency can be optimized for a series of emission volume characteristics, namely: source area and source length, see Figure 41. Based on the microdischarge unit cell size 501, after accounting for support structure, electrodes, insulators, cooling channels, etc.,

there is a maximum sized light collection element that can be attached for an integrated source-collector system. This size sets the available solid angle of collection possible, coupled with the available solid angle of emission from the plasma volume, see Figure 47. Thus, there is a tradeoff between the discharge length (for resistive heating) and source area for larger solid angle of emission. Both of these quantities are then further optimized with the number of sources in an optical array with the etendue constraint of the projection optics or remaining illumination optics system to which the light source is attached, see Figure 48.

MICRODISCHARGE EUV SOURCE ARRAY MULTIPLEXING

[00180] The present invention directly addresses each of the aforementioned critical challenges and limitations with respect to the published state-of-the-art in EUV, namely:

Source Multiplexing & Power Generation

[00181] Each microdischarge source will have a relatively small radiation area, typically less than 1 OOμm diameter. In the case of a 1 Oμm radius radiating plasma column with a 1.6 steradian collection element, the individual source etendue is approximately 5 x 10 "4 mm 2 - steradian. Therefore it is advantageous to multiplex these sources into a source array to add up to the etendue limitation of the projection optics system to maximize available light for an EUV lithographic system. For a lithographic system with 2.5 mm 2 -steradian, then 5,000 individual light sources could be spatially multiplexed within this etendue (see Figure 36). Likewise, a plasma radiation column of 1 OOμm radius would allow for only 50 spatially multiplexed sources, without temporal multiplexing, for a fixed 2.5 mm 2 -steradian etendue. [00182] Within this array volume, a considerable amount of EUV light power can be generated and utilized in an optical system, satisfying the increasing demands from scanner manufacturers for high- volume manufacturing conditions. Ideally, a microdischarge source- optic array would be optimized to fill the total system etendue and also achieve required thermal and illumination goals. Armed with this knowledge, it is advantageous to design an illumination optical system that will incorporate enough sources to achieve the desired Kδhler illumination, while minimizing the cost and construction expense for the optical integrator and microdischarge source array and optical elements, if any. As will be detailed later, a high areal density could be an option for providing greater illumination uniformity in combination with the fly-eye optical system.

Efficient Source Bundling

[00183] As alluded earlier, the second important feature of the microdischarge array is improved thermal management. By distributing the plasma pinch regions into an array, the power dissipation and thermal loading on the electrode surfaces is likewise distributed, see Figure 38. Therefore it is conceivable to lower the per-unit power levels to a manageable state with adequate cooling between units. This is another advantage to spatially multiplexing sources. As shown in Figure 21 and 23, the microdischarge unit cells can be modularly designed to form a series of high-flow coolant channels 600between units. A cooling manifold could provide water or other high heat capacity fluid between the individual sources and redirected to a large heat exchanger external to the vacuum system. [00184] By spreading the shot energy out across multiple sources in a distributed array, the transient heat and particle loading at each microdischarge element can be reduced. This is important, since sputtering rates are energy and thermal threshold dependent, in addition to, localized melting. Due to the distributed nature of the microdischarge source array, thermal sinks and cooling channels can be introduced into the array structure to transport heat out of the system, thereby increasing the total power dissipation.

[00185] This directly overcomes a critical challenge of DPP EUV light sources where limited electrode cooling leads to local melting and increased erosion effects.

Debris Generation & Electrode Erosion

[00186] The microdischarge source arrays have another advantage with respect to the current state-of-the-art large-scale EUV sources, namely limited debris generation. Due to the fast electrical discharge circuit response and localized MHD instability, dangerous fast particle generation can be minimized and electrode erosion by fast particle impact significantly reduced. The reduction in fast ion generation during the fast plasma discharge in the microdischarges will greatly improve the downstream optical component lifetime; this aspect is an excellent benefit with the microscale plasma sources.

[00187] In the current state-of-the-art DPP EUV sources, debris is filtered downstream with expensive optical foil traps that are 80% transmissive to light but capture >90% of sputtered electrode debris and particles. More importantly, fast ion and neutral particle generation in these sources places a large strain on the collection optics and other optical components placed downstream, since these are eroded by the incoming fast particles; as a result, optical lifetime is significantly reduced. Lifetimes between 10 to 1000 hours are

reported in the literature with the inclusion of debris mitigation systems. Secondary plasma sources, pulsed electric repeller fields, and intense magnetic deflection attempts to divert electrode material from reaching the optical components downstream; however, there are difficulties in these additional cleaning systems since they absorb additional light generated from the source.

[00188] Thus, the improved thermal management of the microdischarge array reduces the local electrode temperature and improves sputter resistance (which is a surface barrier potential phenomenon). If the microdischarge is configured with an enclosed cathode cavity (see Figure 15), such as a hollow cathode with small exit aperture, then eroded electrode material will have a low escape probability and a large fraction will be redeposited inside the cathode cavity. In addition, the inclusion of a spectral purity filter 216 or thin-foil pressure barrier 217 can eliminate material from entering downstream components and introducing contamination.

Physical Size & Source Array Configuration

[00189] Another advantage of the microdischarge source array is size; since the individual plasma sources are small, the total array size can be manageable and completely scalable. In fact there is no limit on number of sources other than structural supporting and maintaining optical alignment. Thus, arrays with 100s to 10,000s of sources are readily achievable. Since the energy storage capacitors are directly integrated into the microdischarge sources themselves, the physical volume taken by the array is manageable. [00190] A benefit for lithography is that the array components are compact easily integrated into an illumination optical system without much trouble; whereas, the current state-of-the-art EUV light sources require significant footprint and infrastructure for the light source. This reduction in size and efficiency means lower cost and space in the fabrication plant clean room.

[00191] In addition, there are many variations in the configuration of the microdischarge source array, such as planes, rings, spherical shells, parabolic sections, etc. (see Figure 29). The limitations are dependent on manufacturing and assembly considerations (i.e. cost). One reason for making a non-uniform array would be to match a source-image plane into a desired shape, such as a hypothetical optical element to mimic the reflection of incoming light. Alternatively, an array could be planer but staggered in the vertical orientation to provide the correct angle of light emission, as shown in Figure 27.

Source Lifetime & System Availability (Up-Time)

[00192] The lifetime of individual microdischarge sources 501 will be influenced by the positive effects of the fast current pulse 408, low sputtering rates of electrode components, and lower thermal loading and component wear. Therefore, the lifetime of the microdischarge source array 500 will be improved compared to the larger EUV DPP sources; however, the smaller size of the microdischarges does limit the total amount of material, since there is less material to erode before the device may become unusable. The current state-of-the-art DPP EUV systems have reported electrode lifetimes on the order of 100- 1000s of hours, requiring time-intensive maintenance and source down-time. [00193] In addition, the decreased downstream optical component wear (or contamination) and improved component lifetime decreases operational expense for the microdischarge light source array since change-outs are less frequent.

Source Stability & Rep Rate

[00194] One important aspect of the microdischarge source array is source stability and repetition rate. As reported in the literature, there is considerable movement of the plasma pinch location over the lifetime of a DPP electrode due to zippering effects, erosion of the electrodes, and deposition onto insulator surfaces causing non-uniformities in the current distribution during the plasma pinch. These are shown in Figures 2-4 for state-of-the-art DPP sources. Therefore the usable light from the DPP sources will degrade over time since the light source volume will spatially shift outside of the etendue optics.

[00195] In the present invention, the fast current pulse yields excellent plasma stability and repeatability is high (as shown in Figure 43); therefore, the spatial repeatability of the EUV source for light collection is significantly improved with respect to the state-of-the-art. In addition, the microdischarge is capable of handling high repetition rates with spatial and temporal multiplexing of the array to yield a more uniform EUV dose to the reticle and wafer for improved exposure. This is a significant improvement with respect to the current state-of- the-art.

OPERATION OF THE MICRODISCHARGE DEVICES

[00196] The operation of the microdischarge devices are similar to a conventional plasma discharge with modification for the faster circuit response on the small length scale. The

microdischarge array would be situated within a vacuum system with suitable pumping and cleanliness to achieve a low base pressure <10 "7 Torr. The microdischarge array would be mounted to a suitable frame and positioning system to make appropriate alignment in angle and distance from the optical elements 201 in the illumination system. The microdischarge array will have attachments for coolant circulation 600, gas supply for the working fluid 303, such as xenon, electrical leads for powering the components in the array 304, and inputs for a triggering mechanism to assist with the initiation of the electric discharge in each unit cell. [00197] It is convenient to regard each microdischarge plasma source as a mini-plasma switch that is charged up, triggered to be conducting, discharging and then resetting the switch for the next firing. The switch reset time is analogous to semiconductor devices where there is a dead-time or reset time needed before the device can operate again. This recovery time is based on the depletion of charge carriers in the microdischarge switch which enables the device to reset, hold-off voltage, and rebuild gas pressure, allowing the device to enter the conducting state at a future time.

[00198] A generic sequence for the operation of the microdischarge array is listed as follows: (1) charge up the energy storage capacitor in the microdischarge unit cell to the desired operating voltage, typically 300V- 1200V; (2) flow gas into each microdischarge unit cell, either continuously or pulsed (e.g. puffing) to the entire array or only the microdischarge unit cells to be fired, (3) provide ignition through Paschen self-breakdown or by external triggering means, such as front/backlighting, plasma injection, electron beam, etc.; (4) initiate fast electrical discharge current flow culminating with the heating of the plasma column by a combination of physical effects, primarily by resistive heating and/or magnetic compression;

(5) generating light out of a shaped aperture with a range of spectra determined by the plasma density, temperature, optical transparency, gas mixture, and geometry of the microdischarge;

(6) collection of light on optical condenser surfaces, either associated with each microdischarge cell or downstream at another optical component for use; (7) plasma expansion at each microdischarge cell, depletion of energy from the energy-storage capacitor below a threshold value resulting in the termination of the current pulse; (8) plasma recombination on electrode surfaces resulting in the resetting of the plasma switch (i.e. nonconducting state) and gas replenishment within the microdischarge unit cell for the next firing; (9) continuous thermal cooling and removal of excess heat to maintain the microdischarge unit cell at a suitable temperature to minimize melting and fluctuations in

circuit parameters; (10) recharging of the energy-storage capacitor for the next microdischarge switch firing.

[00199] In one of the embodiments of the present invention, the individual microdischarges can be individually triggered and digitally addressed (see Figure 63) to produce variable temporal and spatial light outputs to improve dose uniformity or generate specific source-aperture configurations for depth-of-focus considerations. In this manner, a control system would direct the triggering and control the powering of specific microdischarge unit cells to achieve the desired effect such as simulating an aperture wheel beam selector (see Figure 32). There are many different combinations possible for operating the array to achieve different illumination effects and only a few samples have been included herein. This effect is very important since the microdischarges in the array can be modulated to produce customized illumination patterns 214 (greyscaling) or particular illumination profiles (normal, annular, oblique, quadrapole, etc.) as shown in Figure 32. This enables maskless lithography applications of the light source the source itself is used as the mask to transfer bright and dark field information to the wafer or image plane (see Figure 67).

SOURCE-OPTICS CONFIGURATIONS State-Of-The-Art Illumination System Technology

[00200] As described in the background section, an example of a state-of-the-art is shown in 250 illustrating a multi-element illumination and projection optics exposure scanning system for EUV lithography in Figure 8. Incoming light from a large-scale LPP or DPP light source 203 enters the system and undergoes a series of bounces/reflections off these mirror elements. In this configuration, there are a series of optical beam shapers, fly's-eye mixing elements 202, optical integration elements 201, and beam stop apertures 204 which are necessary to deliver highly uniform illumination of the mask/reticle for projection onto the wafer. Each reflection reduces the light intensity by approximately ~30% due to the reflection loss associated with normal incidence Bragg mirrors or grazing-incidence specular reflection mirrors.

[00201] The present invention significantly improves on the state of the art by incorporating the microdischarge source array technology directly into the illumination optics pathway closer to the mask/reticle, effectively eliminating optical elements 212. The microdischarge EUV source array 500 in the present invention is essentially a large number of spatially multiplexed DPP light sources with unique capabilities for advanced illumination

using convention illumination system technology. Having developed the microdischarge source array for generating EUV/SXR radiation, there are several embodiments for injecting the light into the illumination system.

Direct Source Replacement

[00202] The simplest method to utilize the microdischarge EUV light source array 500 is to directly replace current large-scale DPP or LPP source 203 (outlined in the background section). This is the most straightforward approach that solves the thermal management issue by distributing the sources and improves the lifetime and debris generation; however, the required EUV light power is increased somewhat compared to large-scale systems since the light collection efficiency is lower (~1.6 steradian collector vs. a 5 steradian for a Cymer LPP or a 3.6 steradian collector for a Xtreme DPP). Utilizing xenon as the plasma radiator with a ■ 115W or 200W intermediate focus requirement results in a very high total power dissipation >200kW. Operation with tin or lithium vapor improves the situation significantly, but again introduces some problems for contamination downstream. [00203] One method for direct source replacement would be to position the microdischarge array elements to approximate the light distribution from the current 5 steradian LPP collection optic; shows a configuration where the sources are arrayed along an aspherical shell 500 directing light towards the intermediate focus 210 to achieve this effect. This is represented in Figure 29. Each microdischarge point source will generate an EUV beam with a ring-shaped intensity distribution that is injected in to the illumination optic system. With enough microdischarge point sources, sufficient mixing will occur within the fly-eye illumination optics 202 to maintain uniform illumination at the imaging plane. Since the aspherical source-collector surface can be moved further from the intermediate focus, microdischarge point source elements can be added to increase EUV power while satisfying the entrance solid angle limitation of the illuminator.

[00204] An improvement on the direct source replacement is to place the microdischarge source array after the intermediate focus at the location of the first illumination optic 212. Since the role of the first illumination optic is to take the light from the intermediate focus and transform it into a planar circular beam for projection onto the first fly-eye mirror 202, a planar point source array 500 placed along the principle ray of the first illumination optic would also satisfy this requirement. By eliminating this optical element, the total EUV source power requirement drops by 30% by reduction of one multilayer mirror bounce. This

is important since the overall efficiency of the source-optic configuration improves the tool marginal utility.

ADVANCED ILLUMINATOR CONFIGURATION

[00205] The next sections outline an advanced illumination configuration that takes advantage of the 2D spatial array of microdischarge sources.

Fly's-Eye Optical Integration

[00206] Reported in the literature are many optical systems that will take the non- uniformities in the incoming light stream and spatially break up the light into segments for mixing to yield a more uniform average light distribution at the mask plane 215. [00207] As demonstrated by Foo (in the background section and Figure 9), a fly's-eye optical integrator 202 can be constructed with a series of individual parabolic reflective segments that are formed from an off-axis segment of a parent parabolic surface. Collimated radiation is incident on the individual parabolic reflective segments, generating images of secondary sources that are in a plane perpendicular to the propagation direction of the collimated radiation 205, where the imaged secondary sources are coincident with the focal points of each individual parabolic reflective element 202. The individual parabolic elements can have varied uniformity and come parameters to compensate for known variations in the preceding or proceeding optical system. This secondary source image can be seen in the ASET HiNA experiments 205 in Figure 11.

[00208] In one embodiment of the present invention, the microdischarge source array can direct light into a fly's-eye optical element for separation into a series of discretized light segments for projection into an optical integrator for mixing and illumination onto a mask, as shown in Figure 30. By placing the microdischarge array at the location of the first fly's-eye mirror 202, the emitted EUV light can be spatially mixed and then recombined onto the mask/reticle.

Microdischarge Secondary Source Imaging

[00209] The nature of the fly's-eye optical element is to break up the incoming light stream into a planar array of secondary light sources for projection onto the reticle achieving more uniform illumination, see Figure 10. The present innovation takes the microdischarge source technology and incorporates the point-like plasma sources into a similar spatial array

and physically places the microdischarge source array into the illumination system at the secondary image plane 205 (see Figure 18). The individual microdischarge sources act like focal points for the fly's-eye optical element and can produce usable light patterns for illumination of the reticle. This will mix and average the EUV light resulting in a uniform field at the mask 206, or Kohler illumination 215. The optical emission configuration of the microdischarge sources can be configured or tailored to meet parameters desired by the illumination system designers, such as collection angle, focal length, beam divergence angle, source area, source spatial layout, etc. for example. In particular, the source emission volume can be adjusted by the shaped aperture 102 to yield an source image that replicates the effect of the 2 nd fly's-eye optic 202. This allows the microdischarge source to completely simulate the upstream illumination optical system, see Figure 20.

[00210] In the preferred embodiment of this invention, the microdischarge source array is configured into a spatial pattern designed to mate with the corresponding optical integration element just prior to mask illumination (see Figure 26 and 27). Due to the spatial distribution and optical performance of the microdischarge array, the output of the entire fly's-eye optical network can be mimicked; thereby efficiently generating EUV light at a location deep within the illumination system for maximum effectiveness, as shown in Figure 20. [00211] This allows the elimination of 4-5 upstream optical elements 212 and reduces the total light power required for the illumination system since there are less optical bounces and losses associated with mirror reflections. Thus, the power requirement can be reduced by -75% over the stated intermediate focus specification.

Microdischarge Light Collection

[00212] To achieve the necessary light distribution on the optical integration element, the individual microdischarge unit cells are mated with a corresponding collector element designed to project light with the appropriate divergence angle, effective focal length, etc. This is shown in Figure 25. In the preferred embodiment, each individual unit cell has its own collection element to direct the collected EUV power into the optical integration element. In another embodiment, there are no collection elements needed and each microdischarge source projects a small solid angle of light onto the illumination optical element, see Figure 26. This configuration is well suited for a large array of microdischarges where collection angle is small to satisfy etendue constraints.

[00213] The microdischarge source array can be configured in a flexible geometry or arrangement, such as, for example, a staggered matrix of elements to maintain a point source image plane orientation relative to the mask, entrance pupil or other desired optical reference location in the illumination or projection optics system. One configuration has a series of staggered rows that are mated with a corresponding optical integrator such that the focal distance for each source is equal to each other, as shown in Figure 27.

Illumination/ Aperture Wheel Configurations

[00214] In the current state of the art in EUV/SXR illumination, it is preferable to have spatially separate optical paths of light in an off-axis configuration. By this method, variable apertures and beam shaping configurations are employed in the illumination system and projection optics system to vary the numerical aperture ratio between both systems and affect the coherence factor, and thus, adjust the resolving power, depth of focus and process latitude (see Figure 33) for lithographic exposure. In combination with the fly's-eye optical integrator, the aperture stops 204 are used within the illumination system to produce normal, annular, special oblique, and other light intensity distributions at the pupil position of the projection optics, see Figure 32.

[00215] One significant improvement over the state of the art in illumination is that with a microdischarge source array having a plurality of light source elements, there are many configurations, depending on which light sources are fired or rasterized by external control, to replicate the desired light intensity field for the illumination (see Figure 32). As such, the microdischarge array could generate a circular field, an annular field, a quadrapole or other light source intensity distribution. Addressability could be computer controlled and varied to match the desired partial coherence value. Most importantly, custom illumination profiles can be generated by spatial and temporal modulation of sources to produce an optimized illumination pattern for each lithography step, similar to maskless lithography (see Figure 67). When necessary, the microdischarge source array could be modulated such that different levels of partial coherence are achieved, thereby allowing the scanner lithographer an added degree of flexibility with process window design and depth of focus control. [00216] Since the apertures restrict some of the captured radiation that is within the allowable etendue for the projection optics system, it may be advantageous to configure multiple microdischarge source arrays that are spatially engineered to collect light for annular illumination or circular illumination or oblique illumination. Such arrays would concentrate

desired radiation for the open aperture areas to minimize the wasted light in the illumination system; thereby decreasing the required EUV/SXR source intensity for viable high volume manufacturing. For example, if the light is concentrated in an annular ring spatial configuration, then there is no need for a 2 mirror optical shaping element to transform the standard circular distribution, as shown in Figure 8. Eliminating 1-2 optical elements means a decrease in required source power by ~30-50%.

[00217] In addition to varying the effective numerical aperture, there are other possibilities for varying the process latitude, such as having source array elements which are slightly offset from the secondary image plane or at wider angle.

Improved Spatial Uniformity

[00218] The spatial uniformity is achieved with the fly's-eye optical components by breaking the incoming irregular light distribution into segments and then averaging them at the mask imaging plane. Having a greater number of segments generally results in more efficient spatial averaging and optical mixing to produce Kδhler illumination at the entrance pupil of the projection optics, see Figure 35. The microdischarge source array can be designed with an optimal number of individual elements to achieve sufficient illumination uniformity across the pupil, while maintaining sufficient plasma source volume, collection solid angle and number of sources to satisfy the etendue limitation of the projection optics.

Optical Element Reduction

[00219] Compared to standard EUV illumination optical systems for the larger single source configurations, the application of the microdischarge source arrays can lead to reduction in the total number of optical mirrors required to achieve the same illumination effect. Decreasing the total number of mirrors in the illumination system decreases the required EUV light power required from the source to achieve the same level of intensity at the wafer for photoresist exposure.

[00220] In the preferred embodiment, the microdischarge EUV source array is placed within the illuminator optical system as close to the mask location as possible while still maintaining adequate spatial uniformity and illumination. Figure 20 shows the optimal placement of the microdischarge array at a location before the optical integrator element. Using the spatial recombination and fly's-eye aspects of the microdischarge source configuration, this advanced illumination configuration results in the elimination of four

optical elements (the planarizer element, the beam expander, the first fly's-eye element, the second fly's-eye element and rotating aperture). In addition, the microdischarge array completely eliminates the primary collection optic (and its associated buffer gas and attenuation) before the intermediate focus and replaces it with its own collection array tied to each individual microdischarge source. The net result is a reduction in 4-5 optical elements with -30% loss in reflectivity each. Therefore, the "required" EUV light power emitted and collected from the microdischarge array will be reduced by >75% compared to the conventional state-of-the-art system (see Figure 2).

Reduced Cost Of Ownership

[00221] A key aspect of this invention is improvement in the overall cost of ownership with respect to the current state-of-the-art (large LPP or DPP source-optic configurations). In addition to the improvement in physical effects (erosion, optical lifetime, EUV emission, repetition rate, thermal management, etc.), the placement of microdischarges in the illumination system will result in a net reduction in the total number of optical elements (bounces) required to deliver light at the reticle. The reduction in optical elements reduces the required EUV light power necessary to achieve photoresist exposure at the wafer stage. Therefore, there is a net cost savings in initial capital cost (optical elements and the DPP source array) and operational costs (power and recurring maintenance), lowering the projection in Figure 4. Decreased footprint will save valuable clean room space. A relatively simple pulsing circuit leads to reduced EUV source cost and improved system reliability. The reduction in debris generation and erosive particle flux improves the lifetime of downstream optical elements, not only reducing replacement cost but also improves tool availability (up time).

[00222] At the time of drafting this disclosure, the best mode of this invention would be a microdischarge array based on the hollow-cathode capillary-discharge pseudospark configuration with thermal cooling channels using xenon gas as the working fluid. A 20cm x 20cm array of 1024 microdischarges would be configured with a shaped aperture to optimize the source image transfer to allow array placement at the fly's-eye secondary image plane in the illumination optical system of an EUV tool. This would eliminate 5 optical elements from the system, improve dose stability and dose uniformity, decrease shot noise and improve source spatial stability, enable customized greyscaling and illumination profiling, and also improve thermal management for scaling to higher powers.

[00223] Figure 64 shows an exploded system-level view of the light source system 700, showing the spectral prurity filter 216, the hibachi-like support and cooling frame 217, the integrated collector array 213, the microdischarge array 500, with cooling channels 600, integrated energy storage capacitors 302, and addressable charging lines 304. [00224] This configuration gives maximum current carrying capability with limited debris generation and high pressure gradients to minimize downstream EUV gas readsorption. The unit cell dimension would be sized to yield best plasma emission volume for collection onto a built-in ruthenium grazing-incidence optical collector with a stable, resistive current pulse at 10-2OkHz rep rate. The operating pressure and driving voltage would be similarly chosen with maximization of the conversion efficiency for EUV emission including some optical repumping from the cathode interior by using molybdenum as the electrode materials. The number of units in the array would be matched to yield equal to allowable etendue of the projection optics system for maximum illumination with consideration for placement into the illumination optical system as close to the reticle/mask location while preserving light uniformity. In addition, the microdischarge source elements would be addressable to yield aperture shaping and temporal sequencing for improved dose uniformity at repetition rates >20kHz. The resulting system would reduce the number of optical elements by 5, lowering the effective EUV source power required by over 75% and allowing a xenon fueled solution.

[00225] AU references, including publications, patent applications, and patents, cited herein are hereby incorporated by reference to the same extent as if each reference were individually and specifically indicated to be incorporated by reference and were set forth in its entirety herein.

The use of the terms "a" and "an" and "the" and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms "comprising," "having," "including," and "containing" are to be construed as open-ended terms (i.e., meaning "including, but not limited to,") unless otherwise noted. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly

contradicted by context. The use of any and all examples, or exemplary language (e.g., "such as") provided herein, is intended merely to better illuminate the invention and does not pose a limitation on the scope of the invention unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the invention. While this document sometimes refers to "the invention," or the best mode of practice, it will be appreciated that all such references are to specific embodiments of the invention and do not, and are not intended to, convey a limitation as to every embodiment of the invention.

[00226] Preferred embodiments of this invention are described herein, including the best mode known to the inventors for carrying out the invention. Variations of those preferred embodiments may become apparent to those of ordinary skill in the art upon reading the foregoing description. The inventors expect skilled artisans to employ such variations as appropriate, and the inventors intend for the invention to be practiced otherwise than as specifically described herein. Accordingly, this invention includes all modifications and equivalents of the subject matter recited in the claims appended hereto as permitted by applicable law. Moreover, any combination of the above-described elements in all possible variations thereof is encompassed by the invention unless otherwise indicated herein or otherwise clearly contradicted by context.

REFERENCES

US 6,231,198 Foo Reflective Optical Integrator

US 6,195,201 Koch ' Reflective Fly's Eye Condenser For EUV Lithography

US 6,665,051 Komatsuda Illumination System and Exposure Apparatus and

Method

US 2004/0129895 Goldstein Source Multiplexing In Lithography

US 6,801,298 Goldstein Light Condenser

US 2004/0108473 Melnychuk Extreme Ultraviolet Light Source

US 6,031 ,241 Silfvast Capillary Discharge Extreme Ultraviolet Lamp

Source...

US 6,894,298 Ahmad Arrangement for Generating EUV Radiation...

US 2004/0155207 Kleinschmidt Arrangement for the Generation of EUV Radiation

US 6,861,656 Murakami High-Luminosity EUV-Source Devices For Use...

US 6,016,027 DeTemple Microdischarge Lamp

US 2004/0160162 Eden Microdischarge Devices and Arrays

US 6,667,484 Koshelev Radiation Source, Lithographic Apparatus, Device...

US 6,788,763 Neff Device for Producing an EUV and SXR Radiation

From...

US 2004/0183037 Klein Method and Device for Producing EUV and SXR

Radiation

US 6,408,052 McGeoch Z-Pinch Plasma X-Ray Source Using Surface

Discharge...

US 6,414,438 Borisov Method of Producing Short- Wave Radiation From a

Gas...

US 5,175,755 Kumakhov Use of a Kumakhov Lens For X-Ray Lithography

US 6,654,446 Bender III Capillary Discharge Source

US 5,760,963 Mori Fly-Eye Lens, Illumination Optical Apparatus...

US 5,691,541 Celgio Maskless, Reticle-Free, Lithography

Bakshi ed., EUV Sources For Lithography, SPIE Press, 2006

Oizumi et al., "Lithographic Performance Of High-Numerical- Aperture (NA=O.3) EUV

Small-Field Exposure Tool (HiNA), Proceedings from SPIE 2005 Microlithography,

0277-786X. Choi et al., "The Micro Plasma Pulse Discharge EUV Source", Poster Presentation, EUV

Source Workshop, San Jose March 2005. Jurczyk et al., "Hollow-Cathode Capillary-Discharge Pseudospark Pulsed Power Units", published abstract, DOD SBIR Phase I award abstract.

Attwood, Soft X-Rays and Extreme Ultraviolet Radiation: Principles and Applications, 1999. Kubiak, Short Course on EUV Lithography, SPIE Workshop, 2003. Madon, Fundamentals of Microfabrication, CRC Press, New York, 1997. Frame et al., "Planar microdischarge arrays", Electronics Letters, 34, 15, JuI 1998, pp. 1-3. Frank et al., "Scientific and Technical Progress of Pseudospark Devices," IEEE Trans.

Plasma ScL, 27, 4, Aug 1999, pp. 1008-1019. Park et al., "Independently addressable subarrays of silicon microdischarge devices:

Electrical characteristics of large (30x30) arrays and excitation of a phosphor," Appl.

Phys. Lett. 79, 13, Sept 2001, pp. 2100-2102. Eden, et al., Applied Physics Letters, 86, 221501 (2005).

Friedberg, Ideal Magnetohydrodynamics, Plenum Press, New York, 1985. Liberman, Physics Of High-Density Z-Pinch Plasmas, Springer- Verlag, New York, 1999. Rao, Elements Engineering Electromagnetics, Prentice Hall, New York, 1994. Balanis, Advanced Engineering Electromagnetics, John Wiley & Sons, New York, 1989. Von Engel, Ionized Gases, AIP Press, 1997.

Chapman, Glow Discharge Processes: Sputtering and Plasma Etching, Wiley-Interscience, 1980.

SPIE Microlithography Conferences — Emerging Lithographic Techniques (2000-2006)

SEMATECH EUVL Symposium— Annual Conferences (2002-2005)

SEMATECH EUV Source Workshops (2002-2006)

SEMATECH EUV Condenser and Projection Optics Lifetime Workshops (2003-2006)