Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
MOLYBDENUM (0) PRECURSORS FOR DEPOSITION OF MOLYBDENUM FILMS
Document Type and Number:
WIPO Patent Application WO/2022/155090
Kind Code:
A1
Abstract:
Molybdenum(0) coordination complexes comprising ligands which each coordinate to the metal center by nitrogen or phosphorous are described. Methods for depositing molybdenum-containing films on a substrate are described. The substrate is exposed to a molybdenum precursor and a reactant to form the molybdenum-containing film (e.g., elemental molybdenum, molybdenum oxide, molybdenum carbide, molybdenum silicide, molybdenum nitride). The exposures can be sequential or simultaneous.

Inventors:
LEONCINI ANDREA (SG)
MEHLMANN PAUL (SG)
DORDEVIC NEMANJA (SG)
HUYNH HAN VINH (SG)
YONG DOREEN WEI YING (SG)
SALY MARK (US)
BHUYAN BHASKAR JYOTI (US)
Application Number:
PCT/US2022/011797
Publication Date:
July 21, 2022
Filing Date:
January 10, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
NAT UNIV SINGAPORE (SG)
International Classes:
C07F11/00; C23C16/18; C23C16/455
Domestic Patent References:
WO2020185618A12020-09-17
Other References:
"Doctoral Thesis", 27 August 2012, COLUMBIA UNIVERSITY, article SATTLER AARON: "Chemistry of highly reactive group 5 and 6 transition metal compounds: Modeling aspects of the industrial hydrotreating process and synthesis of the first transition metal complexes that feature a [CCC] X 3 -donor pincer ligand", pages: 1 - 466, XP055950792, DOI: 10.7916/D8N58THB
WANG, Y. ; GAO, F. ; TYSOE, W.T.: "Interaction of molybdenum hexacarbonyl with metallic aluminum at high temperatures: Carbide and alloy formation", JOURNAL OF MOLECULAR CATALYSIS A: CHEMICAL., ELSEVIER, AMSTERDAM., NL, vol. 236, no. 1-2, 18 July 2005 (2005-07-18), NL , pages 18 - 31, XP027658145, ISSN: 1381-1169
ZHU GUANG, JANAK KEVIN E., FIGUEROA JOSHUA S., PARKIN GERARD: "Oxidative Addition of Dihydrogen to (η 6 -Arene)Mo(PMe 3 ) 3 Complexes: Origin of the Naphthalene and Anthracene Effects", JOURNAL OF THE AMERICAN CHEMICAL SOCIETY, AMERICAN CHEMICAL SOCIETY, vol. 128, no. 16, 1 April 2006 (2006-04-01), pages 5452 - 5461, XP055950793, ISSN: 0002-7863, DOI: 10.1021/ja058107f
BEZDEK MáTé J., GUO SHENG, CHIRIK PAUL J.: "Coordination-induced weakening of ammonia, water, and hydrazine X–H bonds in a molybdenum complex", SCIENCE, AMERICAN ASSOCIATION FOR THE ADVANCEMENT OF SCIENCE, US, vol. 354, no. 6313, 11 November 2016 (2016-11-11), US , pages 730 - 733, XP055845324, ISSN: 0036-8075, DOI: 10.1126/science.aag0246
DISKUS MADELEINE, NILSEN OLA, FJELLVÅG HELMER: "Growth of thin films of molybdenum oxide by atomic layer deposition", JOURNAL OF MATERIALS CHEMISTRY, ROYAL SOCIETY OF CHEMISTRY, GB, vol. 21, no. 3, 21 January 2011 (2011-01-21), GB , pages 705 - 710, XP055950794, ISSN: 0959-9428, DOI: 10.1039/C0JM01099E
Attorney, Agent or Firm:
WRIGHT, Jonathan B. (US)
Download PDF:
Claims:
What is claimed is:

1. A metal coordination complex comprising molybdenum(O) and one or more ligands, each ligand coordinated by nitrogen or phosphorous.

2. The metal coordination complex of claim 1 comprising one or more monodentate ligand.

3. The metal coordination complex of claim 2, wherein the one or more monodentate ligand is selected from one or more of: and wherein each R may be independently selected from Me-, Et-, iPr-, and tBu-.

4. The metal coordination complex of claim 1 comprising one or more bidentate ligand.

5. The metal coordination complex of claim 4, wherein the one or more bidentate ligand is selected from one or more of: and wherein each R may be independently selected from Me-, Et-, iPr-, and tBu-.

6. The metal coordination complex of claim 1 comprising one or more tridentate ligand.

7. The metal coordination complex of claim 6, wherein the one or more tridentate ligand is selected from one or more of: and wherein each R may be independently selected from Me-, Et-, iPr-, and tBu-.

8. A method of depositing a film, the method comprising: exposing a substrate to a molybdenum(O) precursor comprising one or more ligands, each ligand coordinated by nitrogen or phosphorous; and exposing the substrate to a reactant to react with the molybdenum(O) precursor to form a molybdenum film on the substrate. . The method of claim 8, wherein the molybdenum(O) precursor comprises one or more monodentate ligand. 0. The method of claim 9, wherein the one or more monodentate ligand is selected from one or more of: and wherein each R may be independently selected from Me-, Et-, iPr-, and tBu-. 1. The method of claim 8, wherein the molybdenum(O) precursor comprises one or more bidentate ligand. 2. The method of claim 11 , wherein the one or more bidentate ligand is selected from one or more of: and wherein each R may be independently selected from Me-, Et-, iPr-, and tBu-. 13. The method of claim 8, wherein the molybdenum(O) precursor comprises one or more tridentate ligand.

14. The method of claim 13, wherein the one or more tridentate ligand is selected from one or more of: and wherein each R may be independently selected from Me-, Et-, iPr-, and tBu-.

15. The method of claim 8, wherein the molybdenum film comprises one or more of a molybdenum metal (elemental Mo) film, a molybdenum oxide film, a molybdenum carbide film, a molybdenum silicide film, and a molybdenum nitride film.

16. The method of claim 8, wherein the substrate is exposed to the molybdenum(O) precursor and the reactant sequentially.

17. The method of claim 8, wherein the substrate is exposed to the molybdenum(O) precursor and the reactant simultaneously.

18. The method of claim 8, further comprising purging the substrate of the molybdenum(O) precursor prior to exposing the substrate to the reactant. 19. The method of claim 18, further comprising purging the substrate of the reactant and repeating the method to provide a molybdenum film having a thickness in a range of from about 0.3 nm to about 100 nm. 20. A method of depositing a film, the method comprising: forming a molybdenum-containing film in a process cycle comprising sequential exposure of a substrate to a molybdenum(O) precursor, purge gas, reactant, and purge gas, the molybdenum(O) precursor comprising one or more ligands, each ligand coordinated by nitrogen or phosphorous.

Description:
MOLYBDENUM (0) PRECURSORS FOR DEPOSITION OF MOLYBDENUM FILMS

TECHNICAL FIELD

[0001] Embodiments of the disclosure relate to molybdenum precursors and methods for depositing molybdenum-containing films. More particularly, embodiments of the disclosure are directed to molybdenum(O) precursors containing nitrogen and phosphorous based ligands and methods of use thereof.

BACKGROUND

[0002] The semiconductor processing industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates having larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area of the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer thickness rises. As a result, various technologies have been developed to deposit layers on substrates in a cost-effective manner, while maintaining control over the characteristics of the layer.

[0003] Chemical vapor deposition (CVD) is one of the most common deposition processes employed for depositing layers on a substrate. CVD is a flux-dependent deposition technique that requires precise control of the substrate temperature and the precursors introduced into the processing chamber in order to produce a desired layer of uniform thickness. These requirements become more critical as substrate size increases, creating a need for more complexity in chamber design and gas flow technique to maintain adequate uniformity.

[0004] A variant of CVD that demonstrates excellent step coverage is cyclical deposition or atomic layer deposition (ALD). Cyclical deposition is based upon atomic layer epitaxy (ALE) and employs chemisorption techniques to deliver precursor molecules on a substrate surface in sequential cycles. The cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor and the purge gas. The first and second precursors react to form a product compound as a film on the substrate surface. The cycle is repeated to form the layer to a desired thickness. [0005] The advancing complexity of advanced microelectronic devices is placing stringent demands on currently used deposition techniques. Unfortunately, there is a limited number of viable chemical precursors available that have the requisite properties of robust thermal stability, high reactivity, and vapor pressure suitable for film growth to occur. In addition, precursors that often meet these requirements still suffer from poor long-term stability and lead to thin films that contain elevated concentrations of contaminants such as oxygen, nitrogen, and/or halides that are often deleterious to the target film application.

[0006] Molybdenum and molybdenum based films have attractive material and conductive properties. These films have been proposed and tested for applications from front end to back end parts of semiconductor and microelectronic devices. Processing a molybdenum precursor often involves use of halogen and carbonylbased substituents. These ligands provide sufficient stability at the expense of reduced reactivity, increasing process temperature. Other molybdenum precursors include amide ligands, which can cause nitride impurities. There is, therefore, a need in the art for molybdenum precursors that are free of halogen and carbonyl groups that react to form molybdenum metal and molybdenum based films.

SUMMARY

[0007] One or more embodiments of the disclosure are directed to metal coordination complexes comprising molybdenum(O) and one or more ligands, each ligand coordinated by nitrogen or phosphorous.

[0008] Additional embodiments of the disclosure are directed to a method of depositing a film. The method comprises exposing a substrate to a molybdenum(O) precursor comprising one or more ligands. Each ligand is coordinated by nitrogen or phosphorous. The substrate is exposed to a reactant to react with molybdenum(O) precursor to form a molybdenum film on the substrate.

[0009] Further embodiments of the disclosure are directed to a method of depositing a film. The method comprises forming a molybdenum-containing film in a process cycle comprising sequential exposure of a substrate to a molybdenum(O) precursor, purge gas, reactant, and purge gas. The molybdenum(O) precursor comprises one or more ligands, each ligand coordinated by nitrogen or phosphorous. BRIEF DESCRIPTION OF THE DRAWINGS

[0010] So that the manner in which the above recited features of the disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.

[0011] FIG. 1 illustrates a process flow diagram of a method in accordance with one or more embodiments of the disclosure;

[0012] FIGS. 2A-2C illustrate exemplary metal complexes in accordance with one or more embodiments of the disclosure; and

[0013] FIG. 3 illustrates thermogravimetric analysis data for the compounds of Examples 1 -3.

DETAILED DESCRIPTION

[0014] Before describing several exemplary embodiments of the invention, it is to be understood that the invention is not limited to the details of construction or process steps set forth in the following description. The invention is capable of other embodiments and of being practiced or being carried out in various ways.

[0015] Embodiments of the disclosure provide precursors and processes for depositing molybdenum-containing films. The precursor comprises a metal coordination complex. The metal coordination complexes of one or more embodiments are substantially free of halogens and carbonyl groups. Monodentate, bidentate and tridentate neutral ligands comprising nitrogen and phosphorous are used under ALD and CVD conditions. The process of various embodiments uses vapor deposition techniques, such as an atomic layer deposition (ALD) or chemical vapor deposition (CVD) to provide molybdenum films. The molybdenum precursors of one or more embodiments are volatile and thermally stable, and, thus, suitable for vapor deposition. [0016] The molybdenum coordination complexes of one or more embodiments are substantially free of halogen and carbonyl groups. As used herein, the term "substantially free" means that there is less than about 5%, including less than about 4%, less than about 3%, less than about 2%, less than about 1%, and less than about 0.5% of halogen, on an atomic basis, in the molybdenum coordination complexes. In some embodiments, the molybdenum coordination complexes are substantially free of carbonyl groups, and there is less than about 5%, including less than about 4%, less than about 3%, less than about 2%, less than about 1 %, and less than about 0.5% of carbonyl group, on an atomic basis, in the molybdenum coordination complexes.

[0017] A "substrate" as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present invention, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term "substrate surface" is intended to include such underlayer as the context indicates. Thus, for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

[0018] According to one or more embodiments, the method uses an atomic layer deposition (ALD) process. In such embodiments, the substrate surface is exposed to the precursors (or reactive gases) sequentially or substantially sequentially. As used herein throughout the specification, "substantially sequentially" means that a majority of the duration of a precursor exposure does not overlap with the exposure to a coreagent, although there may be some overlap. [0019] As used in this specification and the appended claims, the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.

[0020] "Atomic layer deposition" or "cyclical deposition" as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface. As used in this specification and the appended claims, the terms “reactive compound”, “reactive gas”, “reactive species”, “precursor”, “process gas” and the like are used interchangeably to mean a substance with a species capable of reacting with the substrate surface or material on the substrate surface in a surface reaction (e.g., chemisorption, oxidation, reduction). The substrate, or portion of the substrate is exposed sequentially to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber. In a time-domain ALD process, exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In a spatial ALD process, different portions of the substrate surface, or material on the substrate surface, are exposed simultaneously to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously. As used in this specification and the appended claims, the term “substantially” used in this respect means, as will be understood by those skilled in the art, that there is the possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion, and that the simultaneous exposure is unintended.

[0021] In one aspect of a time-domain ALD process, a first reactive gas (i.e., a first precursor or compound A) is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay a purge gas, such as argon, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.

[0022] In an aspect of a spatial ALD process, a first reactive gas and second reactive gas (e.g., hydrogen radicals) are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain. The substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.

[0023] Without intending to be bound by theory, it is thought that the presence of halogens, carbonyls groups, and, in some case, oxygen, in the structure of molybdenum (Mo) precursors can pose challenges, as halogen and oxygen contamination may affect device performance and hence require additional removal procedures. Carbonyl (CO) binds strongly to metals, requiring higher thermal budget, or the use of additional reagents for its removal. Carbonyl (CO) can redeposit and poison other metal surfaces.

[0024] Molybdenum (Mo) can be grown by atomic layer deposition or chemical vapor deposition for many applications. One or more embodiments of the disclosure advantageously provide processes for atomic layer deposition or chemical vapor deposition to form molybdenum-containing films. As used in this specification and the appended claims, the term "molybdenum-containing film" refers to a film that comprises molybdenum atoms and has greater than or equal to about 1 atomic % molybdenum, greater than or equal to about 2 atomic % molybdenum, greater than or equal to about 3 atomic % molybdenum, greater than or equal to about 4 atomic % molybdenum, greater than or equal to about 5 atomic % molybdenum, greater than or equal to about 10 atomic % molybdenum, greater than or equal to about 15 atomic % molybdenum, greater than or equal to about 20 atomic % molybdenum, greater than or equal to about 25 atomic % molybdenum, greater than or equal to about 30 atomic % molybdenum, greater than or equal to about 35 atomic % molybdenum, greater than or equal to about 40 atomic % molybdenum, greater than or equal to about 45 atomic % molybdenum, greater than or equal to about 50 atomic % molybdenum, or greater than or equal to about 60 atomic % molybdenum. In some embodiments, the molybdenum-containing film comprises one or more of molybdenum metal (elemental molybdenum), molybdenum oxide (M0O2, M0O3), molybdenum carbide (MoC, M02C), molybdenum silicide (MoSi2), or molybdenum nitride (M02N). The skilled artisan will recognize that the use of molecular formula like MoSix does not imply a specific stoichiometric relationship between the elements but merely the identity of the major components of the film. For example, MoSix refers to a film whose major composition comprises molybdenum and silicon atoms. In some embodiments, the major composition of the specified film (i.e., the sum of the atomic percents of the specified atoms) is greater than or equal to about 95%, 98%, 99% or 99.5% of the film, on an atomic basis.

[0025] With reference to FIG. 1 , one or more embodiments of the disclosure are directed to method 100 of depositing a film. The method illustrated in FIG. 1 is representative of an atomic layer deposition (ALD) process in which the substrate or substrate surface is exposed sequentially to the reactive gases in a manner that prevents or minimizes gas phase reactions of the reactive gases. In some embodiments, the method comprises a chemical vapor deposition (OVD) process in which the reactive gases are mixed in the processing chamber to allow gas phase reactions of the reactive gases and deposition of the thin film.

[0026] In some embodiments, the method 100 includes a pre-treatment operation 105. The pre-treatment can be any suitable pre-treatment known to the skilled artisan. Suitable pre-treatments include, but are not limited to, pre-heating, cleaning, soaking, native oxide removal, or deposition of an adhesion layer (e.g. titanium nitride (TiN)). In one or more embodiments, an adhesion layer, such as titanium nitride, is deposited at operation 105. In other embodiments, molybdenum can be integrated without the need for an adhesion liner.

[0027] At deposition 1 10, a process is performed to deposit a molybdenum- containing film on the substrate (or substrate surface). The deposition process can include one or more operations to form a film on the substrate. In operation 112, the substrate (or substrate surface) is exposed to a molybdenum precursor to deposit a film on the substrate (or substrate surface). The molybdenum precursor can be any suitable molybdenum-containing compound that can react with (i.e., adsorb or chemisorb onto) the substrate surface to leave a molybdenum-containing species on the substrate surface. [0028] Current molybdenum precursors for ALD of metallic films use halogen and carbonyl-based ligands, which provide sufficient stability at the expense of reduced reactivity, increasing process temperature. Amide ligands can cause nitride impurities. Accordingly, one or more embodiments use neutral nitrogen and phosphorous coordinating ligands. These ligands provide molybdenum precursors with improved thermal stability, while retaining high volatility and producing molybdenum-containing films with minimum impurities.

[0029] Unless otherwise indicated, the term "lower alkyl," "alkyl," or "alk" as used herein alone or as part of another group includes both straight and branched chain hydrocarbons, containing 1 to 20 carbons, 1 to 10 carbons, 1 to 6 carbons or 1 to 4 carbons in the normal chain, such as methyl, ethyl, propyl, isopropyl, butyl, t-butyl, isobutyl, pentyl, hexyl, isohexyl, heptyl, 4,4-dimethylpentyl, octyl, 2,2,4-trimethyl- pentyl, nonyl, decyl, undecyl, dodecyl, the various branched chain isomers thereof, and the like. Such groups may optionally include up to 1 to 4 substituents. The alkyl may be substituted or unsubstituted.

[0030] In one or more embodiments, the molybdenum precursor has a general formula of Mo(L1 ) x (L2) y (L3) z where L1 is one or more neutral monodentate ligand, L2 is one or more neutral bidentate ligand, L3 is one or more neutral tridentate ligand, x is 0 to 8, y is 0 to 4, z is 0 to 2 and x+2y+3z is in a range of 4 to 8. In some embodiments, x+2y+3z is equal to 6. The ligands each coordinate by nitrogen or phosphorous. Each class of ligand (e.g., monodentate) may be homogenous or comprise different ligands of the same class.

[0031] In one or more embodiments, the molybdenum precursor, as shown in FIG. 2A, comprises six monodentate ligands. In one or more embodiments, the molybdenum precursor, as shown in FIG. 2B, comprises 3 bidentate ligands. In one or more embodiment, the molybdenum precursor, as shown in FIG. 2C, comprises 2 tridentate ligands. In some embodiments, the molybdenum precursor comprises a combination of monodentate, bidentate and/or tridentate ligands.

[0032] In some embodiments, the monodentate ligand is selected from one or more of:

[0033] In some embodiments, the bidentate ligand is selected from one or more of: [0034] In some embodiments, the tridentate ligand is selected from one or more of:

[0035] In one or more embodiments, each R may be independently selected from Me-, Et-, iPr-, and tBu- substituents. [0036] Without intending to be bound by theory, it is thought that each of the ligands disclosed herein coordinates to the molybdenum metal center through the nitrogen or phosphorous atoms of the ligand. Each ligand is expected to impact the volatility and thermal stability of the metal complex. Accordingly, it is expected that through the judicious selection of ligands, a metal precursor with preferred thermal properties may be produced and used to deposit a molybdenum-containing layer by CVD or ALD.

[0037] As used herein, a "substrate surface" refers to any substrate surface upon which a layer may be formed. The substrate surface may have one or more features formed therein, one or more layers formed thereon, and combinations thereof. The substrate (or substrate surface) may be pretreated prior to the deposition of the molybdenum-containing layer, for example, by polishing, etching, reduction, oxidation, halogenation, hydroxylation, annealing, baking, or the like.

[0038] The substrate may be any substrate capable of having material deposited thereon, such as a silicon substrate, a lll-V compound substrate, a silicon germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a liquid crystal display (LCD), a plasma display, an electro luminescence (EL) lamp display, a solar array, solar panel, a light emitting diode (LED) substrate, a semiconductor wafer, or the like. In some embodiments, one or more additional layers may be disposed on the substrate such that the molybdenum- containing layer may be at least partially formed thereon. For example, in some embodiments, a layer comprising a metal, a nitride, an oxide, or the like, or combinations thereof may be disposed on the substrate and may have the molybdenum containing layer formed upon such layer or layers.

[0039] At operation 1 14, the processing chamber is optionally purged to remove unreacted molybdenum precursor, reaction products and by-products. As used in this manner, the term "processing chamber" also includes portions of a processing chamber adjacent the substrate surface without encompassing the complete interior volume of the processing chamber. For example, in a sector of a spatially separated processing chamber, the portion of the processing chamber adjacent the substrate surface is purged of the molybdenum precursor by any suitable technique including, but not limited to, moving the substrate through a gas curtain to a portion or sector of the processing chamber that contains none or substantially none of the molybdenum precursor. In one or more embodiments, purging the processing chamber comprises applying a vacuum. In some embodiments, purging the processing chamber comprises flowing a purge gas over the substrate. In some embodiments, the portion of the processing chamber refers to a micro-volume or small volume process station within a processing chamber. The term "adjacent" referring to the substrate surface means the physical space next to the surface of the substrate which can provide sufficient space for a surface reaction (e.g., precursor adsorption) to occur. In one or more embodiments, the purge gas is selected from one or more of nitrogen (N2), helium (He), and argon (Ar).

[0040] At operation 116, the substrate (or substrate surface) is exposed to a reactant to form one or more of a molybdenum film on the substrate. The reactant can react with the molybdenum-containing species on the substrate surface to form the molybdenum-containing film. In some embodiments, the reactant comprises a reducing agent. In one or more embodiments, the reducing agent can comprise any reducing agent known of to one of skill in the art. In other embodiments, the reactant comprises an oxidizing agent. In one or more embodiments, the oxidizing agent can comprise any oxidizing agent known to one of skill in the art. In further embodiments, the reactant comprises one or more oxidizing agent and a reducing agent.

[0041] In specific embodiments, the reactant is selected from one or more of 1 ,1 - dimethylhydrazine (DMH), alkyl amine, hydrazine, alkyl hydrazine, allyl hydrazine, hydrogen (H2), ammonia (NH3), alcohols, water (H2O), oxygen (O2), ozone (O3), nitrous oxide (N2O), nitrogen dioxide (NO2), peroxides, and plasmas thereof. In some embodiments, the alkyl amine is selected from one or more of tert-butyl amine (tBuNH2), isopropyl amine (iPrNH2), ethylamine (CH3CH2NH2), diethylamine ((CH3CH2)2NH), or butyl amine (BUNH2). In some embodiments, the reactant comprises one or more of compounds with the formula R'NH2, R 2NH, R 3N, R'2SiNH2, (R'3Si)2NH, (R'sSQsN; where each R' is independently H or an alkyl group having 1 -12 carbon atoms. In some embodiments, the alkyl amine consists essentially of one or more of tert-butyl amine (tBuNH2), isopropyl amine (iPrNH2), ethylamine (CH3CH2NH2), diethylamine ((CH 3 CH 2 )2NH), butyl amine (BuNH 2 ).

[0042] At operation 118, the processing chamber is optionally purged after exposure to the reactant. Purging the processing chamber in operation 118 can be the same process or different process than the purge in operation 114. Purging the processing chamber, portion of the processing chamber, area adjacent the substrate surface, etc., removes unreacted reactant, reaction products and by-products from the area adjacent the substrate surface. [0043] At decision 120, the thickness of the deposited film, or number of cycles of molybdenum-precursor and reactant is considered. If the deposited film has reached a predetermined thickness or a predetermined number of process cycles have been performed, the method 100 moves to an optional post-processing operation 130. If the thickness of the deposited film or the number of process cycles has not reached the predetermined threshold, the method 100 returns to operation 1 10 to expose the substrate surface to the molybdenum precursor again in operation 1 12, and continuing.

[0044] The optional post-processing operation 130 can be, for example, a process to modify film properties (e.g., annealing) or a further film deposition process (e.g., additional ALD or CVD processes) to grow additional films. In some embodiments, the optional post-processing operation 130 can be a process that modifies a property of the deposited film. In some embodiments, the optional post-processing operation 130 comprises annealing the as-deposited film. In some embodiments, annealing is done at temperatures in the range of about 300 Q C, 400 Q C, 500 Q C, 600 Q C, 700 Q C, 800 Q C, 900 Q C or 1000 Q C. The annealing environment of some embodiments comprises one or more of an inert gas (e.g., molecular nitrogen (N2), argon (Ar)) or a reducing gas (e.g., molecular hydrogen (H2) or ammonia (NH3)) or an oxidant, such as, but not limited to, oxygen (O2), ozone (O3), or peroxides. Annealing can be performed for any suitable length of time. In some embodiments, the film is annealed for a predetermined time in the range of about 15 seconds to about 90 minutes, or in the range of about 1 minute to about 60 minutes. In some embodiments, annealing the as-deposited film increases the density, decreases the resistivity and/or increases the purity of the film. In one or more embodiments, annealing can also with performed with a gas under plasma. In one or more embodiments, the annealing temperature may be lower with plasma.

[0045] In one or more embodiments, the plasma comprises one or more of nitrogen (N2), argon (Ar), helium (He), hydrogen (H2), carbon monoxide (CO), carbon dioxide (CO2) methane (CH4), and ammonia (NH3). In some embodiments, the plasma is a remote plasma. In other embodiments, the plasma is a direct plasma.

[0046] In one or more embodiments, the plasma may be generated remotely or within the processing chamber. In one or more embodiments, the plasma is an inductively coupled plasma (ICP) or a conductively coupled plasma (CCP). Any suitable power can be used depending on, for example, the reactants, or the other process conditions. In some embodiments, the plasma is generated with a plasma power in the range of about 10 W to about 3000 W. In some embodiments, the plasma is generated with a plasma power less than or equal to about 3000 W, less than or equal to about 2000 W, less than or equal to about 1000 W, less than or equal to about 500 W, or less than or equal to about 250 W.

[0047] The method 100 can be performed at any suitable temperature depending on, for example, the molybdenum precursor, reactant or thermal budget of the device. In one or more embodiments, the use of high temperature processing may be undesirable for temperature-sensitive substrates, such as logic devices. In some embodiments, exposure to the molybdenum precursor (operation 112) and the reactant (operation 116) occur at the same temperature. In some embodiments, the substrate is maintained at a temperature in a range of about 20 °C to about 400 °C, or about 50 °C to about 650 °C.

[0048] In some embodiments, exposure to the molybdenum precursor (operation 112) occurs at a different temperature than the exposure to the reactant (operation 116). In some embodiments, the substrate is maintained at a first temperature in a range of about 20 °C to about 400 °C, or about 50 °C to about 650 °C, for the exposure to the molybdenum precursor, and at a second temperature in the range of about 20 °C to about 400 °C, or about 50 °C to about 650 °C, for exposure the reactant.

[0049] In the embodiment illustrated in FIG. 1 , at deposition operation 1 10 the substrate (or substrate surface) is exposed to the molybdenum precursor and the reactant sequentially. In another, un-illustrated, embodiment, the substrate (or substrate surface) is exposed to the molybdenum precursor and the reactant simultaneously in a OVD reaction. In a OVD reaction, the substrate (or substrate surface) can be exposed to a gaseous mixture of the molybdenum precursor and reactant to deposit a molybdenum-containing film having a predetermined thickness. In the OVD reaction, the molybdenum-containing film can be deposited in one exposure to the mixed reactive gas, or can be multiple exposures to the mixed reactive gas with purges between. [0050] In some embodiments, the molybdenum-contaming film formed comprises elemental molybdenum. Stated differently, in some embodiments, the molybdenum- containing film comprises a metal film comprising molybdenum. In some embodiments, the metal film consists essentially of molybdenum. As used in this manner, the term "consists essentially of molybdenum" means that the molybdenum- containing film is greater than or equal to about 80%, 85%, 90%, 95%, 98%, 99% or 99.5% molybdenum, on an atomic basis. Measurements of the composition of the molybdenum-containing film refer to the bulk portion of the film, excluding interface regions where diffusion of elements from adjacent films may occur.

[0051] In other embodiments, the molybdenum-containing film comprises molybdenum oxide (MoOx) with an oxygen content of greater than or equal to about 5%, 7.5%, 10%, 12.5 or 15%, on an atomic basis. In some embodiments, the molybdenum-containing film comprises an oxygen content in the range of about 2% to about 30%, or in the range of about 3% to about 25%, or in the range of about 4% to about 20%, on an atomic basis.

[0052] In other embodiments, the molybdenum-containing film comprises molybdenum carbide (MoCx) with a carbon content of greater than or equal to about 5%, 7.5%, 10%, 12.5 or 15%, on an atomic basis. In some embodiments, the molybdenum-containing film comprises a carbon content in the range of about 2% to about 30%, or in the range of about 3% to about 25%, or in the range of about 4% to about 20%, on an atomic basis.

[0053] The deposition operation 110 can be repeated to form one or more of a molybdenum oxide film, a molybdenum carbide film, a molybdenum silicide film, and a molybdenum nitride film, having a predetermined thickness. In some embodiments, the deposition operation 110 is repeated to provide one or more of a molybdenum oxide film, a molybdenum carbide film, a molybdenum silicide film, and a molybdenum nitride film having a thickness in the range of about 0.3 nm to about 100 nm, or in the range of about 30 A to about 3000 A.

[0054] One or more embodiments of the disclosure are directed to methods of depositing molybdenum-containing films in high aspect ratio features. A high aspect ratio feature is a trench, via or pillar having a heightwidth ratio greater than or equal to about 10, 20, or 50, or more. In some embodiments, the molybdenum-containing film is deposited conformally on the high aspect ratio feature. As used in this manner, a conformal film has a thickness near the top of the feature that is in the range of about 80-120% of the thickness at the bottom of the feature.

[0055] Some embodiments of the disclosure are directed to methods for bottom-up gapfill of a feature. A bottom-up gapfill process fills the feature from the bottom versus a conformal process which fills the feature from the bottom and sides. In some embodiments, the feature has a first material at the bottom (e.g., a nitride) and a second material (e.g., an oxide) at the sidewalls. The molybdenum-containing film deposits selectively on the first material relative to the second material so that the molybdenum film fills the feature in a bottom-up manner.

[0056] According to one or more embodiments, the substrate is subjected to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool" or "clustered system," and the like.

[0057] Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present disclosure are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (OLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.

[0058] According to one or more embodiments, the substrate is continuously under vacuum or "load lock" conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are "pumped down" under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants (e.g., reactant). According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants (e.g., reactant) from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.

[0059] The substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed. The substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.

[0060] During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.

[0061] The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated (about the substrate axis) continuously or in discrete steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

[0062] The disclosure is now described with reference to the following examples. Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.

[0063] EXAMPLES

[0064] Example 1 : Preparation of tris[1 ,2- bis(dimethylphosphino)ethyl]molybdenum(0), [Mo{(Me2PCH2)2}s].

[0065] To a solution of bis(ethylbenzene)molybdenum(0) (200 mg, 0.64 mmol, 1 eq.) in toluene (10 mL) 1 ,2-bis(dimethylphosphino)ethane (292 mg, 1.95 mmol, 3 eq) was added. The resulting mixture was stirred at 100 °C for 96 h. The suspension was filtered, the residue washed with toluene (2 x 2mL) and dried in vacuo to obtain the desired product as a pale white solid. Yield: 90% (319 mg).

[0066] 1 H NMR (300 MHz, MeCN-ds): 6 1.34 (s, 18H, P-CH 3 ), 1.20 (m, 12H, PCH2CH2P), 1.16 (s, 18H, P-CH3). 31 P NMR (161.9 MHz, MeCN-ds): 6 39.5 (m).

[0067] Example 2: Preparation of bis( 1 ,4,7-trimethy 1-1 ,4,7- triazacyclononyl)molybdenum(O), [Mo({MeN(CH2)2} 3 )2].

[0068] To a suspension of sodium (94 mg, 4.10 mmol, 7 eq.) in tetrahydrofuran (10 mL) 1 ,4,7-trimethyl-1 ,4,7-triazacyclononane (200 mg, 1.76 mmol, 3 eq.) and [M0CI5] (160 mg, 0.59 mmol, 1 eq.) were added. The resulting mixture was stirred at ambient temperature for 48 h. The solid components were filtered off, the filtrate was evaporated to dryness and the residue was extracted with n-hexane (3 x 3 mL). The solvent was removed in vacuo and the desired product was obtained as a brown oil. Yield: 70% (180.0 mg).

[0069] 1 H NMR (300 MHz, C 6 D 6 ): 5 3.31 (s, 24H, NCH2CH2N), 1.69 ppm (s, 18H, N-CH3). 13 C{ 1 H} NMR (75.5 MHz, C 6 D 6 ): 5 70.4 (NCH2CH2N), 26.8 ppm (N-CH3).

[0070] Example 3: Preparation of tris(2,2’-bipyridil)molybdenum(0), [MO{(NC 5 H4)2} 3 ].

[0071] To a suspension of potassium (188 mg, 4.81 mmol, 6 eq.) in tetrahydrofuran (10 mL) bipyridine (376 mg, 2.41 mmol, 3 eq) and [Mo(THF)2Cl4] (300 mg, 0.80 mmol, 1 eq.) were added. The resulting mixture was stirred at ambient temperature for 48 h. The solid components were filtered off, the filtrate was evaporated to dryness and the residue was extracted with acetonitrile (3 x 3 mL). The resulting dark purple solution was stored at -28 °C for 24 h to obtain the desired product as dark purple crystals. Yield: 63% (285 mg).

[0072] 1 H NMR (300 MHz, MeCN-d 3 ): 68.69 (d, 3 JHH = 4.5 Hz, 3H, bipy), 8.44 (d, 3 JHH = 7.7 Hz, 3H, bipy), 7.90 (t, 3 JHH = 7.7 Hz, 3H, bipy), 7.39 ppm (t, 3 JHH = 4.5 Hz, 3H, bipy).

[0073] FIG. 3 illustrates thermogravimetric analysis data for the compounds of Examples 1 -3.

[0074] Example 4: Atomic Layer Deposition of Molybdenum Containing Films [0075] General procedure: A silicon substrate is placed in a processing chamber. A molybdenum precursor is flowed into the processing chamber in an atmosphere of nitrogen (N2) gas over the silicon substrate leaving a molybdenum-precursor terminated surface. Unreacted precursor and byproducts are then purged out of the chamber. Next, a co-reactant is then introduced into the chamber that reacts with the surface-bound molybdenum species. Again, excess coreactant and byproducts are removed from the chamber. The resultant material on the substrate is a molybdenum- containing film.

[0076] Spatially relative terms, such as "beneath," "below," "lower," "above," "upper" and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary term "below" may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

[0077] The use of the terms "a" and "an" and "the" and similar referents in the context of describing the materials and methods discussed herein (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language (e.g., "such as") provided herein, is intended merely to better illuminate the materials and methods and does not pose a limitation on the scope unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the disclosed materials and methods.

[0078] Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. In one or more embodiments, the particular features, structures, materials, or characteristics are combined in any suitable manner.

[0079] Although the disclosure herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure include modifications and variations that are within the scope of the appended claims and their equivalents.