Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
VARIATION RESISTANT METAL-OXIDE-SEMICONDUCTOR FIELD EFFECT TRANSISTOR (MOSFET)
Document Type and Number:
WIPO Patent Application WO/2013/027092
Kind Code:
A1
Abstract:
Variation resistant metal-oxide-semiconductor field effect transistors (MOSFETs) are manufactured using a high-K, metal-gate 'channel-last' process. A cavity is formed between spacers formed over a well area having separate drain and source areas, and then a recess into the well area is formed. The active region is formed in the recess, comprising an optional narrow highly doped layer, essentially a buried epitaxial layer, over which a second un-doped or lightly doped layer is formed which is a channel epitaxial layer. The high doping beneath the low doped epitaxial layer can be achieved utilizing low-temperature epitaxial growth with single or multiple delta doping, or slab doping. A high-K dielectric stack is formed over the channel epitaxial layer, over which a metal gate is formed within the cavity boundaries. In one embodiment of the invention a cap of poly-silicon or amorphous silicon is added on top of the metal gate.

Inventors:
ASENOV ASEN (GB)
ROY GARETH (GB)
Application Number:
PCT/IB2012/001068
Publication Date:
February 28, 2013
Filing Date:
June 02, 2012
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
GOLD STANDARD SIMULATIONS LTD (GB)
ASENOV ASEN (GB)
ROY GARETH (GB)
International Classes:
H01L29/10; H01L29/51; H01L29/66; H01L29/78
Foreign References:
US20020037619A12002-03-28
US20060046399A12006-03-02
US20020001930A12002-01-03
US20010009292A12001-07-26
US6630710B12003-10-07
Other References:
Y. FU ET AL: "Subband structure and ionized impurity scattering of the two dimensional electron gas in [delta]-doped field effect transistor", JOURNAL OF APPLIED PHYSICS, vol. 78, no. 5, 1 January 1995 (1995-01-01), pages 3504, XP055042415, ISSN: 0021-8979, DOI: 10.1063/1.359984
NODA K ET AL: "A 0.1-MUM DELTA-DOPED MOSFET FABRICATED WITH POST-LOW-ENERGY IMPLANTING SELECTIVE EPITAXY", IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE SERVICE CENTER, PISACATAWAY, NJ, US, vol. 45, no. 4, 1 April 1998 (1998-04-01), pages 809 - 813, XP000753388, ISSN: 0018-9383, DOI: 10.1109/16.662780
ASENOV ET AL.: "Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1- ptm MOSFETs with Epitaxial and 8-Doped Channels", IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 46, no. 8, August 1999 (1999-08-01), pages 1718 - 1724
FUJITA ET AL.: "Advanced Channel Engineering Achieving Aggressive Reduction of VT Variation for Ultra-Low- Power Applications", ELECTRON DEVICES MEETING (IEDM), 2011 IEEE INTERNATIONAL, 5 December 2011 (2011-12-05), pages 32.3.1 - 32.3.4, XP032096049, DOI: doi:10.1109/IEDM.2011.6131657
HOKAZONO, A. ET AL.: "25-nm Gate Length nMOSFET With Steep Channel Profiles Utilizing Carbon-Doped Silicon Layers (A P-Type Dopant Confinement Layer", ELECTRON DEVICES, IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 58, no. 5, May 2011 (2011-05-01), pages 1302 - 1310, XP011476949, DOI: doi:10.1109/TED.2011.2112770
ROY ET AL.: "Random Dopant Fluctuation Resistant 'Bulk' MOSFETs with Epitaxial Delta Doped Channels", ULTIMATE INTEGRATION IN SILICON (ULIS) CONFERENCE, 2007
ASENOV ET AL.: "Suppression of Random Dopant- Induced Threshold Voltage Fluctuations in Sub-0.1-µm MOSFETs with Epitaxial and 8-Doped Channels", IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 46, no. 8, August 1999 (1999-08-01), pages 1718 - 1724, XP011016983
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A metal-oxide-semiconductor field effect transistor (MOSFET) comprising: a well formed over a substrate;

a drain region;

a source region separate from the drain region, the source and drain regions being formed in the top of the well;

a recess formed in the well and extending through an edge of each of the source and drain regions;

a channel epitaxial layer formed in the recess and touching the source and drain regions the channel epitaxial layer having;

a high-K dielectric stack formed over the channel epitaxial layer; and

a metal gate layer formed over the high-K dielectric stack.

2. The MOSFET of claim 1, wherein the channel epitaxial layer has a doping density in the range of zero to 1017 ions/cm3.

3. The MOSFET of claim 1, wherein the recess has one of: vertical sidewalls, tapered sidewalls.

4. The MOSFET of claim 1, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 900 °C.

5. The MOSFET of claim 1, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 750 °C.

6. The MOSFET of claim 1, wherein there is no diffusion of dopants from the source and drain regions into the channel epitaxial layer.

7. The MOSFET of claim 1 , wherein the thickness of the channel epitaxial layer is between 1 nanometer and 25 nanometers.

8. The MOSFET of claim 1, wherein effective oxide thickness of the high-K dielectric stack is between 0.5 nanometers and 3 nanometers.

9. The MOSFET of claim 1, wherein thickness of the metal gate layer is between 40 nanometers and 200 nanometers.

10. The MOSFET of claim 1, wherein the high-K dielectric stack is one of: a mixed oxide of Hafnium, a Hafnium oxynitride on a transitional silicon dioxide layer.

11. The MOSFET of claim 1, wherein the high-K dielectric stack has a dielectric constant of at least 6.

12. The MOSFET of claim 1, further comprising gate spacers formed over the well, the gate spacers defining the sidewall position of sidewalls of the recess.

13. The MOSFET of claim 12 wherein the gate spacers are located on an oxide on the well.

14. The MOSFET of claim 1, further comprising one of:

a poly-silicon cap formed over the high-K dielectric stack; and

an amorphous silicon cap.

15. The MOSFET of claim 1, further comprising a buried epitaxial layer at the bottom of the recess under the channel epitaxial layer, the buried epitaxial layer having a higher dopant concentration than the channel epitaxial layer.

16. The MOSFET of claim 15, wherein the buried epitaxial layer has an ion doping density between 1012 and 1013 ions per square centimeter and having a Dirac delta function distribution.

17. The MOSFET of claim 15, wherein the buried epitaxial layer is an ion doping substantially in a plurality of single planes, each having a doping density between 1012 and 1013 ions per square centimeter, wherein the doping density profile of each single plane having a Dirac delta distribution in corresponding depths.

18. The MOSFET of claim 15, wherein the buried epitaxial layer is a slab having a doping level between 1019 and 1021 doping ions per cubic centimeter.

19. The MOSFET of claim 18, wherein the buried epitaxial layer has a thickness between 0.3 nanometers and 15 nanometers.

20. The MOSFET of claim 1, wherein the well is one of: a P-well, an N-well.

21. A metal-oxide-semiconductor field effect transistor (MOSFET) having a semiconductor region of a first conductivity type with source and drain regions of a second conductivity type therein, comprising:

a recess formed in the well having vertical or tapered side walls and extending through an edge of each of the source and drain regions;

a channel epitaxial layer formed in the recess;

a high-K dielectric stack formed over the channel epitaxial layer; and

a metal gate layer formed over the high-K dielectric stack.

22. The MOSFET of claim 21, wherein the channel epitaxial layer has a doping density in the range of zero to 1017 ions/cm3.

23. The MOSFET of claim 21, wherein thickness of the channel epitaxial layer is between 1 nanometer and 25 nanometers.

24. The MOSFET of claim 2 further comprising a buried epitaxial layer at the bottom of the recess under the channel epitaxial layer, the buried epitaxial layer having a higher dopant concentration than the channel epitaxial layer.

25. The MOSFET of claim 24, wherein the doping level of the buried epitaxial layer is between 1012 and 1013 doping ions per square centimeter at a doping density which is substantially in a single plane wherein the doping density profile having a Dirac delta function.

26. The MOSFET of claim 24, wherein the doping level of the buried epitaxial layer is between 1012 and 1013 doping ions per square centimeter at a doping density which is substantially in a plurality of single planes wherein the doping density profile of each single plane is a Dirac delta distribution in corresponding depths.

27. The MOSFET of claim 24, wherein the buried epitaxial layer is a slab with a doping level in the buried epitaxial layer is between 1019 and 1021 doping ions per cubic centimeter.

28. The MOSFET of claim 24, wherein thickness of the buried epitaxial layer is between 0.3 nanometers and 15 nanometers.

29. A metal-oxide-semiconductor field effect transistor (MOSFET) having a semiconductor region of a first conductivity type with source and drain regions of a second conductivity type therein, comprising:

a recess formed in the well having vertical or tapered sidewalls and extending through an edge of each of the source and drain regions;

a buried epitaxial layer formed in the recess and having a first doping level and a first layer thickness;

a channel epitaxial layer formed over the buried epitaxial layer, the channel epitaxial layer having a second doping level and a second layer thickness, the buried epitaxial layer having a higher doping level than that of the channel epitaxial layer, the channel epitaxial layer touching the source and drain regions;

a high-K dielectric stack formed over the channel epitaxial layer; and

a metal gate layer formed over the high-K dielectric stack.

30. The MOSFET of claim 29, wherein the second doping level is between zero and 1017 doping ions per cubic centimeter.

31. The MOSFET of claim 29, wherein the second layer thickness is between 1 nanometer and 25 nanometers.

32. The MOSFET of claim 29, wherein the first doping level is between 1012 and 1013 doping ions per square centimeter at a doping density which is substantially in a single plane, wherein the doping density profile having a Dirac delta function.

33. The MOSFET of claim 29, wherein the first doping level is between 10 and 1013 doping ions per square centimeter at a doping density which is substantially in a plurality of single planes wherein the doping density profile of each single plane is a Dirac delta distribution in corresponding depths.

34. The MOSFET of claim 29, wherein the second layer thickness is between 0.3 nanometers and 15 nanometers.

35. The MOSFET of claim 29, wherein the buried epitaxial layer is a slab with a doping level between 1019 and 1021 doping ions per cubic centimeter.

36. A method for manufacturing a metal-oxide-semiconductor field effect transistor (MOSFET) comprising:

forming a well region of a first conductivity type in a substrate;

forming a silicon oxide layer over at least a portion of the well region;

forming a poly gate in a first region of the well over the silicon oxide;

forming source and drain regions, the source region being formed in a second portion of the well region that is adjacent the first region, the drain region being formed in a third portion of the well region that is adjacent the first region and separate from the second region;

forming spacers on the silicon oxide at the sides of the poly gate;

clearing at least a portion of the silicon oxide layer;

forming a conductive layer over the poly gate and at least a part of the drain and the source regions;

forming a first interlayer dielectric over at least the first region, the second region and the third region;

polishing the interlayer dielectric to expose a top surface of the poly gate or the conductive layer over the poly gate;

sacrificing the poly gate and any remaining conductive layer over the poly gate to form a cavity between the spacers;

clearing the part of the silicon oxide within the cavity;

etching selectively a recess into the well within the cavity;

forming a channel epitaxial layer in the recess having a first doping level; forming a high-K dielectric stack over the channel epitaxial layer; and

forming a metal gate layer over the high-K dielectric layer.

37. The method of claim 36, wherein the channel epitaxial layer has a doping density in the range of zero to 1017 ions/cm3.

38. The method of claim 36, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 900 °C.

39. The method of claim 36, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 650 °C.

40. The method of claim 36, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 750 °C.

41. The method of claim 40, wherein the MOSFET is part of an integrated circuit having other transistors made by a different process, and wherein the temperature of the integrated circuit is not raised above 750 °C after the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed.

42. The method of claim 36, wherein the first conductive type is one of: negative (N), positive (P).

43. The method of claim 36, wherein forming spacers further comprises:

depositing the spacer material isotropically.

44. The method of claim 43, wherein forming spacers further comprises:

etching anisotropically with vertical impact.

45. The method of claim 36, wherein clearing the part of the silicon oxide within the cavity comprises removal of 3 nanometers to 8 nanometers of gate oxide.

46. The method of claim 36, wherein forming a conductive layer comprises: depositing a siliciding material.

47. The method of claim 46, wherein the siliciding material is selected from at least one of: nickel, platinum, palladium.

48. The method of claim 36, wherein polishing the interlayer dielectric further comprises:

removing of the conductive layer of over the poly gate.

49. The method of claim 36, wherein polishing is performed using chemical mechanical polishing (CMP).

50. The method of claim 36, wherein etching selectively comprises removal of between 10 nanometers to 20 nanometers of the well material within the cavity.

51. The method of claim 36, wherein forming a channel epitaxial layer comprises using one of: atomic layer deposition, low-temperature epitaxy, molecular beam epitaxy.

52. The method of claim 36, wherein the channel epitaxial layer thickness is between 1 nanometer and 25 nanometers.

53. The method of claim 36, wherein the high- dielectric stack is one of: a mixed oxide of Hafnium, a Hafnium oxynitride on a transitional silicon dioxide layer.

54. The method of claim 36, wherein effective oxide thickness of the high-K dielectric layer is between 0.5 nanometers and 3 nanometers.

55. The method of claim 36, wherein thickness of the metal gate layer is between 80 nanometers to 200 nanometers.

56. The method of claim 36 further comprising:

after etching selectively a recess into the well within the cavity and before forming the channel epitaxial layer in the cavity, forming a buried epitaxial layer in the cavity with a greater doping level than that of the channel epitaxial layer.

57. The method of claim 56, wherein the buried epitaxial layer thickness is between 0.3 nanometers and 15 nanometers.

58. The method of claim 56, wherein the buried epitaxial layer has an ion doping density between 1012 and 1013 ions per square centimeter and distributed having a Dirac delta function.

59. The method of claim 56, wherein the buried epitaxial layer has an ion doping substantially in a plurality of single planes wherein the doping density profile of each single plane is a Dirac delta distribution in corresponding depths.

60. The method of claim 56, wherein the buried epitaxial layer is a slab with a doping level of the buried epitaxial layer is between 1019 and 1021 doping ions per cubic centimeter.

61. The method of claim 36, further comprising:

forming a cap over the metal layer in the cavity.

62. The method of claim 61, wherein the cap is made of one of: poly-silicon, amorphous silicon.

63. A method of manufacture for a metal-oxide semiconductor field effect transistor (MOSFET), the method comprising:

forming a poly gate over a well and using the poly gate as a mask for forming source and drain regions;

forming spacers on the sides of the poly gate;

sacrificing the poly gate to form a cavity between spacers;

etching away any protective oxide within the cavity;

etching selectively a recess into the well within the cavity; forming in the recess a buried epitaxial layer having a first doping level and a first layer thickness; and

forming in the recess a channel epitaxial layer over the buried epitaxial layer and having a second doping level and a second layer thickness, the buried epitaxial layer having a higher dopant level than the channel epitaxial layer, the channel epitaxial layer touching the source and drain regions.

64. The method of claim 63 further comprising:

forming a high-K dielectric stack in the cavity over the channel epitaxial layer; and forming a metal gate layer over the high-K dielectric stack in the cavity.

65. The method of claim 64, wherein thickness of the high-K dielectric layer is between 0.5 nanometers and 3 nanometers.

66. The method of claim 64, wherein thickness of the metal gate layer is between 40 nanometers and 200 nanometers.

67. The method of claim 63, wherein etching selectively comprises removal of between 10 nanometers and 20 nanometers of the well material within the cavity.

68. The method of claim 63, wherein forming each epitaxial layer comprises using one of: atomic layer deposition, low-temperature epitaxy, molecular beam epitaxy.

69. The method of claim 63, wherein the first layer thickness is 0.3 nanometers and 15 nanometers.

70. The method of claim 63, wherein the buried epitaxial layer has an ion doping density between 1012 and 1013 ions per square centimeter, distributed as one of: a Dirac delta function in a single plane, a Dirac delta function in a plurality of planes.

71. The method of claim 63, wherein the buried epitaxial layer has a doping level between 1019 and 1021 doping ions per cubic centimeter.

72. The method of claim 63, wherein the channel epitaxial layer has an ion doping density between zero and 1017 doping ions per cubic centimeter.

73. The method of claim 63, wherein the channel epitaxial layer thickness is between 1 nanometer and 25 nanometers.

74. The method of claim 63, wherein the high-K dielectric is one of: a mixed oxide of Hafnium, a Hafnium oxynitride on a transitional silicon dioxide layer.

75. The method of claim 63, further comprising:

forming a cap over the metal layer in the cavity.

76. The method of claim 75, wherein the cap is made of one of: poly-silicon, amorphous silicon.

77. A method of manufacture for a metal-oxide semiconductor field effect transistor (MOSFET), the method comprising:

forming a poly gate over a well and using the poly gate as a mask for forming source and drain regions;

forming spacers on the sides of the poly gate;

sacrificing the poly gate to form a cavity between the spacers;

etching selectively a recess into the well within the cavity;

forming in the recess a channel epitaxial layer having a channel epitaxial layer thickness;

forming a high-K dielectric stack over the channel epitaxial layer; and

forming a metal gate layer over the high-K dielectric stack.

78. The method of claim 77, wherein the channel epitaxial layer has a doping density in the range of zero to 1017 ions/cm3.

79. The method of claim 77, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 900 °C.

80. The method of claim 77, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 750 °C.

81. The method of claim 77, wherein the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed using processes that do not exceed 650 °C.

82. The method of claim 77, wherein the MOSFET is part of an integrated circuit having other transistors made by a different process, and wherein the temperature of the integrated circuit is not raised above 750 °C after the channel epitaxial layer, the high-K dielectric stack and the metal gate layer are formed.

83. The method of claim 77, wherein thickness of the high-K dielectric layer is between 0.5 nanometers and 3 nanometers.

84. The method of claim 77, wherein thickness of the metal gate layer is between 40 nanometers and 200 nanometers.

85. The method of claim 77, wherein etching selectively comprises removal of between 10 nanometers and 20 nanometers of the well material within the cavity.

86. The method of claim 77, wherein forming the channel epitaxial layer comprises using one of: atomic layer deposition, low-temperature epitaxy, molecular beam epitaxy.

87. The method of claim 77, wherein the channel epitaxial layer thickness is 1 nanometer and 25 nanometers.

88. The method of claim 77, wherein the high-K dielectric is one of: a mixed oxide of Hafnium, a Hafnium oxynitride on a transitional silicon dioxide layer.

89. The method of claim 77, further comprising:

forming a cap over the metal layer in the cavity.

90. The method of claim 89, wherein the cap is made of one of: poly-silicon, amorphous silicon.

91. A method of manufacture for a metal-oxide semiconductor field effect transistor (MOSFET), the method comprising:

forming a poly gate over a well and using the poly gate as a mask for forming source and drain regions;

forming spacers on the sides of the poly gate;

sacrificing the poly gate to form a cavity between the spacers;

etching selectively a recess into the well within the cavity;

forming in the recess a channel epitaxial layer;

forming a dielectric layer over the epitaxial layer; and

forming a gate layer over the dielectric layer.

92. The method of claim 91, further comprising:

forming a buried layer at the bottom of the recess prior to forming the channel epitaxial layer.

93. The method of claim 92, wherein the buried layer has a higher doping level than a doping level of the channel epitaxial layer.

Description:
VARIATION RESISTANT METAL-OXIDE-SEMICONDUCTOR FIELD

EFFECT TRANSISTOR (MOSFET)

CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims the benefit of U.S. Provisional Patent Application No. 61/526,033 filed August 22, 2011 and U.S. Provisional Patent Application No. 61/568,523 filed December 8, 2011.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention generally relates to the manufacturing of metal-oxide- semiconductor field effect transistors (MOSFETs), and more particularly to MOSFETs manufactured for reproducibility of threshold voltages among otherwise identical transistors.

2. Prior Art

Random variation in threshold voltage (OVT) of metal-oxide semiconductor (MOS) field effect transistors (MOSFETs) with high-K (high dielectric constant) metal gate stack is caused by some dominant factors: (i) random dopant fluctuations (RDF) in the well and in the pocket implant regions underneath the gate, which, among other things, cause variations in depletion layer thickness; (ii) line edge roughness (LER) which causes random variation in the length of the gate electrode resulting from random variations in profile of the etched gate; and, (iii) metal gate granularity (MGG) which causes random variations in the local work function due to the grain structure of the gate material. There is a fourth source of variation, the random variations in the effective channel length, referred to as random extension fluctuations (RXF), arising from statistical variations in the position of the junction that separates the channel from either the source or the drain extensions. However, as MOSFETs become smaller, the effects of RDF, LER, and RXF increase and become major factors in determining OVT. The first effect, RDF, has recently gained intense attention. The randomness in the position of the drain extension RXF has two principal sources: a) variations in the final position of implanted ions due to scattering; and, b) variations in the activation and positions of the source/drain extension ions as influenced by the activation and subsequent heat treatments.

It is well-known in the art that as MOSFETs move to finer and finer dimensions, variability of the threshold voltage OVT seriously undermines the reproducibility of threshold voltages among otherwise identical transistors. This effect is inevitable, and it is particularly severe in its impact on complimentary MOS (CMOS) static random access memories (SRAM), which use millions of near-minimum sized transistors. Development of ultra-thin silicon on insulator (SOI) structures, e.g., fully depleted SOI (FDSOI), and of three-dimensional transistors (FinFET and Tri-Gate), are largely motivated by a need to reduce the threshold spreads OVT caused by RDF. This trend moves away from the more traditional bulk MOS manufacturing, adversely impacting costs and availability. A cross- section 400 of a standard bulk MOSFET, formed in a gate-last process, is shown in Fig. 4. On bulk 410 of one conductivity type, drain and source areas 420 of an opposite conductivity type are formed. A S1O2 isolation layer 430 is formed over the entire MOSFET transistor, with openings for connections 470 to respective drain and source terminals. In the gate-last process of the MOSFET, the gate is formed by having spacers 440 formed above the S1O2 layer. The S1O2 is removed and typically replaced by a high-K dielectric stack 450 on top of which a metal gate 460 is formed. In certain embodiments the spacers are formed by repeating the deposition-and-etch process.

One method of addressing the RDF problem was described by Asenov et al. in the paper "Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1- μπι MOSFETs with Epitaxial and δ-Doped Channels," IEEE Transactions on Electron Devices, Vol. 46, No. 8, August 1999, Pages 1718 -1724. This approach is consistent with bulk transistors, and does not have the same cost penalties associated with FinFETs and FDSOI. This scheme has three key components: a) placing a thin, approximately 10 nanometer (nm), minimally doped epitaxial layer immediately beneath the gate oxide; b) placing a thinner layer with a very high concentration of either acceptors for an NMOS device or donors for a PMOS device at the boundary of the thin epitaxial layer that is remote from the gate dielectric interface; and, c) incorporating a moderately heavily doped well layer beneath the un-doped epitaxial layer and the highly doped, thinner layer. Similar structures have been demonstrated by Fujita et al. as described in their paper "Advanced Channel Engineering Achieving Aggressive Reduction of V T Variation for Ultra-Low- Power Applications", Electron Devices Meeting (IEDM), 2011 IEEE International, pp.32.3.1-32.3.4, 5-7 Dec. 2011. A cross-section 500 of such an epitaxial transistor is shown in Fig. 5. The epitaxial layer 510 is deposited on the whole silicon wafer after the channel doping implantation through a sacrificial gate oxide and before the formation of the sacrificial polysilicon gate and the source drain regions 525. In some instances, for example, Hokazono, A., et al., in "25-nm Gate Length nMOSFET With Steep Channel Profiles Utilizing Carbon-Doped Silicon Layers (A P-Type Dopant Confinement Layer)", Electron Devices, IEEE Transactions on Electron Devices, vol.58, no.5, pp.1302-1310, May 201 1, carbon is introduced before the epitaxy to retard the diffusion of doping into the low-doped epitaxial region during the source/drain implantation activation. However, this is an exceedingly difficult task and experimentation has shown that the profiles degrade due to subsequent processing steps, resulting in doping penetration into the low-doped epitaxial layer.

Roy, et al. in "Random Dopant Fluctuation Resistant 'Bulk' MOSFETs with Epitaxial Delta Doped Channels," Ultimate Integration in Silicon (ULIS) Conference, Glasgow, Scotland, 2007, further amplified these concepts when addressing a thin epitaxial layer, plus δ-layer in the context of a known 45 nm CMOS technology, having a gate length of 35 nm. In each case the critical δ-layer doping was simulated as if it had a depth distribution of a Dirac delta function, i.e., the doping ions are distributed in a differentially thin layer. In all cases, substantial reductions in the statistical variations in threshold voltage OVT due to RDF were realized. The delta doping layer, in these simulations, is mostly depleted, and that layer provides the tool for adjusting the nominal value of the threshold voltage. However, high temperature processing would substantially alter the doping profiles, compromising the expected benefits. This problem persists even though it is well known that certain complementary dopants, like carbon, can retard motion during thermal treatments, at least in n-channel devices.

Recent changes to gate architectures from poly-silicon over silicon dioxide or oxynitride, to metal over high-K gate dielectric stack have changed the processing sequence. (A high-K or high dielectric constant as used herein and in the claims to follow means a dielectric constant that is higher than the dielectric constant of silicon dioxide (K = 3.9); an effective dielectric constant K exceeding 6 would be a preferred high-K dielectric.) This is particularly true for the "gate last" process flow. In this process, while there is seemingly a complete transistor, with oxide and/or nitride sidewall spacers on each side of a poly gate, the gate is actually a sacrificial structure. That gate structure and the underlying protective oxide are etched away, exposing the silicon surface. Then a sequence of steps is employed: a) deposition of a high-K gate dielectric, typically by atomic layer deposition; b) deposition of a metal gate having a controlled work function to set the threshold voltage; and, c) deposition of a robust gate material, typically doped amorphous silicon. In many cases the sequence above is augmented by chemical-mechanical polishing steps to assure the localization of the various layers. However, this structure does not overcome the deficiencies resulting from RDF, LER or RXF. The morphology of the metal in the gate last process does reduce the variations identified as MGG, compared to a gate first process.

It would therefore be advantageous to find a solution compatible with bulk MOS manufacturing that would overcome deficiencies resulting from RDF, RXF and LER while generally maintaining the cost advantages and relative simplicity of standard bulk MOS manufacturing. It would be further advantageous if reductions of RDF, RXF, and LER are achieved simultaneously.

BRIEF DESCRIPTION OF THE DRAWINGS

The subject matter that is regarded as the invention is particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The foregoing and other objects, features, and advantages of the invention will be apparent from the following detailed description taken in conjunction with the accompanying drawings.

Figure 1 is a schematic cross-section of a MOSFET manufactured in accordance with the principles of the invention.

Figure 2A is a schematic cross-section showing a substrate with shallow trench isolation and a properly implanted core well according to an embodiment of the invention.

Figure 2B is a schematic cross-section showing a poly gate and drain/source implants according to an embodiment of the invention. Figure 2C is a schematic cross-section showing poly gate spacers, drain/source extension regions and heavy drain/source implants according to an embodiment of the invention.

Figure 2D is a schematic cross-section showing poly gate and drain/source silicidation according to an embodiment of the invention.

Figure 2E is a schematic cross-section showing deposition of a first interlayer dielectric according to an embodiment of the invention.

Figure 2F is a schematic cross-section showing exposed poly gate after chemical/mechanical polish (CMP) according to an embodiment of the invention.

Figure 2G is a schematic cross-section showing a cavity formed by sacrificing the poly gate according to an embodiment of the invention.

Figure 2H is a schematic cross-section showing a recess formed into the well from within the cavity according to an embodiment of the invention.

Figure 21 is a schematic cross-section showing a first layer and a second layer formed within the recess according to an embodiment of the invention.

Figure 2J is a schematic cross-section showing a high-K dielectric layer, a metal gate layer and an optional polysilicon cap formed within the cavity according to an embodiment of the invention.

Figure 2K is a schematic cross-section showing a MOSFET transistor having a gate formed according to an embodiment of the invention.

Figure 3A is a diagram showing a conventional doping profile for short channel transistors.

Figure 3B is a diagram showing a doping profile realized in a channel-last process scheme according to an embodiment of the invention.

Figure 3C is a diagram showing a delta doping profile for use in a channel-last process scheme according to an embodiment of the invention. Figure 3D is a diagram showing a multiplicity of delta doping profiles for use in a channel-last process scheme according to an embodiment of the invention.

Figure 3E is a diagram showing a slab doping profile, which might be realized either by low temperature, doped epitaxy or by atomic layer deposition of a thick doped layer, for use in a channel-last process scheme according to an embodiment of the invention.

Figure 4 is a schematic cross-section of a standard bulk MOSFET (prior art).

Figure 5 is a schematic cross-section of an epitaxial channel MOSFET (prior art).

Figure 6 is a schematic cross-section of a channel-last MOSFET according to an embodiment of the invention.

Figure 7 is a schematic cross-section of a channel-last MOSFET further comprising a delta layer according to an embodiment of the invention.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

Variation resistant metal-oxide-semiconductor field effect transistors (MOSFETs) are manufactured using a high-K, metal, 'channel-last' process. Between spacers formed over a well area having separate drain and source areas, a cavity and then a recess into the well area are formed. The active region is formed on top of an optional thin highly doped layer over which an un-doped or lightly doped epitaxial layer is formed. The high doping beneath the low doped epitaxial layer can be achieved utilizing low-temperature epitaxial growth (not to exceed 750 °C but preferably not exceeding 650 °C) with single or multiple delta doping, or slab doping. This epitaxial growth may be effected using conventional low- temperature epitaxy, molecular beam epitaxy or atomic layer deposition. A high-K dielectric stack is formed over the upper or epitaxial layer over which a metal gate is formed within the cavity boundaries. In one embodiment of the invention a cap of poly-silicon or amorphous silicon is added on top of the metal gate.

According to the principles of the invention, incorporation into the manufacturing process a step of a very lightly doped, low temperature (such as 750 °C or lower, preferably not exceeding 650 °C) epitaxial layer within a cavity formed for the purpose of creation of a channel of a MOSFET that results in a "channel-last" process, reduces the diffusion of dopants from the heavily doped region beneath the low-doped epitaxial layer (or from the well if such a heavily doped region is not used) into the low-doped epitaxial layer. The low- doped epitaxial layer reduces the variations in the MOSFETs' threshold voltage arising from random doping fluctuations (RDF). The epitaxial growth retains the strain introduced or memorized in the transistor structure in order to enhance device performance. The etching through the opening of the sacrificial poly-silicon gate reduces the random channel length fluctuations that arise from variations in the lateral position of the edge of the drain and source extension implants, i.e., random extension fluctuations (RXF). The addition of the optional layer of increased doping beneath the low doped epitaxial layer reduces the short channel effects and the threshold voltage fluctuations related to line edge roughness (LER). It also offers a method of adjusting the threshold voltage to a desired value. In the descriptive paragraphs below, the use of a heavily doped first layer, typically an epitaxial layer will be assumed, even though it is optional with regard to this invention.

Reference is now made to Fig. 1 that depicts an exemplary and non-limiting schematic cross-section of a MOSFET 100 manufactured in accordance with the principles of the invention. A well 120 is manufactured in a substrate 110, for example a silicon wafer, the well made of silicon or silicon-germanium, and typically doped to 10 18 to 10 19 ions per cm 3 . The well may be a P-well or an N-well depending on the desired transistor type, N- channel or P-channel respectively. A silicon-oxide (S1O2) or nitrided silicon dioxide layer 185 provides isolation in surface areas as required for proper operation of the MOSFET 100. Within the well area there are formed source and drain areas that are a combination of a low-doping area 130 and a high-doping area 132, each such source and drain area being separated from the other by a sacrificial polysilicon gate 195, though with some diffusion to extend each region slightly under the sacrificial polysilicon gate 195. Each highly doped area 132 has a silicide area 135, used to electrically connect to the source and drain of the formed MOSFET and to reduce the access resistance. Spacers 150, formed in a

conventional manner over the low-doped source or drain area 130, are used to define the gate region of the MOSFET, in a process that resembles a conventional MOS or 'gate-last' process and as described herein below in greater detail. In certain embodiments, spacers may be formed in two steps, as is known to those skilled in the art. A shallow trench 115 separates adjacent transistors. Within the cavity confined by the spacers 150, a recess is formed into the well 120 and a new active region is formed therein, and then completed consistent with the 'gate-last' manufacturing approach. The final transistor structure is formed from several layers, the structure of which is unique to the invention. The processing sequence minimizes the thermal exposure of the very steep diffusion gradients implicit in this structure, ensures the low doping concentration in the epitaxial layer, and increases reproducibility of threshold voltages among otherwise identical transistors. In this embodiment, the active channel is comprised of an optional first highly doped layer 160 such as a highly doped epitaxial δ- layer, and a channel epitaxial layer 170 that is either un-doped or lightly doped. The undoped or lightly doped epitaxial layer has a doping density typically in the range of zero to 10 17 ions/cm 3 . The first layer is typically doped in the range of 10 12 to 10 14 ions/cm 2 . An area density is used in this case due to the fact that this first layer 160 is very thin, and at the extreme, a monolayer. The thickness of the first layer 160 is typically between 0.3 nm and 15 nm while the epitaxial layer 170 has a thickness of 1 nm to 25 nm. Over the epitaxial layer 170 a high-K dielectric stack 180 is formed having a typical effective oxide thickness ranging between 0.5 nm and 3 nm. On top of the high-K dielectric stack 180 a metal gate 190 is formed having a typical thickness of 40 to 200 nm. In one embodiment of the invention a polysilicon cap 195 is added as a layer on top of the metal gate 190. A dielectric layer 140 is further used as part of this structure. It should be therefore understood that the invention covers, without limitation, both a full MOSFET structure 100 as described hereinabove as well as a channel region of a MOSFET that is comprised of the layers 160 (optional), 170, 180 and 190 and optionally layer 195. Incorporation of the two recessed layers 160 and particularly epitaxial layer 170 into a 'gate-last' structure minimizes the thermal exposure of the very steep diffusion gradients implicit to this structure and hence increases reproducibility of threshold voltages among otherwise identical transistors. It should therefore be understood that the incorporation of layers 160 and 170 results in a "channel-last" MOSFET architecture that provides the benefits discussed herein over the prior art "gate-last" MOSFET architecture.

Figs. 2A through 2K demonstrate schematically the exemplary and non-limiting processing steps taken in order to achieve the 'channel-last' MOSFET with a channel comprising the two layers of different materials, one or both of which may be epitaxial layers. In the typical, but probably not the only case, these materials are both silicon, differing only in their doping densities. Fig. 2A shows a cross-section 200A where a substrate 110 is prepared by creating shallow trench isolation 115 and implanting an appropriate well 120 for a desired transistor. A SiCte or a nitrided S1O2 layer 185 is formed over the entire surface, or in other embodiments on portions thereof, for at least electrical isolation purposes. Such a layer 185 could be of a typical thickness of 3.5 nm that may range between 2 nm and 8 nm, but not limited thereto. In Fig. 2B, cross-section 200B, the formation of a sacrificial poly gate 195 is shown, using appropriate manufacturing masks, for example by deposition and directional etching. Drain and source extension areas 130 are also formed by implantation through the S1O2 layer 185, as well as any other pocket implants (not shown) if and when necessary and/or applicable.

In Fig. 2C the cross-section 200C shows the spacers 150 that are created by deposition of nitride or a combination of nitride and oxide. Then anisotropic etching with vertical or tapered impact takes place. This selectively erodes the deposited sidewall material so the regions paralleling the wafer surface are removed, but vertical or tapered sections remain. In certain embodiments, the spacer is formed by repeating the deposition- and-etch process. After first deposition-and-etch step, the shallow drain/source extensions are formed by ion implantation, plasma immersion doping or a suitable process. Then, another deposition and etch step is carried out to space the drain/source from the channel. After that, the heavily doped source and drain areas 132 can be implanted, typically using the spacers 150 as masks. In some cases (not shown), additional silicon or

silicon/germanium may be deposited to elevate the top of the source and drain regions above the original silicon surface and to insert compressive strain in the p-channel MOSFET. Silicon/Germanium (Si:Ge) or Silicon/Carbon (Si:C) stressors, in some cases with a∑ shape, can also be embedded by partial etching of the source and drain regions and epitaxial regrowth of the stressors. In Fig. 2D the cross-section 200D shows the clearing of certain areas of the S1O2 layer 185 to expose for example the drain and source areas 132. It should be noted that the anisotropic etch that forms the spacers 150 may also clear the protective oxide 185. Then a siliciding material is deposited to form a silicide layer 135 in the drain, source and poly areas. Silicidation material may include, but is not limited to nickel, platinum or palladium, which reacts with the intended areas to form a conductive silicide on the gate 195 and the source and the drain areas 132. Fig. 2E shows a cross- section 200E where a first interlayer dielectric 140 is deposited where in Fig. 2F the cross- section 200F shows the result after chemical/mechanical polishing (CMP) of the first interlayer dielectric 140 up to the silicidation layer 135 of the poly gate 195. It should be noted that the silicide layer 135 on the poly gate 195 may or may not be lost by this step. In that regard, it should be noted that all values and ranges provided herein are exemplary only, and should not be considered as limiting the scope of the invention.

At this point of the process the gates that are to be manufactured using a 'channel- last' process are formed. This is achieved by first coating the area with a protective photoresist 810, and patterning the photoresist as shown in cross-section 200G of Fig, 2G. After patterning, the photoresist protects other devices that are not to be etched. Next, a self- aligned etching process is selected for its selectivity for the sacrificial gate material and its propensity not to etch the spacers 150 and other oxides in any significant manner (Fig. 2G). Then, a cavity 820 is formed in the area confined between the spacers 150 and which is not protected by the protective photoresist layer 810. Any remaining poly gate 195 is etched off as well as the SiCte layer 185 that is within the cavity 820.

Fig. 2H shows a cross-section 200H depicting a processing step where, while maintaining the protective photoresist layer 810 a recess 910 is formed from within the cavity 820 into the well 120. A self-aligned, selective etch process (preferably a directional etch) may be used to create a 5 to 25 nm recess into the silicon. According to the invention, and as further shown in Fig. 21, cross-section 2001, an optional delta epitaxial layer 160 is grown in the recess 910 over which a lightly doped or un-doped epitaxial layer 170 is grown. The epitaxial layer 160 may be referred to as a buried epitaxial layer and the epitaxial layer 170 may be referred to as the channel epitaxial layer. Generally the buried epitaxial layer and the channel epitaxial layer will be of the same conductivity type as the well. The channel epitaxial layer 170 may be formed of silicon, but in certain instances it may be advantageous to grow the low-doped layer using some combination of silicon and germanium, or an alternate semiconducting material that is compatible with the underlying substrate. The thickness of the epitaxial layer 170 is controlled such that the top surface of layer 170 is preferably, but not exclusively, in line with the silicon surface under the layer 185, although the surface of layer 170 may be slightly recessed with respect to the lower level of spacer 185. As shown in Figure 21, the lower surface of layer 185 coincides with the upper level of layer 170. The doping density of the delta layer 160 is chosen in combination with the un-doped epitaxial layer 170 thickness, the high-K gate stack thickness and the metal gate work function subsequently created to define the final, desired threshold voltage. These operations may be performed using atomic layer deposition or low temperature epitaxy, molecular beam epitaxy, or other suitable process. Hence, the variation in OVT, for a transistor manufactured at a 35 nm or finer process that would otherwise be in the range of 50-100 mV, is reduced to the range of 20-40 mV when the invention is implemented for the same dimension process. As a result, a basically standard bulk MOS manufacturing process may be employed with the invention disclosed herein and without the need to resort to complex solutions suggested by prior art technologies.

A person of ordinary skill in the art would appreciate that the self-aligned etching step that forms recess 910, shown in Figure 2H, sacrifices any source extension ions or drain extension ions that may have diffused into the channel region. The positions of those ions reflect variations that arise from scattering events during ion implantation and/or from high temperature activation processes (900°C or higher). The formation of recess 910 eliminates those variations. The use of any one of several low-temperature processes to reconstitute the channel minimizes the movement of the source extension and drain extension ions, substantially eliminating their contribution to RXF. The etching of the overlap portion of the extensions improves the electrostatic integrity, improves the on current and reduces the overlap capacitances.

According to an embodiment of the invention, low temperature, e.g., 300-750°C, epitaxy processes are used to form both layers 160 and 170 (Fig. 21). While it is essential that the charge associated with the depletion of layer 160 is essentially planar, there are several alternative doping strategies and corresponding doping profiles that can support this requirement. These profiles are representatively shown in Figs. 3B through 3E. In Figs. 3A through 3E, the Z = 0 point is at the interface between the high-K dielectric stack 180 and the epitaxial layer 170. The region from Z = 0 to Z = 10 nm represents the nominal thickness of the epitaxial layer 170, for reference, taken at the midpoint between the source and drain regions. Fig. 3A shows a channel doping profile that is representative of normal transistor construction. In standard processes, there are multiple implants forming the wells and channel regions of the transistors. The Figure 3A profile is representative of the middle of the channel, with the combined effect of well implants, threshold voltage implants and pocket implants. The total well depth is typically 200 nm to 400 nm, but the Figures 3 all show the 75 nm lying closest to the gate. In conventional CMOS technologies this region is normally highly doped with a shallow "threshold voltage" implant and pocket implants.

Fig. 3B shows the well profile of a simple 'channel last' structure, in which the highly doped region nearest to the gate has been etched away and replaced with a very low- doped, perhaps undoped epitaxial layer. In the other cases, layer 160 has an extremely high doping density, e.g., 10 19 to 10 21 ions/cm 3 , which makes the formation of layer 160 especially critical. The first instance, illustrated by Fig. 3C shows a single "delta" doped layer, which approximates a monolayer of semiconductor with 10 12 to 10 13 doping ions/cm 2 , which is equivalent to 10 20 or 10 21 doping ions per cm 3 . Such a layer might be formed by low temperature epitaxy with in situ doping or by atomic layer deposition. In the intended operation of the device, the entire "delta" layer would be ionized, contributing to the definition of the target threshold voltage. Fig. 3C depicts a diagram of a delta doping profile as described by Asenov et al. in the paper "Suppression of Random Dopant- Induced Threshold Voltage Fluctuations in Sub-O. l-μπι MOSFETs with Epitaxial and δ-Doped Channels," IEEE Transactions on Electron Devices, Vol. 46, No. 8, August 1999, Pages 1718 - 1724, however used according to the invention in the context of a channel-last process. Fig. 3D shows multiple "delta" doping layers, which might be required if the deposition process precludes full activation of enough doping ions in a single monolayer. In this case all the delta layers would be ionized, in order to set the proper threshold voltage. Fig 3E shows an alternative in which layer 160 is very highly doped, but more or less uniformly through its thickness, which might be several nanometers. Again, this layer might be formed by low temperature epitaxy or atomic layer deposition. Except for the simple channel-last example in Fig. 3B, all these cases require very high peak doping densities, in the order of 10 19 to 10 21 or more active doping ions per cm 3 in layer 160.

The various profiles in Figs. 3B through 3E differ in their sensitivity to substrate bias. The least sensitive structure is the pure "delta" doping as shown in Fig. 3C, and the most sensitive is the "slab" doping as illustrated in Fig. 3E. The other cases are

intermediate.

Following the steps of forming layers 160 and 170, and as shown in Fig. 2J, cross- section 200J, a high-K dielectric stack 180 is formed in the cavity 820 over the channel epitaxial layer 170. This stack may be expected to have an effective dielectric constant K in excess of 6. The high-K dielectric stack 180 consists of a thin layer of S1O2, typically 1 nm or less, capped by a layer of high-K dielectric, usually incorporating an oxide or oxynitride of hafnium. All layers after the highly doped layer 160, if used, are formed using a low- temperature (not exceeding 900°C, preferably not exceeding 750 °C, and more preferably, not exceeding 650°C) deposition methods. If the present invention is used in an integrated circuit also containing transistors formed by other fabrication techniques, all layers after the highly doped layer 160, if used, should be formed after all high temperature operations for the entire integrated circuit have been performed so that the such layers are not heated to over 900°C, and more preferably 750°C or 650°C. Thereafter the desired gate metal is deposited forming layer 190, where the gate metal is chosen primarily for its work function as well as for manufacturing considerations. Optionally, a manufacturing process may require a poly-silicon, or amorphous silicon, cap for protection. Fig. 2K shows cross-section 200K that depicts the structure after the removal of the excess high-K dielectric layer 180, metal layer 190 and poly layer 195, using for example CMP. Hereinafter processing may continue by, for example, adding a second dielectric layer (not shown) and thereafter continuing with additional processing steps including, but not limited to, forming metallization connectivity layers. For N and P type MOS transistors different types of metal gates are needed to incorporate work functions appropriate for the desired NMOS and PMOS threshold voltages.

Fig. 6 shows a schematic cross-section 600 of a channel-last MOSFET according to an embodiment of the invention. Accordingly, the channel region between the source and drain areas 420 is removed by selective etching between the spacers 440 forming a cavity penetrating into the well area 410, and as further explained hereinabove. Selective epitaxial growth is carried out to create a low-doped channel region 610. A high-K dielectric region 450 forms the gate insulator over which the gate material 460 is formed. Fig. 7 shows a schematic cross-section 700 of a channel-last MOSFET according to another embodiment of the invention. Accordingly, the channel region is removed by selective etching between the spaces created by the spacers 440, as explained in further detail hereinabove. A layer 710 that is highly doped, for example, an epitaxial δ-layer as discussed hereinabove, is first formed in the channel region after which a selective epitaxial growth is carried out to create a low-doped channel region 610 before the channel is sealed with a high-K dielectric insulator layer 450 and the gate material 460. Hence it should be understood by those of ordinary skill in the art that an embodiment of the "channel-last" process comprises of the building of the MOSFET's channel in a recess of a cavity etched into the well area between a drain area and a source area of the MOSFET. The channel may comprise an un-doped or lightly doped epitaxial layer that is grown in the recess of the cavity. In one embodiment of the invention prior to growing the un-doped or lightly doped epitaxial layer, an initial layer is formed in the recess of the cavity that is a single or multi-delta layer or a slab doped area. Once the channel is created according to the principles of the invention the process is completed similarly to the "gate-last" like processes.

The invention disclosed herein describes a general well which may be an N-well or a P-well, and hence suitable for a channel of a PMOS or NMOS transistor respectively. Further, the electrostatic characteristics of partially depleted SOI (silicon-on-insulator) transistors are sufficiently similar to bulk semiconductors that all the techniques described in this invention are equally applicable to partially depleted SOI devices. A person of ordinary skill-in-the-art would readily understand that the invention can be adapted for use in a plurality of ways, including integrated circuits where all transistors or a portion thereof are manufactured using the techniques disclosed hereinabove. Furthermore, although the invention is described .herein with reference to the preferred embodiment, one skilled- in- the-art will readily appreciate that other applications may be substituted for those set forth herein without depart i»g tem the spirit and scope of the present invention. Accordingly, the invention should only fee limited by the Claims included below.