Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
EXPANSION CONTROLLED STRUCTURE FOR DIRECT BONDING AND METHOD OF FORMING SAME
Document Type and Number:
WIPO Patent Application WO/2023/211789
Kind Code:
A1
Abstract:
An element, a bonded structure including the element, and a method forming the element and the bonded structure are disclosed. The element can include a non-conductive region having a cavity. The element can include a conductive feature formed in the cavity. The conductive feature includes a center portion and an edge portion having first and second coefficients of thermal expansion respectively. The center and edge portions are recessed relative to a contact surface of the non-conductive region by a first depth and a second depth respectively. The first coefficient of thermal expansion can be at least 5% greater than the second coefficient of thermal expansion. The bonded structure can include the element and a second element having a second non-conductive region and a second conductive feature. A conductive interface between the first and second conductive features has a center region and an edge region. In a side cross-section of the bonded structure, there are more voids at or near the edge region than at or near the center region.

More Like This:
Inventors:
UZOH CYPRIAN (US)
ZHAO OLIVER (US)
LEE BONGSUB (US)
MIRKARIMI LAURA (US)
SUWITO DOMINIK (US)
Application Number:
PCT/US2023/019460
Publication Date:
November 02, 2023
Filing Date:
April 21, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ADEIA SEMICONDUCTOR BONDING TECH INC (US)
International Classes:
H01L23/00
Foreign References:
US20210257341A12021-08-19
US20200194396A12020-06-18
US20220005784A12022-01-06
US20210098411A12021-04-01
US20170098627A12017-04-06
Attorney, Agent or Firm:
LOZAN, Vladimir, S. (US)
Download PDF:
Claims:
WHAT IS CLAIMED IS:

1. A bonded structure comprising: a first element having a first non-conductive region and a first conductive feature; and a second element having a second non-conductive region and a second conductive feature, the second element being bonded to the first element along a bonding interface such that the second non-conductive region is directly bonded to the first non-conductive region along a non-conductive interface and the second conductive feature is directly bonded to the first conductive feature along a conductive interface, wherein the conductive interface between the first and second conductive features has a center region and an edge region laterally between the center region and the non-conductive interface between the first and second non-conductive regions, wherein in a side cross-section of the bonded structure, there are more voids at or near the edge region than at or near the center region.

2. The bonded structure of Claim 1, wherein the first non-conductive region has a cavity extending at least partially through a thickness of the first non-conductive region, and the first conductive feature is formed in the cavity, the first conductive feature including a center portion and an edge portion disposed between a sidewall of the cavity and the center portion.

3. The bonded structure of Claim 2, wherein the center portion has a first coefficient of thermal expansion, the edge portion has a second coefficient of thermal expansion different from the first coefficient of thermal expansion.

4. The bonded structure of Claim 3, wherein the center portion and the edge portion include the same material with different crystal orientations.

5. The bonded structure of Claim 3, wherein the center portion and the edge portion include different materials.

6. The bonded structure of Claim 2, wherein the edge region includes filaments of a material of the edge portion of the first conductive feature.

7. The bonded structure of Claim 2, wherein the center portion is partially disposed between the edge portion of the first conductive feature and the edge portion of the second conductive feature.

8. The bonded structure of Claim 2, wherein the second non-conductive region has a second cavity extending at least partially through a thickness of the second non- conductive region, and the second conductive feature is formed in the second cavity, the second conductive feature including a second center portion and a second edge portion disposed between a second sidewall of the second cavity and the second center portion.

9. The bonded structure of Claim 1, wherein the voids at or near the center region have an average void size greater than an average void size of the voids at or near the edge region.

10. An element having a bonding surface comprising: a non-conductive region having a cavity extending at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region, the contact surface at least partially defining the bonding surface of the element; and a conductive feature formed in the cavity, the conductive feature including a center portion and an edge portion disposed between a sidewall of the cavity and the center portion, the center portion having a first coefficient of thermal expansion, the edge portion having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the center portion recessed relative to the contact surface of the non-conductive region by a first depth, the edge portion recessed relative to the contact surface of the non-conductive region by a second depth different from the first depth.

1 1 . The element of Claim 10, wherein the contact surface has a surface structure prepared for direct bonding.

12. The element of Claim 10, wherein the first coefficient of thermal expansion is greater than the second coefficient of thermal expansion.

13. The element of Claim 12, wherein the center portion and the edge portion include the same material with different crystal orientations.

14. The element of Claim 12, wherein the center portion and the edge portion include different materials.

15. The element of Claim 10, wherein the second depth is greater than the first depth.

16. The element of Claim 10, further comprising a barrier layer disposed between the edge portion and the sidewall of the cavity, the barrier layer configured to prevent or reduce diffusion of the conductive feature into the non-conductive region.

17. An element having a bonding surface, the element comprising: a non-conductive region having a cavity extending at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region, the contact surface at least partially defining the bonding surface of the element; and a conductive feature formed in the cavity, the conductive feature including a center portion and an edge portion disposed between a sidewall of the cavity and the center portion, the center portion having a first coefficient of thermal expansion, the edge portion having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the center portion recessed relative to the contact surface of the non-conductive region by a first depth, the edge portion recessed relative to the contact surface of the non-conductive region by a second depth, wherein the first coefficient of thermal expansion is at least 5% greater than the second coefficient of thermal expansion.

18. The element of Claim 17, wherein the contact surface has a surface structure prepared for direct bonding.

19. The element of Claim 17, wherein the center portion and the edge portion include the same material with different crystal orientations.

20. The element of Claim 17, wherein the center portion and the edge portion include different materials.

21. The element of Claim 17, wherein the second depth is greater than the first depth.

22. The element of Claim 17, further comprising a barrier layer disposed between the edge portion and the sidewall of the cavity.

23. A method of forming a conductive feature in a cavity in a non-conductive region of an element, the cavity extending at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region, the method comprising: providing a first conductive material in the cavity; removing at least a portion of the first conductive material from the cavity; providing a second conductive material in the removed portion of the first conductive material; and recessing the first conductive material and the second conductive material relative to the contact surface of the non-conductive region.

24. The method of Claim 23, wherein the first conductive material is recessed relative to the contact surface of the non-conductive region by a first depth and the second conductive material is recessed relative to the contact surface of the non-conductive region by a second depth different from the first depth.

25. The method of Claim 24, wherein the second conductive material is positioned between the first conductive material and a sidewall of the cavity.

26. The method of Claim 25, wherein the first conductive material includes copper or cobalt alloy.

27. The method of Claim 25, wherein the second depth is greater than the first depth.

28. The method of Claim 23, wherein the first material has a first coefficient of thermal expansion and the second material has second coefficient of thermal expansion, the first coefficient of thermal expansion is greater than the second coefficient thermal expansion.

29. The method of Claim 23, wherein recessing the first conductive material and the second conductive material relative to the contact surface of the non-conductive region comprises polishing the non-conductive region and the conductive feature.

30. The method of Claim 23, further comprising providing a barrier layer on inner surface of the cavity.

31. A method of forming a bonded structure, the method comprising: providing a first element including: a non-conductive region having a cavity extending at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region, the contact surface at least partially defining a bonding surface of the first element, and a conductive feature formed in the cavity and at least partially defining the bonding surface of the first element, the conductive feature including a center portion and an edge portion disposed between a sidewall of the cavity and the center portion, the center portion having a first coefficient of thermal expansion, the edge portion having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the center portion recessed relative to the contact surface of the non-conductive region by a first depth, the edge portion recessed relative to the contact surface of the non- conductive region by a second depth different from the first depth; and directly bonding a bonding surface of a second element to the bonding surface of the first element.

32. The method of Claim 31, wherein directly bonding the bonding surfaces of the first and second elements includes directly bonding a non-conductive region of a second element to the non-conductive region of the first element without an intervening adhesive, and directly bonding a conductive feature of the second element to the conductive feature of the first element without an intervening adhesive.

33. The method of Claim 32, wherein directly bonding the conductive feature of the second element to the conductive feature of the first element includes annealing the conductive features.

34. The method of Claim 32, wherein the first coefficient of thermal expansion is greater than the second coefficient of thermal expansion.

35. The method of Claim 34, wherein the center portion and the edge portion include the same material with different crystal orientations.

36. The method of Claim 34, wherein the center portion and the edge portion include different materials.

37. The method of Claim 31, wherein the second depth is greater than the first depth.

38. The method of Claim 31, wherein conductive feature of the second element includes a center portion and an edge portion, the center portion of the second element has a third coefficient of thermal expansion, the edge portion of the second element having a fourth coefficient of thermal expansion.

39. The method of Claim 38, wherein the third coefficient of thermal expansion is greater than the fourth coefficient of thermal expansion.

Description:
EXPANSION CONTROLLED STRUCTURE FOR DIRECT BONDING AND

METHOD OF FORMING SAME

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority to U.S. Provisional Patent Application No. 63/334,580, filed April 25, 2022, the entire contents of which are hereby incorporated by reference herein in their entirety and for all purposes.

BACKGROUND

Field

[0002] The field relates to an element prepared for direct bonding and including a conductive feature with controlled expansion.

Description of the Related Art

[0003] Semiconductor elements, such as integrated device dies or chips, may be mounted or stacked on other elements. For example, a semiconductor element can be mounted to a carrier, such as a package substrate, an interposer, a reconstituted wafer or element, etc. As another example, a semiconductor element can be stacked on top of another semiconductor element, e.g., a first integrated device die can be stacked on a second integrated device die. Each of the semiconductor elements can have conductive pads for mechanically and electrically bonding the semiconductor elements to one another. There is a continuing need for improved methods for forming the conductive pads for reliable bonding.

BRIEF DESCRIPTION OF THE DRAWINGS

[0004] Figure 1A is a schematic cross-sectional side view of two elements prior to bonding.

[0005] Figure IB is a schematic cross-sectional side view of the two elements shown in Figure 1A after bonding.

[0006] Figure 2A is a top-down electron back-scatter diffraction (EBSD) image of a copper pad formed in a silicon substrate of an element.

[0007] Figure 2B is a simplified graph showing protruded heights of a cross section of a portion of the element shown in Figure 2 A after annealing. [0008] Figure 3 A is a schematic cross-sectional side view of at least a portion of an clement according to an embodiment.

[0009] Figure 3B is a schematic top plan view of the element of Figure 3A.

[0010] Figure 4A is a schematic cross-sectional side view of an element according to an embodiment.

[0011] Figure 4B is a close-up view of a portion of the element shown in Figure 4A.

[0012] Figure 4C is a schematic cross-sectional side view of an element according to an embodiment.

[0013] Figure 5A is a schematic cross-sectional side view of an element according to an embodiment.

[0014] Figure 5B is a schematic cross-sectional side view of an element according to an embodiment.

[0015] Figure 5C is a schematic cross-sectional side view of an element according to an embodiment.

[0016] Figure 5D is a schematic cross-sectional side view of an element according to an embodiment.

[0017] Figures 6A-6E show various steps in a process of manufacturing the element shown in Figure 4 A.

[0018] Figure 7 A shows a cross-sectional side view of at least a portion of a bonded structure including a first element and a second element.

[0019] Figure 7B is a close up view of a portion of the bonded structure shown in Figure 7A.

[0020] Figure 8A is a cross-sectional side view of conductive features prior to annealing.

[0021] Figures 8B-8E are cross-sectional side views of conductive features shown in Figure 8 A after annealing.

[0022] Figure 9A is a cross-sectional side view of conductive features prior to annealing.

[0023] Figures 9B-9E are cross-sectional side views of conductive features shown in Figure 9 A after annealing. DETAILED DESCRIPTION

[0024] Various embodiments disclosed herein relate to directly bonded structures in which two or more elements can be directly bonded to one another without an intervening adhesive. Figures 1A and IB schematically illustrate a process for forming a directly bonded structure without an intervening adhesive according to some embodiments. In Figures 1A and IB, a bonded structure 100 comprises two elements 102 and 104 that can be directly bonded to one another without an intervening adhesive. Two or more semiconductor elements (such as integrated device dies, wafers, etc.) 102 and 104 may be stacked on or bonded to one another to form the bonded structure 100. Conductive features 106a (e.g., contact pads, exposed ends of vias (e.g., TSVs), or a through substrate electrodes) of a first element 102 may be electrically connected to corresponding conductive features 106b of a second element 104. Any suitable number of elements can be stacked in the bonded structure 100. For example, a third element (not shown) can be stacked on the second element 104, a fourth element (not shown) can be stacked on the third element, and so forth. Additionally or alternatively, one or more additional elements (not shown) can be stacked laterally adjacent one another along the first element 102. In some embodiments, the laterally stacked additional element may be smaller than the second element. In some embodiments, the laterally stacked additional element may be two times smaller than the second element.

[0025] In some embodiments, the elements 102 and 104 are directly bonded to one another without an adhesive. In various embodiments, a non-conductive field region that includes a non-conductive or dielectric material can serve as a first bonding layer 108a of the first element 102 which can be directly bonded to a corresponding non-conductive field region that includes a non-conductive or dielectric material serving as a second bonding layer 108b of the second element 104 without an adhesive. The non-conductive bonding layers 108a and 108b can be disposed on respective front sides 114a and 114b of device portions 110a and 110b, such as a semiconductor (e.g., silicon) portion of the elements 102, 103. Active devices and/or circuitry can be patterned and/or otherwise disposed in or on the device portions 110a and 110b. Active devices and/or circuitry can be disposed at or near the front sides 114a and 114b of the device portions 110a and 110b, and/or at or near opposite backsides 116a and 116b of the device portions 110a and 110b. The non-conductive material can be referred to as a non-conductive bonding region or bonding layer 108a of the first element 102. Tn some embodiments, the non-conductivc bonding layer 108a of the first clement 102 can be directly bonded to the corresponding non-conductive bonding layer 108b of the second element 104 using dielectric-to-dielectric bonding techniques. For example, non-conductive or dielectric - to-dielectric bonds may be formed without an adhesive using the direct bonding techniques disclosed at least in U.S. Patent Nos. 9,564,414; 9,391,143; and 10,434,749, the entire contents of each of which are incorporated by reference herein in their entirety and for all purposes. It should be appreciated that in various embodiments, the bonding layers 108a and/or 108b can comprise a non-conductive material such as a dielectric material, such as silicon oxide, or an undoped semiconductor material, such as undoped silicon. Suitable dielectric bonding surface or materials for direct bonding include but are not limited to inorganic dielectrics, such as silicon oxide, silicon nitride, or silicon oxynitride, or can include carbon, such as silicon carbide, silicon oxycarbonitride, low K dielectric materials, SICOH dielectrics, silicon carbonitride or diamond-like carbon or a material comprising of a diamond surface. Such carbon-containing ceramic materials can be considered inorganic, despite the inclusion of carbon.

[0026] In various embodiments, direct hybrid bonds can be formed without an intervening adhesive. For example, nonconductive bonding surfaces 112a and 112b can be polished to a high degree of smoothness. The bonding surfaces 112a and 112b can be cleaned and exposed to a plasma and/or etchants to activate the surfaces 112a and 112b. In some embodiments, the surfaces 112a and 112b can be terminated with a species after activation or during activation (e. ., during the plasma and/or etch processes). Without being limited by theory, in some embodiments, the activation process can be performed to break chemical bonds at the bonding surfaces 112a and 112b, and the termination process can provide additional chemical species at the bonding surfaces 112a and 112b that improve the bonding energy during direct bonding. In some embodiments, the activation and termination are provided in the same step, e.g.. a plasma to activate and terminate the surfaces 112a and 112b. In other embodiments, the bonding surfaces 112a and 112b can be terminated in a separate treatment to provide the additional species for direct bonding. In various embodiments, the terminating species can comprise nitrogen. For example, in some embodiments, the bonding surface(s) 112a, 112b can be exposed to a nitrogen-containing plasma. Further, in some embodiments, the bonding surfaces 1 12a and 112b can be exposed to fluorine. For example, there may be one or multiple regions of increased fluorine concentration at or near a bonding interface 118 between the first and second elements 102, 104. Thus, in the directly bonded structure 100, the bonding interface 118 between two non-conductive materials (e.g. , the bonding layers 108a and 108b) can comprise a very smooth interface with higher nitrogen and/or fluorine content at the bonding interface 118 than within the bonding layers 108a or 108b. In some embodiments, the bonding layers 108a and 108b may comprise nitrogen atoms, after activation and terminations process, oxygen peaks may be disposed at or near a bonding interface 118 between the first and second elements 102, 104. Additional examples of activation and/or termination treatments may be found throughout U.S. Patent Nos. 9,564,414; 9,391,143; and 10,434,749, the entire contents of each of which are incorporated by reference herein in their entirety and for all purposes.

[0027] In various embodiments, conductive features 106a of the first element 102 can also be directly bonded to corresponding conductive features 106b of the second element 104. For example, a hybrid bonding technique can be used to provide conductor-to-conductor direct bonds along the bond interface 118 that includes covalently direct bonded non- conductive-to-non-conductive (e.g., dielectric-to-dielectric) surfaces, prepared as described above. In various embodiments, the conductor-to-conductor (e.g., conductive feature 106a to conductive feature 106b) direct bonds and the dielectric-to-dielectric hybrid bonds can be formed using the direct bonding techniques disclosed at least in U.S. Patent Nos. 9,716,033 and 9,852,988, the entire contents of each of which are incorporated by reference herein in their entirety and for all purposes.

[0028] For example, non-conductive (e.g., dielectric) bonding surfaces 112a, 112b (for example, inorganic dielectric surfaces) can be prepared and directly bonded to one another without an intervening adhesive as explained above. Conductive contact features (e.g., conductive features 106a and 106b which may be at least partially surrounded by non- conductive dielectric field regions within the bonding layers 108a, 108b) may also directly bond to one another without an intervening adhesive. In various embodiments, the conductive features 106a, 106b can comprise discrete pads at least partially embedded in the non- conductive field regions. In some embodiments, the conductive contact features can comprise exposed contact surfaces of through substrate vias (TSVs). In some embodiments, the respective conductive features 106a and 106b can be recessed below exterior (e.g., upper) surfaces (non-conductivc bonding surfaces 112a and 112b) of the dielectric field region or non- conductive bonding layers 108a and 108b, for example, recessed by less than 30 nm, less than 20 nm, less than 15 nm, or less than 10 nm, for example, recessed in a range of 2 nm to 20 nm, or in a range of 4 nm to 10 nm. In various embodiments, prior to direct bonding, the recesses in the opposing elements can be sized such that the total gap between opposing contact pads is less than 15 nm, or less than 10 nm. The non-conductive bonding layers 108a and 108b can be directly bonded to one another without an adhesive at room temperature in some embodiments and, subsequently, the bonded structure 100 can be annealed. Upon annealing, the conductive features 106a and 106b can expand in a direction orthogonal to the bonding surfaces 112a, 112b and contact one another to form a metal-to-metal direct bond. Beneficially, the use of Direct Bond Interconnect, or DBI®, techniques commercially available from Adeia of San Jose, CA, can enable high density of conductive features 106a and 106b to be connected across the direct bond interface 118 (e.g., small or fine pitches for regular arrays). In some embodiments, the pitch of the conductive features 106a and 106b, such as conductive traces embedded in the bonding surface of one of the bonded elements, may be less than 40 microns or less than 10 microns or even less than 2 microns. For some applications, the ratio of the pitch of the conductive features 106a and 106b to one of the dimensions (e.g. , a diameter) of the bonding pad is less than 5, or less than 3 and sometimes desirably less than 2. In other applications, the width of the conductive traces embedded in the bonding surface of one of the bonded elements may range between 0.3 to 20 microns, e.g., in a range of 0.3 to 3 microns. In various embodiments, the conductive features 106a and 106b and/or traces can comprise copper, although other metals may be suitable.

[0029] Thus, in direct bonding processes, a first element 102 can be directly bonded to a second element 104 without an intervening adhesive. In some arrangements, the first element 102 can comprise a singulated element, such as a singulated integrated device die. In other arrangements, as shown in Figures 1A and IB, the first element 102 can comprise a carrier or substrate (e.g., a wafer) that includes a plurality (e.g., tens, hundreds, or more) of device regions that, when singulated, form a plurality of integrated device dies. Similarly, the second element 104 can comprise a singulated element, such as a singulated integrated device die, as shown in Figures 1A and IB. In other arrangements, the second element 104 can comprise a carrier or substrate (e.g., a wafer). The embodiments disclosed herein can accordingly apply to wafcr-to-wafcr, dic-to-dic, or dic-to-wafcr bonding processes. In wafcr- to-wafer (W2W) processes, two or more wafers can be directly bonded to one another (e.g., direct hybrid bonded) and singulated using a suitable singulation process. After singulation, side edges of the singulated structure (e.g., the side edges of the two bonded elements) may be substantially flush and may include markings indicative of the singulation process (e.g., saw markings if a saw singulation process is used).

[0030] As explained herein, the first and second elements 102 and 104 can be directly bonded to one another without an adhesive, which is different from a deposition process. In one application, a width of the first element 102 in the bonded structure is similar to a width of the second element 104. In some other embodiments, a width of the first element 102 in the bonded structure 100 is different from a width of the second element 104. Similarly, the width or area of the larger element in the bonded structure may be at least 10% larger than the width or area of the smaller element. The first and second elements 102 and 104 can accordingly comprise non-deposited elements. Further, directly bonded structures 100, unlike deposited layers, can include a defect region along the bond interface 118 in which nanometerscale voids (nanovoids) are present. The nanovoids may be formed due to activation of the bonding surfaces 112a and 112b (e.g., exposure to a plasma). As explained above, the bond interface 118 can include concentration of materials from the activation and/or last chemical treatment processes. For example, in embodiments that utilize a nitrogen plasma for activation, a nitrogen peak can be formed at the bond interface 118. The nitrogen peak can be detectable using secondary ion mass spectroscopy (SIMS) techniques. In various embodiments, for example, a nitrogen termination treatment (e.g., exposing the bonding surface to a nitrogencontaining plasma) can replace OH groups of a hydrolized (OH-terminated) surface with NH2 molecules, yielding a nitrogen-terminated surface. In embodiments that utilize an oxygen plasma for activation, an oxygen peak can be formed at the bond interface 118. In some embodiments, the bond interface 118 can comprise silicon oxynitride, silicon oxycarbonitride, or silicon carbonitride. As explained herein, the direct bond can comprise a covalent bond, which is stronger than van Der Waals bonds. The bonding layers 108a and 108b can also comprise polished surfaces that are planarized to a high degree of smoothness. [0031] Tn various embodiments, the metal -to-metal bonds between the contact pads 106a and 106b can be joined such that copper grains grow into each other across the bond interface 118. In some embodiments, the copper can have grains oriented along the 111 crystal plane for improved copper diffusion across the bond interface 118. The bond interface 118 can extend substantially entirely to at least a portion of the bonded conductive features 106a and 106b, such that there is substantially no gap between the non-conductive bonding layers 108a and 108b at or near the bonded conductive features 106a and 106b. In some embodiments, a barrier layer may be provided under the conductive features 106a and 106b (e.g., which may include copper). In other embodiments, however, there may be no barrier layer under the conductive features 106a and 106b, for example, as described in U.S. Patent No. 11,195,748, which is incorporated by reference herein in its entirety and for all purposes.

[0032] Beneficially, the use of the hybrid bonding techniques described herein can enable extremely fine pitch between adjacent contact pads 106a and 106b, and/or small pad sizes. For example, in various embodiments, the pitch p (i.e., the distance from edge-to-edge or center-to-center, as shown in Figure 1A) between adjacent conductive features 106a (or 106b) can be in a range of 0.5 microns to 50 microns, in a range of 0.75 microns to 25 microns, in a range of 1 micron to 25 microns, in a range of 1 micron to 10 microns, or in a range of 1 micron to 5 microns. Further, a major lateral dimension (e.g., a pad diameter) can be small as well, e.g., in a range of 0.25 microns to 30 microns, in a range of 0.25 microns to 5 microns, or in a range of 0.5 microns to 5 microns.

[0033] A contact pad can have a center portion that has more highly oriented grains that are generally oriented vertically along the 111 crystal orientation, and an edge portion near or along a sidewall of the cavity that has less oriented grains that are oriented more randomly (e.g., a mixture of the 111, 110, 311, 511, and 100 orientations) without a dominating orientation. The crystal orientation difference can be due to a process (e.g., an electroplating process) for forming the contact pad in a cavity. In such contact pad, before, during, and/or after an annealing process, the edge portion can protrude by a greater distance over the contact surface than the center portion. For example, the edge portion can protrude by a greater distance due to expansion of the contact pad during the annealing process. Uneven protrusion heights across different portions of the contact surface of the conductive structure can induce uneven stresses causing uneven bonding strengths. When the contact pad is bonded to another contact pad, there may be a void formed over the center portion due to the uneven protrusion of the center portion and the edge portion. When the contact pad of the element is bonded to another contact pad of another element with a mismatch (e.g., a lateral offset) between the two contact pads, the edge portion may protrude to push against the other element, which may cause debonding of the two elements and/or a poor electrical connection. Accordingly, a contact pad that has a center portion and an edge portion that protrudes more than the center portion when annealed can hinder reliability of the bond between the contact pad and another pad.

[0034] Figure 2A is a top-down electron back-scatter diffraction (EBSD) image of a copper pad 10 formed in a silicon substrate 12 of an element 13. The copper pad 10 includes a center portion 14 and an edge portion 16 around the center portion 14. In Figure 2 A, a border region 18 between the center portion 14 and the edge portion 16 is shown with a dashed line. The center portion 14 can have grains that are generally oriented vertically (e.g., generally normal to a surface of the conductive feature) along the 111 crystal orientation, and an edge portion has grains that are oriented more randomly (e.g., a mixture of the 111, 110, 311, 511, and 100 orientations) without a dominating orientation. In some embodiments, at least 50% of the grains at the center portion 14 exhibit twins or highly oriented twins. A twin is a type of grain structure in which two or more regions or domains of a crystal lattice are oriented in mirror-image positions relative to each other separated by a twin boundary. For example, the center portion 14 has micro-structure grains that are mostly oriented vertically along the 111 crystal orientation and the edge portion 16 that has nano- structure (e.g., a fine grain structure) grains that are oriented without a dominating orientation. As shown in Figure 2A, the edge portion 16 can have grains that are oriented in various crystal orientations. The crystal orientation differences between the center portion 14 and the edge portion 16 can be due to a process (e.g., an electroplating process) for forming the conductive feature (e.g., the copper pad 10) in a cavity formed in the silicon substrate 12.

[0035] Figure 2B is a graph showing protruded heights of a cross section of a portion of the element 13 shown in Figure 2 A after annealing. In the graph of Figure 2B, the heights at different location of the cross section are measured relative to a surface of the silicon substrate 12 prior to annealing at temperatures between 200°C and 450°C. As shown in the graph of Figure 2B, the center portion 14 has an average protrusion height of about 1 pm and the edge portion 16 has an average protrusion height of about 1 .4 pm. The height difference between the protrusions of the center portion 14 and the edge portion 16 can induce excessive stress. When the copper pad 10 is bonded to another contact pad, there may be voids formed over the center portion 14 due to the uneven protrusion between the center portion 14 and the edge portion 16. When the element 13 is bonded to another element, such excessive stresses and voids can hinder reliability of the bonding between the elements.

[0036] Various embodiments disclosed herein relate to controlling heights of protrusions over different locations of a conductive feature in an element formed by an annealing process. The element can include a non-conductive region having a cavity and the conductive feature disposed at least partially in the cavity. The conductive feature can have a center portion and an edge portion. In some embodiments, the edge portion can be disposed at least partially between the center portion and a sidewall of the cavity. For example, the edge portion can be disposed between the center portion and a sidewall of the cavity so as to surround a side surface of the center portion by the edge portion. In some embodiments, a part of the center portion and a part of the edge portion can be exposed on a surface of the conductive feature. For example, the surface of the conductive feature and a surface of the non-conductive region can at least partially define a contact surface of the element.

[0037] In some embodiments, heights of the center portion and the edge portion can be controlled to provide a conductive structure that has generally even protrusion heights across the surface of the conductive feature after annealing. For example, the surface of the conductive feature can be recessed relative to the surface of the non-conductive region. The part of the center portion that is exposed on the surface of the conductive feature can be recessed relative to the surface of the non-conductive region by a first depth, and the part of the edge portion that is exposed on the surface of the conductive feature can be recessed relative to the surface of the non-conductive region by a second depth different from the first depth. For another example, the center portion and the edge portion of the conductive feature can comprise the same material with different characteristics (e.g., different coefficient of thermal expansion (CTE), different grain sizes, or different grain orientations), mechanical properties, or different materials. For example, in some embodiments, the center portion can have a material with a first CTE, and the edge portion can comprise a second CTE that is smaller than the first CTE. [0038] Various embodiments disclosed herein relate to a bonded structure that includes the element (a first clement) that includes the conductive feature with the controlled center and edge portions, and another element (a second element). The second element can have the same, similar, or different structure as the first element.

[0039] Figure 3A is a schematic cross-sectional side view of at least a portion of an element 20 according to an embodiment. Figure 3B is a schematic top plan view of the element 20 of Figure 3A. The element can include a region, such as a non-conductive (e.g., semiconductor or inorganic dielectric) region 22, and a conductive feature 24 (e.g., a contact pad, an exposed end of a via (e.g., TSVs), or a through substrate electrode). In some embodiments, the element 20 can include a barrier layer 26 between the conductive feature 24 and the non-conductive region. For example, the barrier layer 26 can comprise a diffusion barrier layer that prevents or reduces diffusion of the material of the conductive feature 24 into the non-conductive region 22. In some embodiments, the barrier layer 26 can comprise tantalum, titanium, cobalt, nickel, tungsten, or any suitable compound or combinations thereof. In some embodiments, the barrier layer 26 can comprise a multi-layer structure.

[0040] The non-conductive region 22 can comprise a dielectric layer. In some embodiments, the non-conductive region 22 may comprise multiple layers of different dielectric materials. For example, the non-conductive region 22 can comprise silicon oxide, silicon nitride, or any other suitable non-conductive bonding material. As shown in Figure 3 A, the cavity 28 can be formed in the non-conductive region 22. The cavity 28 can extend at least partially through a thickness of the non-conductive region 22. For example, the cavity 28 can extend completely through the thickness of the non-conductive region 22. In some embodiments, a dielectric material (not shown) may be disposed between the barrier layer 26 and the non-conductive region 22.

[0041] The non-conductive region 22 has a contact surface 22a that can at least partially define a bonding surface of the element 20. The contact surface 22a of the non- conductive region 22 can be prepared for direct bonding as explained herein. In some embodiments, the contact surface 22a can be polished by way of, for example, chemical mechanical polishing (CMP), to have a surface roughness of less than 15 A rms, less than 10 A rms, or less than 5 A rms. [0042] The conductive feature 24 can comprise a first portion (e.g., a center portion 30) and a second portion (c.g., an edge portion 32). A part (c.g., an upper surface 30a) of the center portion 30 and a part (e.g., an upper surface 32a) of the edge portion 32 can at least partially define the bonding surface of the element 20. The upper surface 30a of the center portion 30 and the upper surface 32a of the edge portion 32 can be recessed relative to the contact surface 22a of the non-conductive region 22 by a first depth. For example, the upper surface 30a of the center portion 30 and the upper surface 32a of the edge portion 32 can be recessed by less than 30 nm, less than 20 nm, less than 15 nm, or less than 10 nm, for example, recessed in a range of 2 nm to 20 nm, or in a range of 4 nm to 10 nm.

[0043] In some embodiments, the center portion 30 and the edge portion 32 of the conductive feature 24 can have different material properties. For example, the center portion 30 and the edge portion 32 of the conductive feature 24 can have the same base material with different material properties or alloys, or different materials. In some embodiments, the center portion 30 has a first coefficient of thermal expansion (CTE), and the edge portion 32 has a second CTE different from the first CTE. For example, the first CTE can be greater than the second CTE. In some embodiments, the first CTE can be at least 5% greater than the second CTE. For example, the first CTE can be 5% to 50%, 10% to 50%, 20% to 50%, 5% to 40%, 5% to 30%, or 10% to 40% greater than the second CTE. In some embodiments, the center portion 30 and/or the edge portion 32 can comprise nickel vanadium (NiV) or nickel boride (NiB). The NiV or NiB can be formed by way of plating or sputtering, in some embodiments. The center portion 30 and/or the edge portion 32 can comprise copper zirconium (CuZr), copper indium (Culn), copper tin (CuSn), copper molybdenum (CuMo), or copper vanadium (CuV) with, for example, less than 50% alloy. The center portion 30 and/or the edge portion 32 can comprise an alloy of copper (Cu) with nickel (Ni), cobalt (Co), vanadium (V), tungsten (W), zirconium (Zr), or molybdenum (Mo). The center portion 30 and/or the edge portion 32 can comprise an alloy of Co with Ni, V, W, Zr, or Mo. For example, the Cu or Co alloy can comprise less than 5% of Ni, Co, V, W, Zr, or Mo. The center portion 30 and/or the edge portion 32 can comprise a nano-twin metal (e.g., nano-twin copper), or a fine grain metal (e.g., fine grain copper). Using the nano-twin metal having the 111 crystal orientation can be advantageous in some applications as the 111 nano-twin metal can have a relatively low CTE, a relatively high hardness, and a relatively high electromigration resistance. The fine grain metal can be defined as a metal having an average grain width less than 20 nm, less than 50 nm, less than 100 nm, less than 300 nm, or less than 500 nm. For example, the maximum width of grain in the fine grain metal can be in a range of 10 nm to 500 nm, 10 nm to 300 nm, 20 nm to 500 nm, 20 nm to 300 nm, 20 nm to 100 nm, 20 nm to 50 nm, 50 nm to 500 nm, 50 nm to 300 nm, or 100 nm to 300 nm. The center portion 30 and/or the edge portion 32 can comprise a metal that has grains generally oriented vertically (e.g., generally normal to a surface of the conductive feature) along the 111 crystal orientation.

[0044] In some embodiments, the materials of the center portion 30 and the edge portion 32 can be selected such that the protrusion height of the center portion 30 is the same, generally similar to, or greater than the protrusion height of the edge portion 32, after annealing, such that, after annealing and bonding, a generally uniform bond interface can be formed. The center portion 30 and the edge portion 32 can be annealed at a temperature in a range between 100°C and 400°C, 100°C and 300°C, 100°C and 250°C, 150°C to 400°C, 150°C to 300°C, or 180°C to 300°C, for any suitable duration, e.g., a duration in a range between 60 to 180 minutes. In some embodiments, the annealing temperature can vary based at least in part on a size of the conductive feature 24. For example, the conductive feature 24 with a smaller size (e.g., diameter) can be annealed at a higher temperature than the conductive feature 24 with a larger size (e.g., diameter). For example, the conductive feature 24 with the larger diameter can protrude more than the conductive feature 24 with the smaller diameter with the same annealing temperature. The conductive feature 24, such as a contact pad, an exposed end of a via (e.g., a TSV), or a through substrate electrode of embodiments described herein can have a maximum size (e.g., a maximum lateral dimension or diameter) in a range between about 0.01 pm and 15 pm, between about 0.1 pm and 10 pm, between about 0.5 pm and 8 pm, between about 2 pm and 5 pm, between about 1 pm and 3 pm, or between about 0.01 pm and 1 pm. A difference between an average height of the center portion 30 and an average height of the edge portion 32 after annealing can be less than 0.2 pm, less than 0.1 pm, or less than 0.05 pm. In some embodiments, the center portion 30 and the edge portion 32 can be selected such that the first CTE of the center portion 30 is the same as or greater than the second CTE of the edge portion 32.

[0045] The center portion 30 and the edge portion 32 with materials and/or dimensions selected as disclosed herein can enable the conductive feature 24 to reliably bond to another conductive feature of another element with a sufficient bonding strength and with resulting minimal stress and/or voids. Figures 4A-5D illustrate elements according to various embodiments that can implement any one or more of suitable principles and/or advantages disclosed herein, such as those described with respect to Figures 3A-3B.

[0046] Figure 4A is a schematic cross-sectional side view of an element 40 according to an embodiment. Figure 4B is a close-up view of a portion of the element 40 shown in Figure 4A. Unless otherwise noted, components of Figures 4A and 4B can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 3A-3B. The element 40 is generally similar to the element 20 shown in Figures 3A- 3B, except that, in the element 40, the upper surface 32a of the edge portion 32 is recessed below the upper surface 30a of the center portion 30. The upper surface 30a of the center portion 30 can be recessed relative to the contact surface 22a of the non-conductive region 22 by a first depth dl, and the upper surface 32a of the edge portion 32 can be recessed relative to the contact surface 22a of the non-conductive region 22 by a second depth d2 that is greater than the first depth dl. Though the barrier layer 26 and the contact surface 22a of the non- conductive region 22 are shown as being flush without any recess, the barrier layer 26 may be recessed relative to the contact surface 22a, in some embodiments.

[0047] The first and second depths dl, d2 of the center and edge portions 30, 32 can be selected based on various factors to enable the center and edge portions 30, 32 to have desired protrusion heights after annealing. For example, the first and second depths dl, d2 of the center and edge portions 30, 32 can be selected based at least in part on the materials of the center and edge portions 30, 32, a width wl of the center portion 30, a width w2 of the edge portion, the annealing temperature, and/or a CTE difference between the conductive feature 24 and the non-conductive region 22. In some embodiments, the edge portion 32 may comprise a material with a higher CTE than a material of the center portion 30, and the greater second depth d2 relative to the first depth dl can compensate for the CTE difference so as to prevent or mitigate the edge portion 32 to protrude excessively compared to the center portion 30 after annealing.

[0048] In some embodiments, the difference between the first and second depths dl, d2 can be in a range between 0.01 pm and 0.5 pm, 0.1 pm and 0.5 pm, 0.1 pm and 0.4 pm, 0.2 pm and 0.5 pm, or 0.2 pm and 0.4 pm for deep cavities (e.g., the cavity 28 with a depth of 10 m to 100 pm) such as in some TSV or other thru substrate electrode structures. Tn some embodiments, the upper surface 30a of the center portion 30 can be recessed relative to the contact surface 22a of the non-conductive region 22, for example, by less than 100 nm, less than 50 nm, less than 20 nm, or less than 10 nm, for example, recessed in a range between 2 nm and 20 nm, or in a range between 4 nm and 10 nm.

[0049] Figure 4C is a schematic cross-sectional side view of an element 42 according to an embodiment. Unless otherwise noted, components of Figure 4C can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 3A-4B. The element 42 is generally similar to the elements 20, 40 shown in Figures 3A-4B, except that, in the element 42, the upper surface 30a of the center portion 30 is recessed below the upper surface 32a of the edge portion 32. In other words, the first depth dl can be greater than the depth d2.

[0050] The first and second depths dl, d2 of the center and edge portions 30, 32 can be selected based on various factors to enable the center and edge portions 30, 32 to have desired protrusion heights after annealing, so as to generate sufficient forces for bonding without causing damage to the elements or debonding. For example, the first and second depths dl, d2 of the center and edge portions 30, 32 can be selected based at least in part on the materials of the center and edge portions 30, 32, a width wl of the center portion 30, a width w2 of the edge portion, the annealing temperature, and/or a CTE difference between the conductive feature 24 and the non-conductive region 22. In some embodiments, the edge portion 32 may comprise a material with a lower CTE than a material of the center portion 30, and the greater first depth dl relative to the second depth d2 can compensate for the CTE difference so as to prevent or mitigate the center portion 30 to protrude excessively compared to the edge portion 32 after annealing.

[0051] Figure 5A is a schematic cross-sectional side view of an element 44 according to an embodiment. Unless otherwise noted, components of Figure 5A can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 3A-4C. The element 44 is generally similar to the elements 40, 42 shown in Figures 4A-4C. In the element 44, the center portion 30 includes a bottom region 46 and an upper region 48 over the bottom region 46. The edge portion 32 can be positioned such that the edge portion 32 is disposed between the upper region 48 of the center portion 30 and the sidewall of the cavity 28.

[0052] Figure 5B is a schematic cross-sectional side view of an element 50 according to an embodiment. Unless otherwise noted, components of Figure 5B can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 3A-4C. The element 50 is generally similar to the elements 40, 42, 44 shown in Figures 4A-5A. In the element 50, the conductive feature 24 can include the center portion 30, the edge portion 32, and a bottom portion 52. The bottom portion 52 can comprise the same material as the center portion 30 and/or the edge portion 32 with different material properties, or a different material from the material(s) of the center portion 30 and/or the edge portion 32.

[0053] Figure 5C is a schematic cross-sectional side view of an element 54 according to an embodiment. Unless otherwise noted, components of Figure 5C can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 3A-5B. The element 54 is generally similar to the element 40 shown in Figures 4A- 4B. In some embodiments, the edge portion 32 of the element 54 can be a portion of a conductive material 56 disposed in the cavity 28 that is different from the center portion 30.

[0054] Figure 5D is a schematic cross-sectional side view of an element 58 according to an embodiment. Unless otherwise noted, components of Figure 5D can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 3A-5C. The element 58 is generally similar to the element 40 shown in Figures 4A- 4B. In some embodiments, the edge portion 32 can be at least partially buried in the center portion 30.

[0055] Any suitable combinations of features disclosed herein can be implemented to form an element. For example, various features of the conductive feature 24 can be implemented to form an element that can provide reliable bonding when bonded to another embodiment.

[0056] Figures 6A-6E show various steps in a process of manufacturing the element 40 shown in Figure 4A. Processes similar to the process of Figures 6A-6E can be implemented to form the elements disclosed herein. Unless otherwise noted, components of Figures 6A-6E can be the same as or generally similar to the like components disclosed herein, such as those shown in Figures 4A and 4B. [0057] Figure 6 A is a cross-sectional view showing a non-conductive region 22 and a cavity 28 formed in the non-conductive region 22. The cavity 28 can extend at least partially through a thickness of the non-conductive region 22. In some embodiments, the cavity 28 can extend completely through the thickness of the non-conductive region 22. A barrier layer 26 can be provided in the cavity 28. The barrier layer 26 can have a thickness or width in the nano meter scale. For example, the barrier layer 26 can have a thickness in a range of 10 nm to 50 nm, 20 nm to 50 nm, 10 nm to 40 nm, or 20 nm to 40 nm. In some embodiments, a dielectric layer (not shown) may be disposed between the barrier layer 26 and the non- conductive region 22.

[0058] Figure 6B is a cross-sectional view showing the non-conductive region 22, the barrier layer 26, and a conductive material 60 disposed in the cavity 28. In some embodiments, when the conductive material 60 is provided, there may be an excess portion of the conductive material 60 over the non-conductive region 22. Such excess portion can be removed by way of a removing process, such as a chemical mechanical polishing (CMP) process.

[0059] In Figure 6C, at least a portion of the conductive material 60 is removed. In some embodiments, a portion of the conductive material 60 that contacts the barrier layer 26 can be removed to define a void 62 and a center portion 30. For example, the portion of the conductive material 60 can be removed by way of etching (e.g., a selective etching process).

[0060] In Figure 6D, an edge portion 32 can be provided in the void 62. The void 62 may be at least partially or completely filled with a material of the edge portion 32. The material of the edge portion 32 can be the same as or different from the conductive material 60 of the center portion 30. The edge portion 32 can have a thickness or width in the micron scale. The thickness of the edge portion 32 can vary based at least in part on the size of the conductive feature 24. For example, the thickness of the edge portion can be in a range of 1 pm to 5 pm, 2 pm to 5 pm, 1 pm to 4 pm, or 2 pm to 4 pm. In some embodiments, the filling material may be formed by electroless, atomic layer deposition method (ALD) or other known methods. After the filling step for forming the edge portion 32, the excess portion may be removed by a mechanical planarization method. An edge portion void may be formed as needed.

[0061] In Figure 6E, the element 40 is prepared for direct bonding. For example, at least a contact surface 22a of the non-conductive region 22 can be polished, for example, by way of CMP, to have a surface roughness of less than 15 A rms, less than 10 A rms, or less than 5 A rms. Dishing may occur during the polishing process such that surfaces 30a, 32a of the center portion 30 and the edge portion 32 are recessed below the contact surface 22a. In some embodiments, the surfaces 30a, 32a of the center portion 30 and the edge portion 32 can be intentionally recessed in accordance of embodiments disclosed herein. For example, the surface 32a can be recessed so as to have the surface 32a below the surface 30a.

[0062] An element (a first element) in accordance with various embodiments disclosed herein can be bonded to another element (a second element) to form a bonded structure. Figure 7A shows a cross-sectional side view of at least a portion of a bonded structure 66 including a first element 66a and a second element 66b. Figure 7B is a close up view of a portion of the bonded structure 66 shown in Figure 7A. In some embodiments, the first element 66a and the second element 66b can be directly bonded to one another along a bonding interface 68 without an intervening adhesive. For example, nonconductive regions 22, 72 of the first and second elements 66a, 66b can be directly bonded to one another at room temperature, and conductive features 24, 74 of the first and second elements 66a, 66b can be directly bonded to one another after the nonconductive regions 22, 72 of the first and second elements 66a, 66b are bonded. Bonding the conductive features 24, 74 of the first and second elements 66a, 66b can comprise an annealing process. The conductive feature 24 can include a center portion 30 and an edge portion 32, and the conductive feature 74 can include a center portion 80 and an edge portion 82. A portion of the bonding interface 68 between the center portion 30 and the center portion 80 can be referred to as a center region 68a of the bonding interface 68. A portion of the bonding interface 68 between the edge portion 32 and the edge portion 82 can be referred to as an edge region 68b of the bonding interface 68.

[0063] In some embodiments, the bonded structure 66 can include a signature that indicates the use of a conductive feature of an embodiment disclosed herein. In some embodiments, the bonded structure 66 can include voids 76 (e.g., micro-voids) that are indicative of the conductive feature according to embodiments disclosed herein. The microvoids can be defined as voids having an average dimension (e.g., a linear lateral dimension or width) equal to or less than 300 nm, 100 nm or 80 nm, for example, an average dimension in a range of 10 nm to 300 nm, 10 nm to 100 nm, or 10 nm to 80 nm. In terms of lateral area observed in a cross-section, the micro-voids can have an average area in a range of 70 nm 2 to 70000 nm 2 , 100 nm 2 to 7000 nm 2 , or 100 nm 2 to 700 nm 2 . For example, there may be more voids 76 per area or void concentration at or near the edge region 68b of the bonding interface 68 than at or near the center region 68a. For example, there may be more voids 76 at or near the edge region 68b of the bonding interface 68 than at or near the center region 68a. Near the edge region 68b can be a portion of the bonded edge portions 32, 82 within about 500 nm into the thicknesses of the bonded edge portions 32, 82 from the edge region 68b of the bonding interface 68, and near the center region 68b can be a portion of the bonded center portions 30, 80 within about 500 nm into the thicknesses of the bonded center portions 30, 80 from the center region 68a of the bonding interface 68. The voids can move around in these portions within 500 nm from the bonding interface 68 during the annealing process. The difference in a number of voids at or near the center region 68a and a number of voids at or near the edge region 68b can be detected by taking a side cross-section of the bonded structure 66 as shown in Figure 7A, and inspecting the side cross-section. In, some embodiments, the voids present at or near the edge region 68b of the bonding interface 68 can be smaller than the voids present at or near the center region 68a.

[0064] Though the two elements (the first and second element 66a, 66b) are precisely aligned in the illustrated bonded structure 66 in Figure 7A, the first and second elements 66a, 66b may be misaligned. As a result of the misalignment of the first and second elements 66a, 66b, at least a portion of the edge portion 32 may be aligned with a portion of the center portion 80. In such a bonded structure with misaligned elements, the above characterized structure of more voids 76 per area or void concentration at or near edge region 68b of the bonding interface 68 than the center region 68a can be maintained.

[0065] In some embodiments, as shown in Figure 7B, the bonded center portions 30, 80 can expand or bulge into a portion (e.g., the void 76) in the edge region 68b between the edge portion 32 and the edge portion 82 resulting in a mixture of the materials of the center portions 30, 80, and the edge portions 32, 82. The mixture of the materials can be an indication of a presence of a recess of the edge portion 32, 82 relative to a contact surface of the element 66a, 66b that is deeper than a recess of the center portion 30, 80 relative to the contact surface of the element 66a, 66b. The mixture of the materials can be an indication that the center portion 30, 80 has a CTE that is greater than a CTE of the edge portion 32, 82, and/or that the edge portion 32, 82 was recessed below the center portion 30, 80 before annealing. [0066] Though Figures 7 A and 7B show the bonded structure 66 that includes two identical or generally similar elements 66a, 66b, a bonded structure can include two or more different elements. For example, the bonded structure can include an element in accordance with one embodiment and another element in accordance with another embodiment. The bonded conductive features can have different structure based at least in part on the material, the size and shape of the conductive structure, the annealing temperature, and/or the annealing duration.

[0067] Figure 8A is a cross-sectional side view of conductive features 24, 90 prior to annealing. The conductive features 24, 90 can be parts of elements that are directly bonded along a bonding interface 68 between corresponding non-conductive regions (not shown in Figure 8A). The conductive feature 24 can include a center portion 30 and an edge portion 32. In some embodiments, the conductive feature 24 can be disposed at least partially between portions of a barrier layer 26. The conductive feature 90 can be disposed at least partially between portions of a barrier layer 92. The edge portion 32 is recessed relative to the bonding interface 68 between the corresponding non-conductive regions by a depth d2.

[0068] Figure 8B is a cross-sectional side view of the conductive features 24, 90 after annealing at a first temperature for a first duration. The center portion 30 of the conductive feature 24 and the conductive feature 90 can expand and be bonded together. The edge portion 32 can expand and a depth d3 between the edge portion 32 and the bonding interface 68 can be smaller than the depth d2 prior to bonding shown in Figure 8A. The temperature and/or the duration of the annealing can impact the expansion of the center portion 30, edge portion 32, and/or the conductive feature 90.

[0069] Figure 8C is a cross-sectional side view of the conductive features 24, 90 after annealing at a second temperature for a second duration. The second temperature and/or the second duration can be greater than the first temperature and/or the first duration. The edge portion 32 can expand and a depth d4 between the edge portion 32 and the bonding interface 68 can be smaller than the depth d3 of Figure 8B.

[0070] Figure 8D is a cross-sectional side view of the conductive features 24, 90 after annealing at a third temperature for a third duration. The third temperature and/or the third duration can be greater than the second temperature and/or the second duration. The edge portion 32 can expand and a depth d5 between the edge portion 32 and the bonding interface 68 can be smaller than the depth d4 of Figure 8C. Depending on the temperature and the duration, the edge portion 32 can be in contact and bonded with the conductive feature 90.

[0071] Figure 8E is a cross-sectional side view of the conductive features 24, 90 after annealing at a fourth temperature for a fourth duration. In some embodiments, the interface between the edge portion 32 and the conductive feature 90 can include voids 94 (e.g., micro-voids or nano-voids). For example, the edge portion 32 can expand so as to form filaments or a comb shape with varying heights depending at least in part on the material of the edge portion 32, the fourth temperature, and/or the fourth duration.

[0072] Figure 9A is a cross-sectional side view of conductive features 24, 24’ prior to annealing. The conductive features 24, 24’ can be parts of elements that are directly bonded along a bonding interface 68 between corresponding non-conductive regions. The conductive features 24, 24’ can have the same or generally similar structures. The conductive feature 24 can include a center portion 30 and an edge portion 32. The conductive feature 24’ can include a center portion 30’ and an edge portion 32’. In some embodiments, the conductive feature 24, 24’ can be disposed at least partially between a barrier layer 26, 26’. The edge portion 32 is recessed relative to the bonding interface 68 between the corresponding non-conductive regions by a depth d2.

[0073] Figure 9B is a cross-sectional side view of the conductive features 24, 24’ after annealing at a first temperature for a first duration. The center portion 30 of the conductive feature 24 and the center portion 30’ of the conductive feature 24’ can expand and be bonded together. The edge portion 32 can expand and a depth d6 between the edge portion 32 and the bonding interface 68 can be smaller than the depth d2 prior to bonding shown in Figure 9A. The temperature and/or the duration of the annealing can impact the expansion of the center portions 30, 30’, and/or edge portions 32, 32’.

[0074] Figure 9C is a cross-sectional side view of the conductive features 24, 24’ after annealing at a second temperature for a second duration. The second temperature and/or the second duration can be greater than the first temperature and/or the first duration. The edge portion 32 can expand and a depth d7 between the edge portion 32 and the bonding interface 68 can be smaller than the depth d6 of Figure 8B . The center portions 30, 30’ can expand and at least partially fill a gap between the edge portions 32, 32’. [0075] Figure 9D is a cross-sectional side view of the conductive features 24, 24’ after annealing at a third temperature for a third duration. The third temperature and/or the third duration can be greater than the second temperature and/or the second duration. The edge portion 32 can expand and a depth d8 between the edge portion 32 and the bonding interface 68 can be smaller than the depth d7 of Figure 9C. The center portions 30, 30’ can expand and at least partially fill a gap between the edge portions 32, 32’. In some other embodiments, depending on the temperature and the duration the edge portion 32 can be in contact and bonded with the edge portion 32’.

[0076] Figure 9E is a cross-sectional side view of the conductive features 24, 24’ after annealing at a fourth temperature for a fourth duration. In some embodiments, the interface between the edge portion 32 and the edge portion 24’ can include voids 94 (e.g., micro- voids or nano-voids). For example, the edge portion(s) 32, 32’ can expand so as to form filaments or a comb shape with varying heights depending at least in part on the material of the edge portion 32, 32’, the fourth temperature, and/or the fourth duration.

[0077] In one aspect, a bonded structure is disclosed. The bonded structure can include a first element that has a first non-conductive region and a first conductive feature, and a second element that has a second non-conductive region and a second conductive feature. The second element is bonded to the first element along a bonding interface such that the second non-conductive region is directly bonded to the first non-conductive region along a non-conductive interface and the second conductive feature is directly bonded to the first conductive feature along a conductive interface. The conductive interface between the first and second conductive features has a center region and an edge region laterally between the center region and the non-conductive interface between the first and second non-conductive regions. In a side cross-section of the bonded structure, there are more voids at or near the edge region than at or near the center region.

[0078] In one embodiment, the first non-conductive region has a cavity extending at least partially through a thickness of the first non-conductive region. The first conductive feature can be formed in the cavity. The first conductive feature can include a center portion and an edge portion disposed between a sidewall of the cavity and the center portion. The center portion can have a first coefficient of thermal expansion. The edge portion can have a second coefficient of thermal expansion that is different from the first coefficient of thermal expansion. The center portion and the edge portion can include the same material with different crystal orientations. The center portion and the edge portion can include different materials. The edge region can include filaments of a material of the edge portion of the first conductive feature. The center portion can be partially disposed between the edge portion of the first conductive feature and the edge portion of the second conductive feature. The second non-conductive region can have a second cavity extending at least partially through a thickness of the second non-conductive region, and the second conductive feature can be formed in the second cavity. The second conductive feature can include a second center portion and a second edge portion disposed between a second sidewall of the second cavity and the second center portion.

[0079] In one embodiment, the voids at or near the center region have an average void size greater than an average void size of the voids at or near the edge region.

[0080] In one aspect, an element having a bonding surface is disclosed. The element can include a non-conductive region that has a cavity extending at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region. The contact surface at least partially define the bonding surface of the element. The element can include a conductive feature formed in the cavity. The conductive feature includes a center portion and an edge portion that is disposed between a sidewall of the cavity and the center portion. The center portion has a first coefficient of thermal expansion. The edge portion has a second coefficient of thermal expansion different from the first coefficient of thermal expansion. The center portion is recessed relative to the contact surface of the non- conductive region by a first depth. The edge portion is recessed relative to the contact surface of the non-conductive region by a second depth different from the first depth.

[0081] In one embodiment, the contact surface has a surface structure prepared for direct bonding.

[0082] In one embodiment, the first coefficient of thermal expansion is greater than the second coefficient of thermal expansion. The center portion and the edge portion can include the same material with different crystal orientations. The center portion and the edge portion can include different materials.

[0083] In one embodiment, the second depth is greater than the first depth. [0084] Tn one embodiment, the element further includes a barrier layer disposed between the edge portion and the sidewall of the cavity. The barrier layer can be configured to prevent or reduce diffusion of the conductive feature into the non-conductive region.

[0085] In one aspect, an element having a bonding surface is disclosed. The element can include a non-conductive region having a cavity extending at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region. The contact surface at least partially defines the bonding surface of the element. The element can include a conductive feature that is formed in the cavity. The conductive feature includes a center portion and an edge portion that is disposed between a sidewall of the cavity and the center portion. The center portion has a first coefficient of thermal expansion. The edge portion has a second coefficient of thermal expansion different from the first coefficient of thermal expansion. The center portion is recessed relative to the contact surface of the non- conductive region by a first depth. The edge portion is recessed relative to the contact surface of the non-conductive region by a second depth. The first coefficient of thermal expansion is at least 5% greater than the second coefficient of thermal expansion.

[0086] In one embodiment, the contact surface has a surface structure prepared for direct bonding.

[0087] In one embodiment, the center portion and the edge portion include the same material with different crystal orientations.

[0088] In one embodiment, the center portion and the edge portion include different materials.

[0089] In one embodiment, the second depth is greater than the first depth.

[0090] In one embodiment, the element further includes a barrier layer disposed between the edge portion and the sidewall of the cavity.

[0091] In one aspect, a method of forming a conductive feature in a cavity in a non- conductive region of an element is disclosed. The cavity extends at least partially through a thickness of the non-conductive region from a contact surface of the non-conductive region. The method can include providing a first conductive material in the cavity, removing at least a portion of the first conductive material from the cavity, providing a second conductive material in the removed portion of the first conductive material, and recessing the first conductive material and the second conductive material relative to the contact surface of the non-conductivc region.

[0092] In one embodiment, the first conductive material is recessed relative to the contact surface of the non-conductive region by a first depth and the second conductive material is recessed relative to the contact surface of the non-conductive region by a second depth different from the first depth. The second conductive material can be positioned between the first conductive material and a sidewall of the cavity. The first conductive material can include copper or cobalt alloy. The second depth can be greater than the first depth.

[0093] In one embodiment, the first material has a first coefficient of thermal expansion and the second material has second coefficient of thermal expansion. The first coefficient of thermal expansion can be greater than the second coefficient thermal expansion.

[0094] In one embodiment, recessing the first conductive material and the second conductive material relative to the contact surface of the non-conductive region comprises polishing the non-conductive region and the conductive feature.

[0095] In one embodiment, the method further includes providing a barrier layer on inner surface of the cavity.

[0096] In one aspect, a method of forming a bonded structure is disclosed. The method can include providing a first element and directly bonding a bonding surface of a second element to the bonding surface of the first element. The first element includes a non- conductive region having a cavity extending at least partially through a thickness of the non- conductive region from a contact surface of the non-conductive region. The contact surface at least partially defines a bonding surface of the first element. The first element includes a conductive feature formed in the cavity and at least partially defining the bonding surface of the first element. The conductive feature includes a center portion and an edge portion that is disposed between a sidewall of the cavity and the center portion. The center portion has a first coefficient of thermal expansion. The edge portion has a second coefficient of thermal expansion different from the first coefficient of thermal expansion. The center portion is recessed relative to the contact surface of the non-conductive region by a first depth. The edge portion is recessed relative to the contact surface of the non-conductive region by a second depth different from the first depth. [0097] Tn one embodiment, directly bonding the bonding surfaces of the first and second elements includes directly bonding a non-conductivc region of a second element to the non-conductive region of the first element without an intervening adhesive, and directly bonding a conductive feature of the second element to the conductive feature of the first element without an intervening adhesive.

[0098] In one embodiment, directly bonding the conductive feature of the second element to the conductive feature of the first element includes annealing the conductive features.

[0099] In one embodiment, the first coefficient of thermal expansion is greater than the second coefficient of thermal expansion. The center portion and the edge portion can include the same material with different crystal orientations. The center portion and the edge portion can include different materials.

[0100] In one embodiment, the second depth is greater than the first depth.

[0101] In one embodiment, conductive feature of the second element includes a center portion and an edge portion. The center portion of the second element can have a third coefficient of thermal expansion. The edge portion of the second element can have a fourth coefficient of thermal expansion. The third coefficient of thermal expansion can be greater than the fourth coefficient of thermal expansion.

[0102] Unless the context clearly requires otherwise, throughout the description and the claims, the words “comprise,” “comprising,” “include,” “including” and the like are to be construed in an inclusive sense, as opposed to an exclusive or exhaustive sense; that is to say, in the sense of “including, but not limited to.” The word “coupled”, as generally used herein, refers to two or more elements that may be either directly connected, or connected by way of one or more intermediate elements. Likewise, the word “connected”, as generally used herein, refers to two or more elements that may be either directly connected, or connected by way of one or more intermediate elements. Additionally, the words “herein,” “above,” “below,” and words of similar import, when used in this application, shall refer to this application as a whole and not to any particular portions of this application. Moreover, as used herein, when a first element is described as being “on” or “over” a second element, the first element may be directly on or over the second element, such that the first and second elements directly contact, or the first element may be indirectly on or over the second element such that one or more elements intervene between the first and second elements. Where the context permits, words in the above Detailed Description using the singular or plural number may also include the plural or singular number respectively. The word “or” in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list, and any combination of the items in the list.

[0103] Moreover, conditional language used herein, such as, among others, “can,” “could,” “might,” “may,” “e.g.,” “for example,” “such as” and the like, unless specifically stated otherwise, or otherwise understood within the context as used, is generally intended to convey that certain embodiments include, while other embodiments do not include, certain features, elements and/or states. Thus, such conditional language is not generally intended to imply that features, elements and/or states are in any way required for one or more embodiments.

[0104] While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the disclosure. Indeed, the novel apparatus, methods, and systems described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the methods and systems described herein may be made without departing from the spirit of the disclosure. For example, while blocks are presented in a given arrangement, alternative embodiments may perform similar functionalities with different components and/or circuit topologies, and some blocks may be deleted, moved, added, subdivided, combined, and/or modified. Each of these blocks may be implemented in a variety of different ways. Any suitable combination of the elements and acts of the various embodiments described above can be combined to provide further embodiments. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the disclosure.