Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
GROUP 6 AMIDINATE PADDLEWHEEL COMPOUNDS FOR DEPOSITION OF METAL CONTAINING THIN FILMS
Document Type and Number:
WIPO Patent Application WO/2022/173999
Kind Code:
A1
Abstract:
The disclosed and claimed subject matter relates to organometallic amidinate and guanidinate paddlewheel compounds, compositions containing the compounds and methods of using the compounds as precursors for deposition of metal-containing films.

Inventors:
COYLE JASON P (US)
SAVO MICHAEL T (US)
IVANOV SERGEI V (US)
COOPER ALAN C (US)
Application Number:
PCT/US2022/016044
Publication Date:
August 18, 2022
Filing Date:
February 11, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MERCK PATENT GMBH (DE)
VERSUM MAT US LLC (US)
International Classes:
C23C16/18; C07F11/00; C23C16/455
Other References:
BINO AVI ET AL: "A tetrakis(amidinato)dichromium complex with a "supershort" chromium-chromium quadruple bond", INORGANIC CHEMISTRY, vol. 18, no. 12, 1 December 1979 (1979-12-01), Easton , US, pages 3566 - 3568, XP055923520, ISSN: 0020-1669, DOI: 10.1021/ic50202a054
DE ROODE W H ET AL: "Reactions of M(CO)"6 (M ? Cr, Mo) with formamidines", JOURNAL OF ORGANOMETALLIC CHEMISTRY, ELSEVIER, AMSTERDAM, NL, vol. 135, no. 2, 2 August 1977 (1977-08-02), pages 183 - 193, XP022678029, ISSN: 0022-328X, [retrieved on 19770802], DOI: 10.1016/S0022-328X(00)80859-1
KUROKAWA YUSAKU I. ET AL: "Bonding Nature of Open-Lantern-type Dinuclear Cr(II) Complexes. Theoretical Study with the MRMP2 Method", THE JOURNAL OF PHYSICAL CHEMISTRY A, vol. 113, no. 13, 2 April 2009 (2009-04-02), US, pages 3202 - 3209, XP055923707, ISSN: 1089-5639, DOI: 10.1021/jp809597m
COTTON F. ALBERT ET AL: "Reaction Products of W(CO) 6 with Formamidines; Electronic Structure of a W 2 ([mu]-CO) 2 Core with Unsymmetric Bridging Carbonyls", INORGANIC CHEMISTRY, vol. 43, no. 22, 1 November 2004 (2004-11-01), Easton , US, pages 6954 - 6964, XP055923627, ISSN: 0020-1669, DOI: 10.1021/ic049116c
LICHTENBERGER DENNIS L. ET AL: "Quadruple Metal-Metal Bonds with Strong Donor Ligands. Ultraviolet Photoelectron Spectroscopy of M 2 (form) 4 (M = Cr, Mo, W; form = N , N '-diphenylformamidinate)", JOURNAL OF THE AMERICAN CHEMICAL SOCIETY, vol. 121, no. 51, 1 December 1999 (1999-12-01), pages 12167 - 12176, XP055923631, ISSN: 0002-7863, DOI: 10.1021/ja993065e
BARRY SEÁN T ED - VAN LEEUWEN ET AL: "Amidinates, guanidinates and iminopyrrolidinates: Understanding precursor thermolysis to design a better ligand", COORDINATION CHEMISTRY REVIEWS, ELSEVIER SCIENCE, AMSTERDAM, NL, vol. 257, no. 23, 1 April 2013 (2013-04-01), pages 3192 - 3201, XP028756379, ISSN: 0010-8545, DOI: 10.1016/J.CCR.2013.03.015
YAMAGUCHI, Y ET AL., INORGANICA CHIM. ACTA., vol. 358, 2005, pages 2363 - 2370, XP002806617
CHEM. MATER., vol. 19, 2007, pages 263 - 269
J. VAC. SCI. TECHNOL., vol. A35, 2017, pages 01B141
THIN SOLID FILMS, vol. 692, 2017, pages 137607
YAMAGUCHI, Y ET AL., INORGANICA CHIM. ACTA., vol. 358, 2005, pages 2363 - 2370
HELLMUT, B ET AL., CHEMISCHE BERICHTE, vol. 98, no. 8, 1965, pages 2754 - 61
WASSLEN, Y ET AL., DALTON TRANSACTION, vol. 39, no. 38, 2010, pages 9046 - 9054
COLES, M. P. ET AL., ORGANOMETALLICS, vol. 16, no. 24, 1997, pages 5183 - 5194
Attorney, Agent or Firm:
SLAVEN IV, William Thomas (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A precursor of formula M2-(Amidinate Ligand)4, wherein M is one of chromium, molybdenum and tungsten.

2. The precursor of claim 1, wherein M is chromium.

3. The precursor of claim 1, wherein M is molybdenum.

4. The precursor of claim 1, wherein M is tungsten.

5. The precursor of claim 1, wherein all four Amidinate ligands have the same chemical structure.

6. The precursor of claim 1, wherein two or more of the Amidinate ligands have the same chemical structure.

7. The precursor of claim 1, wherein all four Amidinate ligands have a different chemical structure.

8. The precursor of any of claims 1-7, wherein the Amidinate Ligand is selected from the

9. The precursor of any of claims 1-8, wherein the precursor has one the following structures:

10. A precursor of formula M2-(Guanidinate Ligand)4, wherein M is one of chromium, molybdenum and tungsten.

11. The precursor of claim 10, wherein M is chromium.

12. The precursor of claim 10, wherein M is molybdenum.

13. The precursor of claim 10, wherein M is tungsten.

14. The precursor of claim 10, wherein all four Guanidinate ligands have the same chemical structure.

15. The precursor of claim 10, wherein two or more of the Guanidinate ligands have the same chemical structure.

16. The precursor of claim 10, wherein all four Guanidinate ligands have a different chemical structure.

17. The precursor of any of claims 10-16, wherein the Guanidinate Ligand is selected from the following guanidinate ligands:

18. The precursor of any of claims 10-17, wherein the precursor has one the following structures

19. A precursor having the formula:

20. The precursor of claim 19 characterized by an x-ray powder diffraction pattern substantially in accordance with that shown in FIG. 14.

21. The precursor of claim 19 characterized by an x-ray powder diffraction pattern comprising four or more 2Q values selected from the group consisting of:

22. The precursor of claim 19 characterized by an x-ray powder diffraction pattern having characteristic peaks at 11.16±0.2, 11.98±0.2, 13.00±0.2, 13.98±0.2 and 16.64±0.2 degrees 2Q.

23. A precursor having the formula:

24. A precursor having the formula:

25. A precursor having the formula:

26. The precursor of claim 25 characterized by an x-ray powder diffraction pattern substantially in accordance with that shown in FIG. 15.

27. The precursor of claim 25 characterized by an x-ray powder diffraction pattern comprising four or more 2Q values selected from the group consisting of:

28. The precursor of claim 25 characterized by an x-ray powder diffraction pattern having characteristic peaks at 10.78±0.2, 11.36±0.2, 11.62±0.2, 11.86±0.2 and 13.6±0.2 degrees 2Q.

29. A precursor having the formula:

30. A precursor having the formula:

31. A precursor having the formula:

32. A precursor having the formula:

33. A precursor having the formula:

34. A precursor having the formula: W N W N SiMe3 . 35. A precursor having the formula: Mo N Mo N Me . 36. A precursor having the formula: Cr N Cr N M 37. A precursor having the formula: W N W 38. A precursor having the formula:

39. A precursor having the formula:

40. A precursor having the formula:

41. A precursor having the formula:

42. A precursor having the formula:

43. A precursor having the formula:

44. A precursor having the formula:

45. A precursor having the formula:

46. A precursor having the formula:

47. A precursor having the formula:

48. A precursor having the formula:

49. A precursor having the formula:

50. A precursor having the formula:

53. A method for forming a transition metal-containing film on at least one surface of a substrate comprising: a. providing the at least one surface of the substrate in a reaction vessel; b. forming a transition metal-containing film on the at least one surface by a thermal deposition process chosen from a chemical vapor deposition (CVD) process and an atomic layer deposition (ALD) process using one or more precursors of claims 1 -52 as a metal source compound for the deposition process.

54. The method of claim 53, further comprising introducing into the reaction vessel at least one reactant.

55. The method of claim 53, further comprising introducing into the reaction vessel at least one reactant selected from the group of: water, diatomic oxygen, oxygen plasma, ozone, NO, N2O, NO2, carbon monoxide, carbon dioxide and combinations thereof.

56. The method of claim 53, further comprising introducing into the reaction vessel at least one reactant selected from the group of: ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and combinations thereof.

57. The method of claim 53, further comprising introducing into the reaction vessel at least one reactant selected from the group of: hydrogen, hydrogen plasma, a mixture of hydrogen and helium, a mixture of hydrogen and argon, hydrogen/helium plasma, hydrogen/argon plasma, boron-containing compounds, silicon-containing compounds and combinations thereof.

58. The method of claim 53, wherein the transition metal-containing film has a resistivity below approximately 500 μOhm cm.

59. The method of claim 53, wherein the transition metal-containing film has a resistivity below approximately 400 μOhm cm.

60. The method of claim 53, wherein the transition metal-containing film has a resistivity below approximately 300 μOhm cm.

61. The method of claim 53, wherein the transition metal-containing film has a resistivity below approximately 200 μOhm cm.

62. The method of claim 53, wherein the transition metal-containing film has a resistivity below approximately 100 μOhm cm.

63. A method of forming a transition metal-containing film via a thermal atomic layer deposition (ALD) process or thermal ALD-like process, the method comprising the steps of: a. providing a substrate in a reaction vessel; b. introducing into the reaction vessel one or more of the precursor of claims 1-52; c. purging the reaction vessel with a first purge gas; d. introducing into the reaction vessel a source gas; e. purging the reaction vessel with a second purge gas; f. sequentially repeating steps b through e until a desired thickness of the transition metal- containing film is obtained.

64. The method of claim 63, wherein the source gas is one or more of an oxygen-containing source gas selected from water, diatomic oxygen, oxygen plasma, ozone, NO, N2O, NO2, carbon monoxide, carbon dioxide and combinations thereof.

65. The method of claim 63, wherein the source gas is one or more of a nitrogen-containing source gas selected from ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma and mixture thereof.

66. The method of claim 63, wherein the first and second purge gases are each independently selected one or more of argon, nitrogen, helium, neon, and combinations thereof.

67. The method of claim 63 further comprising applying energy to at least one of the precursor, the source gas, the substrate, and combinations thereof, wherein the energy is one or more of thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods and combinations thereof.

68. The method of claim 63, wherein the step b further comprises introducing into the reaction vessel the precursor using a stream of carrier gas to deliver a vapor of the precursor into the reaction vessel.

69. The method of claim 63, wherein step b further comprises use of a solvent medium comprising one or more of toluene, mesitylene, isopropylbenzene, 4-isopropyl toluene, 1,3- diisopropylbenzene, octane, dodecane, 1,2,4-trimethylcyclohexane, n-butylcyclohexane, and decahydronaphthalene and combinations thereof.

70. The method of claim 63, wherein the transition metal-containing film has a resistivity below approximately 500 μOhm cm.

71. The method of claim 63, wherein the transition metal-containing film has a resistivity below approximately 400 μOhm cm.

72. The method of claim 63, wherein the transition metal-containing film has a resistivity below approximately 300 μOhm cm.

73. The method of claim 63, wherein the transition metal-containing film has a resistivity below approximately 200 μOhm cm.

74. The method of claim 63, wherein the transition metal-containing film has a resistivity below approximately 100 μOhm cm.

75. A method for forming a transition metal-containing film on at least one surface of a substrate comprising: a. providing the at least one surface of the substrate in a reaction vessel; b. forming a transition metal-containing film on the at least one surface by a deposition process chosen from a thermal chemical vapor deposition (CVD) process and a thermal atomic layer deposition (ALD) process using a precursor as a metal source compound for the deposition process; and c. using one more precursor of claims 1-52 as a dopant material.

76. The method of claim 75, wherein the transition metal-containing film has a resistivity below approximately 500 μOhm cm.

77. The method of claim 75, wherein the transition metal-containing film has a resistivity below approximately 400 μOhm cm.

78. The method of claim 75, wherein the transition metal-containing film has a resistivity below approximately 300 μOhm cm.

79. The method of claim 75, wherein the transition metal-containing film has a resistivity below approximately 200 μOhm cm.

80. The method of claim 75, wherein the transition metal-containing film has a resistivity below approximately 100 μOhm cm.

81. A precursor supply package, comprising a vessel and the precursor of any of claims 1-52, wherein the vessel is adapted to contain and dispense the precursor.

82. A method of synthesizing the precursor of any of claims 1-52 comprising reacting a compound of formula M2(OAc)4 according to the following reaction where M is one of chromium, molybdenum and tungsten.

83. The method of claim 82, wherein M is chromium.

84. The method of claim 82, wherein M is molybdenum.

85. The method of claim 82, wherein M is tungsten.

Description:
GROUP 6 AMIDINATE PADDLEWHEEL COMPOUNDS FOR DEPOSITION OF

METAL CONTAINING THIN FILMS

BACKGROUND

[0001] Field

[0002] The disclosed and claimed subject matter relates to organometallic amidinate and guanidinate paddlewheel compounds, compositions containing the compounds and methods of using the compounds as precursors for deposition of metal-containing films.

[0003] Related Art

[0004] Transition metal-containing films are used in semiconductor and electronics applications. Chemical Vapor Deposition (CVD) and Atomic Layer Deposition (ALD) have been applied as the main deposition techniques for producing thin films for semiconductor devices. These methods enable the achievement of conformal films (metal, metal oxide, metal nitride, metal silicide, and the like) through chemical reactions of metal-containing compounds (precursors). The chemical reactions occur on surfaces which may include metals, metal oxides, metal nitrides, metal silicides, and other surfaces. In CVD and ALD, the precursor molecule plays a critical role in achieving high quality films with high conformality and low impurities. The temperature of the substrate in CVD and ALD processes is an important consideration in selecting a precursor molecule. Higher substrate temperatures, in the range of 150 to 500 degrees Celsius (°C), promote a higher film growth rate. The preferred precursor molecules must be stable in this temperature range. The preferred precursor is capable of being delivered to the reaction vessel in a liquid phase. Liquid phase delivery of precursors generally provides a more uniform delivery of the precursor to the reaction vessel than solid phase precursors.

[0005] CVD and ALD processes are increasingly used as they have the advantages of enhanced compositional control, high film uniformity, and effective control of doping. Moreover, CVD and ALD processes provide excellent conformal step coverage on highly non-planar geometries associated with modern microelectronic devices.

[0006] CVD is a chemical process whereby precursors are used to form a thin film on a substrate surface. In a typical CVD process, the precursors are passed over the surface of a substrate ( e.g a wafer) in a low pressure or ambient pressure reaction chamber. The precursors react and/or decompose on the substrate surface creating a thin film of deposited material. Plasma can be used to assist in reaction of a precursor or for improvement of material properties. Volatile by-products are removed by gas flow through the reaction chamber. The deposited film thickness can be difficult to control because it depends on coordination of many parameters such as temperature, pressure, gas flow volumes and uniformity, chemical depletion effects, and time.

[0007] ALD is a chemical method for the deposition of thin films. It is a self-limiting, sequential, unique film growth technique based on surface reactions that can provide precise thickness control and deposit conformal thin films of materials provided by precursors onto surfaces substrates of varying compositions. In ALD, the precursors are separated during the reaction. The first precursor is passed over the substrate surface producing a monolayer on the substrate surface. Any excess unreacted precursor is pumped out of the reaction chamber. A second precursor or co-reactant is then passed over the substrate surface and reacts with the first precursor, forming a second monolayer of film over the first-formed monolayer of film on the substrate surface. Plasma may be used to assist with reaction of a precursor or co-reactant or for improvement in materials quality. This cycle is repeated to create a film of desired thickness. [0008] Thin films, and in particular thin metal-containing films, have a variety of important applications, such as in nanotechnology and the fabrication of semiconductor devices. Examples of such applications include capacitor electrodes, gate electrodes, adhesive diffusion barriers, and integrated circuits.

[0009] For forming molybdenum nitride films, the compound Mo(VI)(NMe2)2(NtBu)2: has been used to prepare nitride films. See Chem. Mater., 19, 263-269 (2007). The precursor is commonly referred to as MoBure. MoBure is evaporated below 100 °C and deposits molybdenum nitride films via thermal atomic layer deposition with ammonia. The growth rate was 0.5 A/cycle within the reactor temperature range of 260-300 °C. The film composition contains a Mo:N ratio of 1:1 and was predominately amorphous. Resistivity values of the thin film were not reported. Internal experiments have measured resistivities of >2000 mW-cm for MoBure.

[0010] Other methods for forming thin films from MoBure of molybdenum carbide and carbonitride films by plasma-enhanced atomic layer deposition and chemical vapor deposition with hydrogen have been reported. The electrical resistivity of such films (deposited at 150°C by plasma-enhanced process) was between 170-200 mW-cm. See J Vac. Sci. Technol. , A35, 01B141 (2017) and Thin Solid Films , 692 , 137607 (2017). No literature was identified describing such films, e.g. , molybdenum-containing films, with such low resistivity deposited from halogen-free metal-containing precursors are not known. Typically, a plasma-enhanced process is required to achieve low resistivity from halogen-free molybdenum and tungsten precursors.

[0011] Molybdenum paddlewheel compounds are generally known in the literature.

Although the known compounds have not been studied as precursors for ALD and CVD. In fact, most of the examples contain aromatic substituents that undesirably affect the key physical property of precursor volatility. The best-known example of a molybdenum paddlewheel compound with potential for ALD and CVD application is Mo2(OAc){(NiPr)2CMe}3 by Yamaguchi, Y. etal ., Inorganica Chim. Acta., 358, 2363-2370 (2005). However, as those skilled in the art recognize and understand, the presence of an acetate ligand in such compounds is a source for oxygen impurities that can be detrimental to applications requiring thin films with low resistivity. The disclosed and claimed subject matter, therefore, provides Group 6 ( i.e ., chromium, molybdenum and tungsten) paddlewheel compounds synthesized without acetate ligands. These new paddlewheel precursors are thermally stable and are suitable as CVD and ALD precursors that can be preferably delivered in liquid phase, have low impurities and can produce a high-quality film with high conformality and low resistivity.

[0012] The synthesis of the acetate-free paddlewheel compounds disclosed and claimed herein was determined to be dependent upon correctly choosing the amidinate or guanidinate ligand. Without intending or being bound by theory, when following the synthesis method from literature, complete replacement of all four acetate ligands is dependent upon the steric bulk of the amidinate or guanidinate ligand.

SUMMARY

[0013] The disclosed and claimed subject matter pertains to amidinate and guanidinate paddlewheel compounds of chromium, molybdenum and tungsten for use as ALD and CVD precursors. [0014] In one embodiment, the precursors are amidinate (“Ad”) paddlewheel compounds have the general Formula I shown below

where: M is one of chromium, molybdenum and tungsten; and

R 1 , R 2 and R 3 are each independently selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen and a C 3 -C 8 aromatic group substituted with an amino group. In one aspect of this embodiment, all four amidinate ligands have the same chemical structure. In another aspect of this embodiment, two or more of the amidinate ligands have the same chemical structure. In another aspect of this embodiment, all four amidinate ligands have a different chemical structure. [0015] In additional aspects of this embodiment, the compounds of Formula I include heterocyclic Ad ligands (Formula II-A and Formula II-B) and/or heterocyclic bicyclic Ad ligands (Formula II-C) as shown below where one or both of (a) R 1 and R 3 and (b) R 2 and R 3 independently constitute parts of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0016] In one aspect, the precursor has Formula II-A:

II-A where: M is one of chromium, molybdenum and tungsten;

R 2 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen, a C 3 -C 8 aromatic group substituted with an amino group; and

R 1 and R 3 constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0017] In one aspect, the precursor has Formula II-B: where: M is one of chromium, molybdenum and tungsten;

R 1 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C3-C6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH3)3, a C3-C8 unsubstituted cyclic alkyl group, a C3-C8 cyclic alkyl group substituted with a halogen, a C3-C8 cyclic alkyl group substituted with an amino group, a C3-C8 unsubstituted aromatic group, a C3-C8 aromatic group substituted with a halogen, a C3-C8 aromatic group substituted with an amino group; and

R 2 and R 3 constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0018] In one aspect, the precursor has Formula II-B: where: M is one of chromium, molybdenum and tungsten; and each of (a) R 1 and R 3 and (b) R 2 and R 3 independently constitute parts of a 5- or 6- member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0019] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes in each 5- or 6- membered ring described in Formula II-A and Formula II-B and/or Formula II-C above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0020] In another embodiment the precursors are guanidinate (“Gd”) paddlewheel compounds have the general Formula III shown below III where: M is one of chromium, molybdenum and tungsten; and

R 1 , R 2 , R 3A and R 3B are each independently selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 - C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen and a C 3 -C 8 aromatic group substituted with an amino group. In one aspect of this embodiment, all four guanidinate ligands have the same chemical structure. In another aspect of this embodiment, two or more of the guanidinate ligands have the same chemical structure. In another aspect of this embodiment, all four guanidinate ligands have a different chemical structure.

[0021] In additional aspects of this embodiment, the compounds of Formula III include heterocyclic Gd ligands (Formula IV-A and Formula IV-B) and/or heterocyclic bicyclic Gd ligands (Formula IV-C) as shown below where one or both of (a) R 1 and R 3 and (b) R 2 and R 3 independently constitute parts of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0022] In one aspect, the precursor has Formula IV-A: IV- A where: M is one of chromium, molybdenum and tungsten;

R 2 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen, a C 3 -C 8 aromatic group substituted with an amino group; and

R 1 and R x constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen, where R z is one of R 3A and R 3B and R x is the other of R 3A and R 3B that is not connected by a linking group to R 1 .

[0023] In one aspect, the precursor has Formula IV-B: where: M is one of chromium, molybdenum and tungsten;

R 1 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C3-C6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH3)3, a C3-C8 unsubstituted cyclic alkyl group, a C3-C8 cyclic alkyl group substituted with a halogen, a C3-C8 cyclic alkyl group substituted with an amino group, a C3-C8 unsubstituted aromatic group, a C3-C8 aromatic group substituted with a halogen, a C3-C8 aromatic group substituted with an amino group; and

R 2 and R z constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen, where R z is one of R 3A and R 3B and R x is the other of R 3A and R 3B that is not connected by a linking group to R 2 .

[0024] In one aspect, the precursor has Formula IV-C: where: M is one of chromium, molybdenum and tungsten; and each of (a) R 1 and R x and (b) R 2 and R z independently constitute parts of a 5- or 6- member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen, where R z is one ofR 3A and R 3B and R x is the other of R 3A and R 3B .

[0025] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes in each 5- or 6- membered ring described in Formula IV-A and Formula IV-B and/or Formula IV-C above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0026] The above precursors, and the more specifically described embodiments below, of compound provide halide-free and oxygen-free precursors for applications where such contaminates are detrimental. [0027] The disclosed and claimed subject further includes (i) compositions and formulations that include the disclosed and claimed precursors, (ii) methods of using the disclosed and claimed precursors in deposition processes and (iii) metal -containing films derived from the disclosed and claimed precursors produced in deposition processes. The method produces thin films with improved properties compared to known methods which could be attributed to the low oxidation state of the paddlewheel precursors. Such metal and metal-containing thin films can be produced by thermal or plasma ALD and CVD using the disclosed and claimed precursors.

[0028] The precursor can be used to produce metal -containing ( e.g ., molybdenum) thin films under mild conditions. For example, molybdenum carbonitride thin films with low electrical resistivity have been deposited in the absence of a plasma in a thermal ALD process from molybdenum (II) amidinate precursor of the disclosed and claimed subject matter. Traditionally, molybdenum carbonitride thin films produced from MoBure required a plasma-enhanced process. Without intending or being bound by theory, it appears that the “rigid structure” of the paddlewheel compounds very effectively stabilizes the low valent metal atom to afford a compound with thermal stability and volatility. In contrast, for example, MoBure has an (VI) oxidation state which requires a strongly reducing hydrogen plasma in order to deposit thin films with low resistivity.

BRIEF DESCRIPTION OF THE DRAWINGS [0029] The accompanying drawings, which are included to provide a further understanding of the disclosed subject matter and are incorporated in and constitute a part of this specification, illustrate embodiments of the disclosed subject matter and together with the description serve to explain the principles of the disclosed subject matter. In the drawings:

[0030] FIG. 1 illustrates the thermo gravimetric analysis of examples 1 to 4 (where A =

MO 2 (3A) 4 ; B = MO 2 -(3B) 4 ; C = Mo 2 -(3TT) 4 as illustrated in Table 5 and comparative example MO2-(3J) 3 (AC));

[0031] FIG. 2 illustrates the proton ( 1 FT) NMR of tetrakis(N,N’-dimethylformamidinate) dimolybdenum of Example 1;

[0032] FIG. 3 illustrates the proton ( 1 FT) NMR of tetrakis(N,N’-diethylformamidinate) dimolybdenum of Example 2;

[0033] FIG. 4 illustrates the proton ( 1 FT) NMR of tetrakis(N-sec-butyliminopyrrolydinate) dimolybdenum of Example 3;

[0034] FIG. 5 illustrates top down and cross-section SEM of Mo-containing film deposited at 350 °C wafer temperature by NH3 CCVD process of Example 5: 10 sec of Mo2(Et-FMD)4 / 30 sec Ar purge / 5 sec of NEE/ 30 sec of Ar purge;

[0035] FIG. 6 illustrates top down and cross-section SEM of Mo-containing film deposited at 350 °C wafer temperature by NEE CCVD process of Example 5: 20 sec of Mo2(Et-FMD)4 / 30 sec Ar purge / 5 sec of NEE/ 30 sec of Ar purge; and

[0036] FIG. 7 illustrates Auger depth profile of Mo-containing film deposited at 350 °C wafer temperature by NEE CCVD process of Example 5: 10 sec of Mo2(Et-FMD)4 / 30 sec Ar purge / 5 sec of NEE/ 30 sec of Ar purge.

[0037] FIG. 8 illustrates the crystal structure of Mo2(Me-FMD)4

[0038] FIG. 9 illustrates the crystal structure of Mo2(Et-FMD)4

[0039] FIG. 10 illustrates a cross-section TEM of Mo-containing film deposited at 360°C and 375 °C wafer temperature on high aspect ratio patterned wafer by NEE ALD process of the Example 11 : 20 sec of Mo2(Et-FMD)4 / 30 sec Ar purge / 5 sec of NEE/ 30 sec of Ar purge;

[0040] FIG. 11 illustrates a cross-section TEM of thin continuous film deposited at 400°C wafer temperature on silicon oxide substrate by NEE CCVD process of Example 12: 10 sec of Mo2(Me-FMD)4 / 30 sec Ar purge / 5 sec of NEE/ 30 sec of Ar purge;

[0041] FIG. 12 illustrates a photo of the silicon oxide wafers after deposition of Mo metal film by M0O2CI2/EE thermal ALD on the wafers with and without a seed layer deposited by Mo2(Me-FMD)4 , as described in the example 15;

[0042] FIG. 13 illustrates a cross-section TEM of the low resistivity Mo metal film deposited by M0O2Q2/EE thermal ALD on a seed layer deposited by NH3 CCVD process of Example 16: 10 sec of Mo2(Me-FMD)4 / 30 sec Ar purge / 5 sec of NEE/ 30 sec of Ar purge;

[0043] FIG. 14 illustrates simulated powder X-ray Diffraction (PXRD) spectrum using experimental unit cell parameters of Mo2(Me-FMD)4; and

[0044] FIG. 15 illustrates simulated powder X-ray Diffraction (PXRD) spectrum using experimental unit cell parameters of Mo2(Et-FMD)4.

DETAILED DESCRIPTION

[0045] All references, including publications, patent applications, and patents, cited herein are hereby incorporated by reference to the same extent as if each reference were individually and specifically indicated to be incorporated by reference and were set forth in its entirety herein. [0046] The use of the terms "a" and "an" and "the" and similar referents in the context of describing the disclosed and claimed subject matter (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms “comprising,” “having,” “including,” and “containing” are to be construed as open-ended terms (i.e., meaning “including, but not limited to,”) unless otherwise noted. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language ( e.g ., “such as”) provided herein, is intended merely to better illuminate the disclosed and claimed subject matter and does not pose a limitation on the scope of the disclosed and claimed subject matter unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the disclosed and claimed subject matter. The use of the term “comprising” or “including” in the specification and the claims includes the narrower language of “consisting essentially of’ and “consisting of.”

[0047] Embodiments of the disclosed and claimed subject matter are described herein, including the best mode known to the inventors for carrying out the disclosed and claimed subject matter. Variations of those embodiments may become apparent to those of ordinary skill in the art upon reading the foregoing description. The inventors expect skilled artisans to employ such variations as appropriate, and the inventors intend for the disclosed and claimed subject matter to be practiced otherwise than as specifically described herein. Accordingly, the disclosed and claimed subject matter includes all modifications and equivalents of the subject matter recited in the claims appended hereto as permitted by applicable law. Moreover, any combination of the above-described elements in all possible variations thereof is encompassed by the disclosed and claimed subject matter unless otherwise indicated herein or otherwise clearly contradicted by context.

[0048] It will be understood that the term “silicon” as deposited as a material on a microelectronic device will include polysilicon.

[0049] For ease of reference, “microelectronic device” or “semiconductor device” corresponds to semiconductor wafers having integrated circuits, memory, and other electronic structures fabricated thereon, and flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. Solar substrates include, but are not limited to, silicon, amorphous silicon, poly crystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The solar substrates may be doped or undoped. It is to be understood that the term “microelectronic device” or “semiconductor device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.

[0050] As defined herein, the term “barrier material” corresponds to any material used in the art to seal the metal lines, e.g. , copper interconnects, to minimize the diffusion of said metal, e.g, copper, into the dielectric material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, and other refractory metals and their nitrides and silicides.

[0051] “Substantially free” is defined herein as less than 0.001 wt. %. “Substantially free” also includes 0.000 wt. %. The term “free of’ means 0.000 wt. %. As used herein, "about" or “approximately” are intended to correspond to within ± 5% of the stated value.

[0052] “Ad ligand” means amidinate ligand. “Gd ligand” means guanidinate ligand.

[0053] “Alkylene” means a linear saturated divalent hydrocarbon radical of one to six carbon atoms or a branched saturated divalent hydrocarbon radical of three to six carbon atoms unless otherwise stated (e.g, methylene, ethylene, propylene, 1-methylpropylene, 2- methylpropylene, butylene, pentylene, and the like).

[0054] “Heteroalkylene” means an -(alkylene)- radical as defined above where one, two or three carbons in the alkylene chain is replaced by -0-, N(H, alkyl, or substituted alkyl), S, SO, S02, or CO. In some preferred embodiments, the carbons are replaced by O or N.

[0055] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage (or “weight %”) ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed. Note all percentages of the components are weight percentages and are based on the total weight of the composition, that is, 100%. Any reference to “one or more” or “at least one” includes “two or more” and “three or more” and so on.

[0056] Where applicable, all weight percents unless otherwise indicated are “neat” meaning that they do not include the aqueous solution in which they are present when added to the composition. For example, “neat” refers to the weight % amount of an undiluted acid or other material (i.e., the inclusion 100 g of 85% phosphoric acid constitutes 85 g of the acid and 15 grams of diluent).

[0057] Moreover, when referring to the compositions described herein in terms of weight %, it is understood that in no event shall the weight % of all components, including non-essential components, such as impurities, add to more than 100 weight %. In compositions “consisting essentially of’ recited components, such components may add up to 100 weight % of the composition or may add up to less than 100 weight %. Where the components add up to less than 100 weight %, such composition may include some small amounts of a non-essential contaminants or impurities. For example, in one such embodiment, the formulation can contain 2% by weight or less of impurities. In another embodiment, the formulation can contain 1% by weight or less than of impurities. In a further embodiment, the formulation can contain 0.05% by weight or less than of impurities. In other such embodiments, the constituents can form at least 90 wt%, more preferably at least 95 wt% , more preferably at least 99 wt%, more preferably at least 99.5 wt%, most preferably at least 99.9 wt%, and can include other ingredients that do not material affect the performance of the wet etchant. Otherwise, if no significant non-essential impurity component is present, it is understood that the composition of all essential constituent components will essentially add up to 100 weight %.

[0058] The headings employed herein are not intended to be limiting; rather, they are included for organizational purposes only.

[0059] Exemplary Embodiments

[0060] The disclosed and claimed subject matter pertains to amidinate and guanidinate paddlewheel compounds of chromium, molybdenum and tungsten for use as ALD and CVD precursors.

[0061] Amidinate Paddlewheel Precursors

[0062] One aspect of the disclosed and claimed subject matter pertains to amidinate paddlewheel compounds of Formula I: where: M is one of chromium, molybdenum and tungsten; and

R 1 , R 2 and R 3 are each independently selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C3-C6 alkyl group, a branched C3-C6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH3)3, a C3-C8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen and a C3-C8 aromatic group substituted with an amino group. In one aspect of this embodiment, all four amidinate ligands have the same chemical structure. In another aspect of this embodiment, two or more of the amidinate ligands have the same chemical structure. In another aspect of this embodiment, all four amidinate ligands have a different chemical structure. [0063] In one aspect of this embodiment, R 1 , R 2 and R 3 are each independently selected from H, unsubstituted linear Ci to C 3 alkyl group and an unsubstituted branched C 3 or C 4 alkyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a methyl group. In one aspect, one or more of R 1 , R 2 and R 3 is an ethyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a propyl group. In one aspect, one or more of R 1 , R 2 and R 3 is an isopropyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a sec-butyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a n-butyl group. In one aspect, one or more of R 1 , R 2 and R 3 is an iso-butyl group.

[0064] In one aspect of this embodiment, M is chromium. In another aspect of this embodiment, M is molybdenum. In another aspect of this embodiment, M is tungsten.

[0065] In some embodiments, the amidinate ligand (“Ad Ligand”) has a structure as

Table 1

[0066] In one aspect of this embodiment, the compounds of Formula I have the following structure where the Ad ligand is a formamidinate ligand: where: M = Cr, Mo, W;

R 1 = a C 1 -C 5 substituted or unsubstituted alkyl group; and R 2 = a C 1 -C 5 substituted or unsubstituted alkyl group.

In one example of this embodiment, M = Mo and each of R 1 and R 2 is a methyl group (-CH 3 ):

In one example of this embodiment, M = Mo and each of R 1 and R 2 is an ethyl group (-CH 2 CH 3 ):

[0067] In additional aspects of this embodiment, the compounds of Formula I include heterocyclic Ad ligands (Formula II-A and Formula II-B) and/or heterocyclic bicyclic Ad ligands (Formula II-C) as shown below where one or both of (a) R 1 and R 3 and (b) R 2 and R 3 independently constitute parts of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0068] In one aspect, the precursor has Formula II-A:

II-A where: M is one of chromium, molybdenum and tungsten;

R 2 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C3-C6 alkyl group, a branched C3-C6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH3)3, a C3-C8 unsubstituted cyclic alkyl group, a C3-C8 cyclic alkyl group substituted with a halogen, a C3-C8 cyclic alkyl group substituted with an amino group, a C3-C8 unsubstituted aromatic group, a C3-C8 aromatic group substituted with a halogen, a C3-C8 aromatic group substituted with an amino group; and

R 1 and R 3 constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0069] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0070] In one aspect of this embodiment, R 1 and R 3 constitute part of a 5-member heterocyclic ring. In a further aspect, R 1 and R 3 are an unsubstituted alkylene linking group containing three carbons. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing three carbons. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing three carbons substituted by at least one halogen atom. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing three carbons substituted by at least one fluorine atom. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing three carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 1 and R 3 are an unsubstituted heteroalkylene linking group containing two carbons and an oxygen. In a further aspect, R 1 and R 3 are an unsubstituted heteroalkylene linking group containing two carbons and a nitrogen. In a further aspect, R 1 and R 3 are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 1 and R 3 are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0071] In one aspect of this embodiment, R 1 and R 3 constitute part of a 6-member heterocyclic ring. In a further aspect, R 1 and R 3 are an unsubstituted alkylene linking group containing four carbons. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing four carbons. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing four carbons substituted by at least one halogen atom. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing four carbons substituted by at least one fluorine atom. In a further aspect, R 1 and R 3 are a substituted alkylene linking group containing four carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 1 and R 3 are an unsubstituted heteroalkyl ene linking group containing three carbons and an oxygen. In a further aspect, R 1 and R 3 are an unsubstituted heteroalkylene linking group containing three carbons and a nitrogen. In a further aspect, R 1 and R 3 are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 1 and R 3 are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0072] In one aspect, the precursor has Formula II-B: where: M is one of chromium, molybdenum and tungsten;

R 1 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen, a C 3 -C 8 aromatic group substituted with an amino group; and R 2 and R 3 constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0073] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0074] In one aspect of this embodiment, R 2 and R 3 constitute part of a 5-member heterocyclic ring. In a further aspect, R 2 and R 3 are an unsubstituted alkylene linking group containing three carbons. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing three carbons. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing three carbons substituted by at least one halogen atom. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing three carbons substituted by at least one fluorine atom. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing three carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 2 and R 3 are an unsubstituted heteroalkylene linking group containing two carbons and an oxygen. In a further aspect, R 2 and R 3 are an unsubstituted heteroalkylene linking group containing two carbons and a nitrogen. In a further aspect, R 2 and R 3 are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 2 and R 3 are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0075] In one aspect of this embodiment, R 2 and R 3 constitute part of a 6-member heterocyclic ring. In a further aspect, R 2 and R 3 are an unsubstituted alkylene linking group containing four carbons. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing four carbons. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing four carbons substituted by at least one halogen atom. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing four carbons substituted by at least one fluorine atom. In a further aspect, R 2 and R 3 are a substituted alkylene linking group containing four carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 2 and R 3 are an unsubstituted heteroalkylene linking group containing three carbons and an oxygen. In a further aspect, R 2 and R 3 are an unsubstituted heteroalkylene linking group containing three carbons and a nitrogen. In a further aspect, R 2 and R 3 are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 2 and R 3 are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0076] In one aspect, the precursor has Formula II-C: II-C where: M is one of chromium, molybdenum and tungsten; and each of (a) R 1 and R 3 and (b) R 2 and R 3 independently constitute parts of a 5- or 6- member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0077] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0078] In one aspect of this embodiment, each of (a) R 1 and R 3 and (b) R 2 and R 3 each independently constitute part of a 5-member heterocyclic ring. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently an unsubstituted alkylene linking group containing three carbons. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing three carbons. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing three carbons substituted by at least one halogen atom. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing three carbons substituted by at least one fluorine atom. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing three carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently an unsubstituted heteroalkylene linking group containing two carbons and an oxygen. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently an unsubstituted heteroalkylene linking group containing two carbons and a nitrogen. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect of this embodiment, each of (a) R 1 and R 3 and (b) R 2 and R 3 are the same. In a further aspect of this embodiment, each of (a) R 1 and R 3 and (b) R 2 and R 3 are different.

[0079] In one aspect of this embodiment, each of (a) R 1 and R 3 and (b) R 2 and R 3 each independently constitute part of a 6-member heterocyclic ring. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently an unsubstituted alkylene linking group containing four carbons. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing four carbons. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing four carbons substituted by at least one halogen atom. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing four carbons substituted by at least one fluorine atom. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted alkylene linking group containing four carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently an unsubstituted heteroalkylene linking group containing three carbons and an oxygen. In a further aspect, each of (a) R 1 and R 3 and (b) R 2 and R 3 are each independently an unsubstituted heteroalkylene linking group containing three carbons and a nitrogen. In a further aspect, each of

(a) R 1 and R 3 and (b) R 2 and R 3 are each independently a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, each of (a) R 1 and R 3 and

(b) R 2 and R 3 are each independently a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect of this embodiment, each of (a) R 1 and R 3 and (b) R 2 and R 3 are the same. In a further aspect of this embodiment, each of (a) R 1 and R 3 and (b) R 2 and R 3 are different.

[0080] In some embodiments, the tethered heterocyclic Ad ligands (Formula II- A and

Formula II-B) and/or heterocyclic bicyclic Ad ligands (Formula II-C) have a structure as illustrated in Table 2:

Table 2

[0081] In one aspect of this embodiment, the compounds of Formula II-A and/or II-B have the following structure where the Ad ligand is an iminopyrrolidinate ligand: where: M = Cr, Mo, W; and

R* = whichever of R 1 and R 2 does not form a ring with R 3 and is a C 1 -C 5 substituted or unsubstituted alky group.

[0082] In another aspect of this embodiment, the compounds of Formula II-A and/or II-B have the following structure where the Ad ligand is an iminopiperidinate ligand:

R* = whichever of R 1 and R 2 does not form a ring with R 3 and is a C 1 -C 5 substituted or unsubstituted alkyl group.

[0083] In one example of this embodiment, M = Mo and R* is sec-butyl group (-

CH(CH 3 )CH 2 CH3):

[0084] In one aspect, the precursor has Formula II-D: II-D where: M is one of chromium, molybdenum and tungsten; and each of (a) R 1 and R 2 independently constitute parts of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen.

[0085] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either two or three atoms exclusive of any substituents or pendent chains thereon.

[0086] In some embodiments, the tethered heterocyclic Ad ligands (Formula II-D) have a structure as illustrated in Table 3, and are based on 2-imidazoline ligand:

Table 3

[0087] In some embodiments, the tethered heterocyclic Ad ligands (Formula II-D) have a structure as illustrated in Table 4, and are based on 1,4, 5, 6 tetrahydropyrimidine ligand:

Table 4

[0088] In one aspect of this embodiment, the compounds of Formula II-D have the following structure where the Ad ligand is 2-methyl-2-imidazoline ligand:

where: M = Cr, Mo, W.

[0089] In one aspect of this embodiment, the compounds of Formula II-D have the following structure where the Ad ligand is an 1,4,5,6-tetrahydropyrimidine ligand: where: M = Cr, Mo, W.

[0090] Guanidinate Paddlewheel Precursors

[0091] Another aspect of the disclosed and claimed subject matter pertains to guanidinate

(“Gd’) paddlewheel compounds of chromium, molybdenum and tungsten of Formula III: III where: M is one of chromium, molybdenum and tungsten; and

R 1 , R 2 , R 3A and R 3B are each independently selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 - C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C3-C8 cyclic alkyl group substituted with a halogen, a C3-C8 cyclic alkyl group substituted with an amino group, a C3-C8 unsubstituted aromatic group, a C3-C8 aromatic group substituted with a halogen and a C3-C8 aromatic group substituted with an amino group. In one aspect of this embodiment, all four guanidinate ligands have the same chemical structure. In another aspect of this embodiment, two or more of the guanidinate ligands have the same chemical structure. In another aspect of this embodiment, all four guanidinate ligands have a different chemical structure.

[0092] In one aspect of this embodiment, R 1 , R 2 and R 3 are each independently selected from H, unsubstituted linear Ci to C3 alkyl group and an unsubstituted branched C3 or C4 alkyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a methyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a ethyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a propyl group. In one aspect, one or more of R 1 , R 2 and R 3 is an isopropyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a sec-butyl group. In one aspect, one or more of R 1 , R 2 and R 3 is a n-butyl group. In one aspect, one or more of R 1 , R 2 and R 3 is an iso-butyl group.

[0093] In one aspect of this embodiment, M is chromium. In another aspect of this embodiment, M is molybdenum. In another aspect of this embodiment, M is tungsten.

[0094] In some embodiments, the tethered guanidinate ligand (“Gd Ligand”) has a

Table 5

[0095] In additional aspects of this embodiment, the compounds of Formula III include heterocyclic Gd ligands (Formula IV- A and Formula IV-B) and/or heterocyclic bicyclic Ad ligands (Formula IV-C) as shown below where one or both of (a) R 1 and R 3A or 3B and (b) R 2 and R 3A or 3B independently constitute parts of a 5 - or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen. [0096] In one aspect, the precursor has Formula IV-A:

where: M is one of chromium, molybdenum and tungsten;

R 2 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen, a C 3 -C 8 aromatic group substituted with an amino group; and

R 1 and R x constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen, where R z is one of R 3A and R 3B and R x is the other of R 3A and R 3B that is not connected by a linking group to R 1 .

[0097] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0098] In one aspect of this embodiment, R 1 and R x constitute part of a 5-member heterocyclic ring. In a further aspect, R 1 and R x are an unsubstituted alkylene linking group containing three carbons. In a further aspect, R 1 and R x are a substituted alkylene linking group containing three carbons. In a further aspect, R 1 and R x are a substituted alkylene linking group containing three carbons substituted by at least one halogen atom. In a further aspect, R 1 and R x are a substituted alkylene linking group containing three carbons substituted by at least one fluorine atom. In a further aspect, R 1 and R x are a substituted alkylene linking group containing three carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 1 and R x are an unsubstituted heteroalkyl ene linking group containing two carbons and an oxygen. In a further aspect, R 1 and R x are an unsubstituted heteroalkylene linking group containing two carbons and a nitrogen. In a further aspect, R 1 and R x are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 1 and R x are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0099] In one aspect of this embodiment, R 1 and R x constitute part of a 6-member heterocyclic ring. In a further aspect, R 1 and R x are an unsubstituted alkylene linking group containing four carbons. In a further aspect, R 1 and R x are a substituted alkylene linking group containing four carbons. In a further aspect, R 1 and R x are a substituted alkylene linking group containing four carbons substituted by at least one halogen atom. In a further aspect, R 1 and R x are a substituted alkylene linking group containing four carbons substituted by at least one fluorine atom. In a further aspect, R 1 and R x are a substituted alkylene linking group containing four carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 1 and R x are an unsubstituted heteroalkylene linking group containing three carbons and an oxygen. In a further aspect, R 1 and R x are an unsubstituted heteroalkylene linking group containing three carbons and a nitrogen. In a further aspect, R 1 and R x are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 1 and R x are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or mor more methyl groups.

[0100] In one aspect, the precursor has Formula IV-B:

where: M is one of chromium, molybdenum and tungsten;

R 1 is selected from H, D, an unsubstituted linear C 1 -C 6 alkyl group, a linear C 1 -C 6 alkyl group substituted with a halogen, a linear C 1 -C 6 alkyl group substituted with an amino group, an unsubstituted branched C 3 -C 6 alkyl group, a branched C 3 -C 6 alkyl group substituted with a halogen, a branched C 3 -C 6 alkyl group substituted with an amino group, an unsubstituted amine, an substituted amine, -Si(CH 3 ) 3 , a C 3 -C 8 unsubstituted cyclic alkyl group, a C 3 -C 8 cyclic alkyl group substituted with a halogen, a C 3 -C 8 cyclic alkyl group substituted with an amino group, a C 3 -C 8 unsubstituted aromatic group, a C 3 -C 8 aromatic group substituted with a halogen, a C 3 -C 8 aromatic group substituted with an amino group; and

R 2 and R z constitute part of a 5- or 6-member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen, where R z is one of R 3A and R 3B and R x is the other of R 3A and R 3B that is not connected by a linking group to R 2 .

[0101] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0102] In one aspect of this embodiment, R 2 and R z constitute part of a 5-member heterocyclic ring. In a further aspect, R 2 and R z are an unsubstituted alkylene linking group containing three carbons. In a further aspect, R 2 and R z are a substituted alkylene linking group containing three carbons. In a further aspect, R 2 and R z are a substituted alkylene linking group containing three carbons substituted by at least one halogen atom. In a further aspect, R 2 and R z are a substituted alkylene linking group containing three carbons substituted by at least one fluorine atom. In a further aspect, R 2 and R z are a substituted alkylene linking group containing three carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 2 and R 3 are an unsubstituted heteroalkyl ene linking group containing two carbons and an oxygen. In a further aspect, R 2 and R z are an unsubstituted heteroalkylene linking group containing two carbons and a nitrogen. In a further aspect, R 2 and R z are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 2 and R z are a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0103] In one aspect of this embodiment, R 2 and R z constitute part of a 6-member heterocyclic ring. In a further aspect, R 2 and R z are an unsubstituted alkylene linking group containing four carbons. In a further aspect, R 2 and R z are a substituted alkylene linking group containing four carbons. In a further aspect, R 2 and R z are a substituted alkylene linking group containing four carbons substituted by at least one halogen atom. In a further aspect, R 2 and R z are a substituted alkylene linking group containing four carbons substituted by at least one fluorine atom. In a further aspect, R 2 and R z are a substituted alkylene linking group containing four carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n- butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, R 2 and R z are an unsubstituted heteroalkylene linking group containing three carbons and an oxygen. In a further aspect, R 2 and R z are an unsubstituted heteroalkylene linking group containing three carbons and a nitrogen. In a further aspect, R 2 and R z are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, R 2 and R z are a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups.

[0104] In one aspect, the precursor has Formula IV-C:

where: M is one of chromium, molybdenum and tungsten; and each of (a) R 1 and R x and (b) R 2 and R z independently constitute parts of a 5- or 6- member heterocyclic ring and are one of (i) an unsubstituted alkylene linking group, (ii) a substituted alkylene linking group, (iii) an unsubstituted heteroalkylene linking group where containing a hetero atom is selected from oxygen and nitrogen and (iv) a substituted heteroalkylene linking group containing a hetero atom selected from oxygen and nitrogen, where R z is one ofR 3A and R 3B and R x is the other of R 3A and R 3B .

[0105] As those this skilled in the art will understand, the backbone of the alkylenes and heteroalkylenes described in the embodiment above will contain either three or four atoms exclusive of any substituents or pendent chains thereon.

[0106] In one aspect of this embodiment, each of (a) R 1 and R x and (b) R 2 and R z each independently constitute part of a 5-member heterocyclic ring. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently an unsubstituted alkylene linking group containing three carbons. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing three carbons. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing three carbons substituted by at least one halogen atom. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing three carbons substituted by at least one fluorine atom. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing three carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently an unsubstituted heteroalkylene linking group containing two carbons and an oxygen. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently an unsubstituted heteroalkylene linking group containing two carbons and a nitrogen. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted heteroalkylene linking group containing two carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect of this embodiment, each of (a) R 1 and R x and (b) R 2 and R z are the same. In a further aspect of this embodiment, each of (a) R 1 and R x and (b) R 2 and R z are different. [0107] In one aspect of this embodiment, each of (a) R 1 and R x and (b) R 2 and R z each independently constitute part of a 6-member heterocyclic ring. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently an unsubstituted alkylene linking group containing four carbons. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing four carbons. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing four carbons substituted by at least one halogen atom. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing four carbons substituted by at least one fluorine atom. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently a substituted alkylene linking group containing four carbons substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently an unsubstituted heteroalkylene linking group containing three carbons and an oxygen. In a further aspect, each of (a) R 1 and R x and (b) R 2 and R z are each independently an unsubstituted heteroalkylene linking group containing three carbons and a nitrogen. In a further aspect, each of

(a) R 1 and R x and (b) R 2 and R z are each independently a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted with a halogen. In a preferred embodiment of this aspect, the halogen is a fluorine. In a further aspect, each of (a) R 1 and R x and

(b) R 2 and R z are each independently a substituted heteroalkylene linking group containing three carbons, an oxygen or a nitrogen atom and substituted by at least one of a methyl group, ethyl group, n-propyl group, isopropyl, s-butyl, n-butyl, isobutyl or t-butyl group. In a preferred embodiment of this aspect, the substituent is one or more methyl groups. In a further aspect of this embodiment, each of (a) R 1 and R x and (b) R 2 and R z are the same. In a further aspect of this embodiment, each of (a) R 1 and R x and (b) R 2 and R z are different.

[0108] In some embodiments, the tethered heterocyclic Gd ligands (Formula IV-A and

Formula IV-B) and/or heterocyclic bicyclic Gd ligands. (Formula IV-C) have a structure as illustrated in Table 6:

Table 6

[0109] Highlighted Paddlewheel Precursors

[0110] Table 7 below identifies specific embodiments of paddlewheel precursors of general formulae (i) M2-(Ad Ligand) 4 and (ii) M2-(Gd Ligand) 4 that include the ligands set forth in Tables 1-6.

Table 7

[0111] In one preferred embodiment, the precursor is M 2 -(3A) 4 set forth in Table 7 where

M = Mo:

[0112] In one preferred embodiment, the precursor is M 2 -(3A) 4 set forth in Table 7 where M = Cr:

[0113] In one preferred embodiment, the precursor is M 2 -(3A) 4 set forth in Table 7 where

M = W:

[0114] In another preferred embodiment, the precursor is M 2 -(3B) 4 set forth in Table 7 where M Mo:

[0115] In another preferred embodiment, the precursor is M 2 -(3B) 4 set forth in Table 7 where M Cr:

[0116] In another preferred embodiment, the precursor is M 2 -(3B) 4 set forth in Table 7 where M W:

[0117] In one preferred embodiment, the precursor is M 2 -(3D) 4 set forth in Table 7 where

M = W:

[0118] In one preferred embodiment, the precursor is M 2 -(3XX) 4 set forth in Table 7 where

M = Mo:

[0119] In one preferred embodiment, the precursor is M 2 -(3XX) 4 set forth in Table 7 where

M = Cr:

[0120] In one preferred embodiment, the precursor is M 2 -(3XX) 4 set forth in Table 7 where

M = W:

[0121] In one preferred embodiment, the precursor is M 2 -(3UU) 4 set forth in Table 7 where

M = Mo:

[0122] In one preferred embodiment, the precursor is M 2 -(3UU) 4 set forth in Table 7 where

M = Cr:

[0123] In one preferred embodiment, the precursor is M 2 -(3UU) 4 set forth in Table 7 where

M = W:

[0124] In one preferred embodiment, the precursor is M 2 -(3Z) 4 set forth in Table 7 where

M = Mo:

[0125] In one preferred embodiment, the precursor is M 2 -(3Z) 4 set forth in Table 7 where

M = Cr:

[0126] In one preferred embodiment, the precursor is M 2 -(3Z) 4 set forth in Table 7 where

M = W:

[0127] In one preferred embodiment, the precursor is M 2 -(3Z) 4 set forth in Table 7 where

M = Mo:

[0128] In one preferred embodiment, the precursor is M 2 -(3Z) 4 set forth in Table 7 where

M = Cr:

[0129] In one preferred embodiment, the precursor is M 2 -(3Z) 4 set forth in Table 7 where

M = W:

[0130] In one preferred embodiment, the precursor is M 2 -(3KK) 4 set forth in Table 7 where

M = Mo:

[0131] In one preferred embodiment, the precursor is M 2 -(3KK) 4 set forth in Table 7 where

M = Cr:

[0132] In one preferred embodiment, the precursor is M 2 -(3KK) 4 set forth in Table 7 where

M = W:

[0133] In one preferred embodiment, the precursor is M 2 -(3QQ) 4 set forth in Table 7 where

M = Mo:

[0134] In one preferred embodiment, the precursor is M 2 -(3QQ) 4 set forth in Table 7 where

M = Cr:

[0135] In one preferred embodiment, the precursor is M 2 -(3QQ) 4 set forth in Table 7 where

M = W:

[0136] In one preferred embodiment, the precursor is M 2 -(3TT) 4 set forth in Table 7 where

M = Mo:

[0137] In one preferred embodiment, the precursor is M 2 -(3TT) 4 set forth in Table 7 where

M = Cr:

[0138] In one preferred embodiment, the precursor is M 2 -(3TT) 4 set forth in Table 7 where

M = W:

[0139] The disclosed and claimed precursors are not limited to those exemplified in Table

7. In addition, the Ad ligands and Gd ligands are not limited to those exemplified in Tables 1-7.

[0140] Method of Synthesis

[0141] The disclosed and claimed paddlewheel precursors are generally made according to the following formula (which is exemplified here using molybdenum to form a molybdenum

(II) amidinate paddlewheel compound):

[0142] In the above reaction, molybdenum (P) acetate is suspended in a suitable solvent (e.g,

THF, toluene, hexane) and a solution of potassium amidinate is slowly added. Potassium amidinate can be prepared by reaction of the amidinium sulphates with potassium hexamethyldisilazide. The reaction mixture is stirred for a period of time (ca. 4-48 h) after which the solvent is removed by vacuum distillation. The crude reaction material is extracted with a suitable solvent (e.g, hexane, toluene, THF) and separated from any insoluble solid by filtration. The solvent of the filtrate solution is removed by vacuum distillation to afford the product as a solid. The solid is purified by vacuum sublimation. [0143] In an alternative synthesis route the disclosed and claimed paddlewheel precursors are generally made according to the following formula (which is exemplified here using molybdenum to form a molybdenum (II) amidinate paddlewheel compound):

[0144] In the above reaction, molybdenum (II) acetate is suspended in a suitable solvent (e.g,

THF, toluene, hexane) and a solution of sodium amidinate is slowly added. Sodium amidinate can be prepared by reaction of “amidine” (protonated amidinate ligand) with sodium hydride. The reaction mixture is stirred for a period of time (ca. 4-48 h) after which the solvent is removed by vacuum distillation. The crude reaction material is extracted with a suitable solvent (e.g , hexane, toluene, THF) and separated from any insoluble solid by filtration. The solvent of the filtrate solution is removed by vacuum distillation to afford the product as a solid. The solid is purified by re-crystallization.

[0145] Those skilled in the art will recognize that other metals (i.e., chromium and tungsten) and/or the guanidinate ligands can be used in the same general process. Thus, in one embodiment, the disclosed and claimed subject matter includes synthesizing precursors of formula M2-(Ad Ligand) 4 and/or M2-(Ad Ligand) 4 according to the following reaction where M is one of chromium, molybdenum and tungsten and the Ad Ligand and Gd ligand are as described above (including in Tables 1-6). In one aspect of this embodiment M is chromium. In one aspect of this embodiment M is molybdenum. In one aspect of this embodiment M is tungsten. In a further aspect of this embodiment, the precursors of formula M2-(Ad Ligand) 4 and/or M2-( Ad Ligand) 4 synthesized by this process include those set forth in Table 7.

[0146] Method of Use

[0147] The disclosed precursors may be deposited to form chromium, molybdenum and tungsten containing films using any chemical vapor deposition process known to those of skill in the art. As used herein, the term “chemical vapor deposition process” refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition. As used herein, the term atomic layer deposition process refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits films of materials onto substrates of varying compositions. Although the precursors, reagents and sources used herein may be sometimes described as “gaseous,” it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. The term “reactor” as used herein, includes without limitation, reaction chamber, reaction vessel or deposition chamber. [0148] Chemical vapor deposition processes in which the disclosed and claimed precursors can be utilized include, but are not limited to, those used for the manufacture of semiconductor type microelectronic devices such as ALD, CVD, pulsed CVD, plasma enhanced ALD (PEALD) and/or plasma enhanced CVD (PECVD). Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD). In certain embodiments, the metal containing films are deposited via atomic layer deposition (ALD), plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process. [0149] In one embodiment, for example, the metal-containing film is deposited using an ALD process. In another embodiment, the metal-containing film is deposited using a CCVD process. In a further embodiment, the metal-containing film is deposited using a thermal CVD process. [0150] Suitable substrates on which the disclosed and claimed precursors can be deposited are not particularly limited and vary depending on the final use intended. For example, the substrate may be chosen from oxides such as HfO 2 based materials, TiO 2 based materials, ZrO 2 based materials, rare earth oxide-based materials, ternary oxide-based materials, etc. or from nitride-based films. Other substrates may include solid substrates such as metal substrates (for example, Au, Pd, Rh, Ru, W, Al, Ni, Ti, Co, Pt and metal silicides (e.g., TiSi 2 , CoSi 2 , and NiSi 2 ); metal nitride containing substrates (e.g., TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); semiconductor materials (e.g., Si, SiGe, GaAs, InP, diamond, GaN, and SiC); insulators (e.g., SiO 2 , Si 3 N 4 , SiON, HfO 2 , Ta 2 O 5 , ZrO 2 , TiO 2 , Al 2 O 3 , and barium strontium titanate); combinations thereof. [0151] Preferred substrates include silicon oxide, aluminum oxide, TiN, Ru, Co, Cu and

Si type substrates. One advantage of these precursors is the ability to deposit thin continuous films directly on silicon and aluminum oxides.

[0152] In such deposition methods and processes an oxidizing agent can be utilized. The oxidizing agent is typically introduced in gaseous form. Examples of suitable oxidizing agents include, but are not limited to, oxygen gas, water vapor, ozone, oxygen plasma, or mixtures thereof. [0153] The deposition methods and processes may also involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N2), helium (He), neon, and mixtures thereof. For example, a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 seem for about 0.1 to 10000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor. [0154] The deposition methods and processes require that energy be applied to the at least one of the precursors, oxidizing agent, other precursors or combination thereof to induce reaction and to form the metal-containing film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof. In some processes, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. When utilizing plasma, the plasma-generated process may include a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.

[0155] When utilized in such deposition methods and processes suitable precursors — such as those presently disclosed and claimed — may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways. In some instances, a liquid delivery system may be utilized. In other instances, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor. The precursor compositions described herein can be effectively used as source reagents via direct liquid injection (DLI) to provide a vapor stream of these metal precursors into an ALD or CVD reactor. [0156] When used in these deposition methods and processes, the disclosed and claimed precursors include hydrocarbon solvents which are particularly desirable due to their ability to be dried to sub-ppm levels of water. Exemplary hydrocarbon solvents that can be used in the precursors include, but are not limited to, toluene, mesitylene, cumene (isopropylbenzene), p- cymene (4-isopropyl toluene), 1,3 -diisopropylbenzene, octane, dodecane, 1,2,4- trimethylcyclohexane, n-butylcyclohexane, and decahydronaphthalene (decalin). The disclosed and claimed precursors can also be stored and used in stainless steel containers. In certain embodiments, the hydrocarbon solvent is a high boiling point solvent or has a boiling point of 100 degrees Celsius or greater. The disclosed and claimed precursors can also be mixed with other suitable metal precursors, and the mixture used to deliver both metals simultaneously for the growth of a binary metal-containing films.

[0157] A flow of argon and/or other gas may be employed as a carrier gas to help deliver a vapor containing at least one of the disclosed and claimed precursors to the reaction chamber during the precursor pulsing. When delivering the precursors, the reaction chamber process pressure is between 1 and 50 torr, preferably between 5 and 20 torr.

[0158] Substrate temperature can be an important process variable in the deposition of high-quality metal-containing films. Typical substrate temperatures range from about 150 °C to about 550 °C. Higher temperatures can promote higher film growth rates.

[0159] In view of the forgoing, those skilled in the art will recognize that the disclosed and claimed subject matter further include the use of the disclosed and claimed precursors in Chemical vapor deposition processes as follows.

[0160] In one embodiment, the disclosed and claimed subject matter includes a method for forming a transition metal -containing film on at least one surface of a substrate that includes the steps of: a. providing the at least one surface of the substrate in a reaction vessel; b. forming a transition metal-containing film on the at least one surface by a deposition process chosen from a thermal chemical vapor deposition (CVD) process and a thermal atomic layer deposition (ALD) process using one or more of the disclosed and claimed precursors of as a metal source compound for the deposition process.

In a further aspect of this embodiment, the method includes introducing at least one reactant into the reaction vessel. In a further aspect of this embodiment, the method includes introducing at least one reactant into the reaction vessel where the at least one reactant is selected from the group of water, diatomic oxygen, oxygen plasma, ozone, NO, N2O, NO2, carbon monoxide, carbon dioxide and combinations thereof. In another aspect of this embodiment, the method includes introducing at least one reactant into the reaction vessel where the at least one reactant is selected from the group of ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and combinations thereof. In another aspect of this embodiment, the method includes introducing at least one reactant into the reaction vessel where the at least one reactant is selected from the group hydrogen, hydrogen plasma, a mixture of hydrogen and helium, a mixture of hydrogen and argon, hydrogen/helium plasma, hydrogen/argon plasma, boron-containing compounds, silicon- containing compounds and combinations thereof.

[0161] In one embodiment, the disclosed and claimed subject matter includes a method of forming a transition metal-containing film via a thermal atomic layer deposition (ALD) process or thermal ALD-like process that includes the steps of: a. providing a substrate in a reaction vessel; b. introducing into the reaction vessel one or more of the disclosed and claimed precursors; c. purging the reaction vessel with a first purge gas; d. introducing into the reaction vessel a source gas; e. purging the reaction vessel with a second purge gas; f. sequentially repeating steps b through e until a desired thickness of the transition metal-containing film is obtained.

In a further aspect of this embodiment, the source gas is one or more of an oxygen-containing source gas selected from water, diatomic oxygen, oxygen plasma, ozone, NO, N2O, NO2, carbon monoxide, carbon dioxide and combinations thereof. In another aspect of this embodiment, the source gas is one or more of a nitrogen-containing source gas selected from ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma and mixture thereof. In a further aspect of this embodiment, the method the first and second purge gases are each independently selected one or more of argon, nitrogen, helium, neon, and combinations thereof. In a further aspect of this embodiment, the method further includes applying energy to the at least one of precursor, the source gas, the substrate, and combinations thereof, wherein the energy is one or more of thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods and combinations thereof. In a further aspect of this embodiment, step b of the method further includes introducing into the reaction vessel the precursor using a stream of carrier gas to deliver a vapor of the precursor into the reaction vessel. In a further aspect of this embodiment, step b of the method further includes use of a solvent medium comprising one or more of toluene, mesitylene, isopropylbenzene, 4-isopropyl toluene, 1,3-diisopropylbenzene, octane, dodecane, 1,2,4-trimethylcyclohexane, n-butylcyclohexane, and decahydronaphthalene and combinations thereof. [0162] In one embodiment, the disclosed and claimed precursors are utilized to deposit thin liner or seed layer followed by deposition of bulk metal film using another precursor. One advantage of the precursors of this invention is the ability to deposit low resistivity thin films directly on metal oxide and silicon oxide substrates. Without being bound by theory it is believed that thin films deposited by the precursors of invention may initiate growth of metal films from halogen-containing precursors, may prevent halogen diffusion into the substrate, may reduce stress caused by bulk metal film, and may improve step coverage of metal films. In one embodiment bulk metal film is deposited using halogen-containing precursor. Halogen-containing precursors include but are not limited to molybdenum pentachloride (MoCl 5 ), molybdenum dioxide dichloride (MoO 2 Cl 2 ), molybdenum hexafluoride (MoF 6 ), tungsten pentachloride (WCl 5 ), tungsten hexachloride (WCl 6 ), tungsten dioxide dichloride (WO 2 Cl 2 ), tungsten hexafluoride (WF 6 ), vanadium tetrachloride (VCl 4 ), vanadium oxytrichloride (VOCl 3 ), etc. [0163] In one embodiment, the disclosed and claimed subject matter includes a method of forming low resistivity transition metal-containing film via an atomic layer deposition (ALD) process or ALD-like process that includes the steps of: a. forming a transition metal-containing film on the at least one surface by a deposition process chosen from a chemical vapor deposition (CVD) process and an atomic layer deposition (ALD) process using one or more of the disclosed and claimed precursors of as a metal source compound for the deposition process. b. forming a transition metal-containing film directly on the surface deposited in step (a) by a deposition process chosen from a chemical vapor deposition (CVD) process and an atomic layer deposition (ALD) process using at least one halogen-containing precursors [0164] In one embodiment, the films deposited by the above methods and using the disclosed and claimed precursors have a resistivity below approximately 500 μOhm cm. In another embodiment, the films deposited by the above methods and using the disclosed and claimed precursors have a resistivity below approximately 400 μOhm cm. In another embodiment, the films deposited by the above methods and using the disclosed and claimed precursors have a resistivity below approximately 300 μOhm cm. In another embodiment, the films deposited by the above methods and using the disclosed and claimed precursors have a resistivity below approximately 200 μOhm cm. In another embodiment, the films deposited by the above methods and using the disclosed and claimed precursors have a resistivity below approximately 100 μOhm cm.

[0165] Examples

[0166] Reference will now be made to more specific embodiments of the present disclosure and experimental results that provide support for such embodiments. The examples are given below to more fully illustrate the disclosed and claimed subject matter and should not be construed as limiting the disclosed subject matter in any way.

[0167] It will be apparent to those skilled in the art that various modifications and variations can be made in the disclosed subject matter and specific examples provided herein without departing from the spirit or scope of the disclosed subject matter. Thus, it is intended that the disclosed subject matter, including the descriptions provided by the following examples, covers the modifications and variations of the disclosed subject matter that come within the scope of any claims and their equivalents.

[0168] Materials and Methods

[0169] All reactions and manipulations described in the examples were conducted under a nitrogen atmosphere using an inert atmosphere glove box or standard Schlenk techniques. Anhydrous tetrahydrofuran (THF) and hexanes were used as received from Millipore-Sigma. Molybdenum acetate was used as received from Strem Chemicals. N, N’-dialkylformamidinium sulphates were prepared according to the procedure reported by Hellmut, B. el al ., Chemische Berichte , 98(8), 2754-61 (1965). The formamidinium sulphates were reacted with two equivalents of potassium hexamethyldisilazide to prepare the potassium formamidinate salts. Sec- butyliminopyrrolidine was prepared according to the procedure reported by Wasslen, Y. el al., Dalton Transaction , 39(38), 9046-9054 (2010) and reacted with potassium hexamethyldisilazide prior to use. Lithium N, N’-diisopropylacetamidinate was prepared according to the procedure reported Coles, M. P. et al., Organometallics, 16(24), 5183-5194 (1997).

[0170] Single crystal X-ray diffraction studies were carried out on a Bruker D8 Venture diffractometer equipped with Mo K a radiation (l = 0.71073 A). Data were collected in a nitrogen gas stream at 100(2) K using f and tn scans.

[0171] Due to differences in instruments, samples, and sample preparation, peak values are reported with the modifier "about" in front of the peak values. This is common practice in the solid- state chemical arts because of the variation inherent in peak values. A typical precision of the 20 x- axis value of a peak in a powder pattern is on the order of plus or minus 0.2° 20. Thus, a powder diffraction peak that appears at “about 9.2° 20,” means that the peak could be between 9.0° 20 and 9.4° 20 when measured on most X-ray diffractometers under most conditions.

[0172] Specific Examples

[0173] Example 1: Synthesis of Tetrakis(N,N’-dimethylformamidinate)

Dimolybdenum (Table 7: Mo2-(3A)4 where M = Mo; aka Mo2(Me-FMD)4):

[0174] Molybdenum acetate (0.50 g, 1.17 mmol) was suspended in 15 mL of THF.

Potassium N,N’-dimethylformamidinate (0.60 g, 5.44 mmol) dissolved in 5 mL of THF was added and the mixture stirred for 18 h. All volatile components were removed under reduced pressure to yield a solid. The solid was extracted with hexane (50 mL) followed by filtration to remove insoluble solids. The filtrate was reduced to dryness under reduced pressure to afford a yellow solid.

[0175] Analysis: Sublimation: 130 °C at 100 mTorr; ¾ NMR (C 6 D 6 , 25 °C): 3.37 (s,

24H), 7.61 (s, 4H). See FIG. 2.

[0176] Example 2: Synthesis of Tetrakis(N,N’-dimethylformamidinate)

Dimolybdenum (Table 7: Mo2-(3A)4 where M = Mo; aka Mo2(Me-FMD)4):

[0177] N,N’-dimethylformamidine (7.2 g, 100 mmol) was dissolved in 150 mL of THF.

Sodium hydride (5 g, 210 mmol) was slowly added with vigorous stirring. The resulting suspension was stirred for 3 days at room temperature. The suspension was filtered and the filtrate evaporated to dryness under vacuum. The resulting off-white solid Sodium N,N’- dimethylformamidinate was used without additional purification. Sodium N,N’- dimethylformamidinate (9.4 g, 100 mmol) and M02OAC4 (10.7 g, 25 mmol) were combined in 400 mL of THF and stirred for 3 days at room temperature to form an orange solution with suspended solids. The THF was removed under vacuum and the resulting solid extracted with hexanes (3 x 250 mL) and filtered. The resulting hexanes solutions were combined and slowly evaporated to yield yellow-orange crystals.

[0178] Analysis: ¾ NMR (C 6 D 6 , 25 °C): 3.37 (s, 24H), 7.61 (s, 4H).

[0179] Example 3: Crystal structure of Tetrakis(N,N’-dimethylformamidinate)

Dimolybdenum (Table 7: Mo2-(3A)4 where M = Mo; aka Mo2(Me-FMD)4):

[0180] The crystals prepared in Example 2 were used to determine crystal structure of M02-

(3A) 4 . The single crystal X-ray diffraction studies were carried out on a Bruker D8 Venture diffractometer equipped with Mo K a radiation (l = 0.71073 A). A 0.20 x 0.20 x 0.25 mm piece of a yellow block was mounted on a Cryoloop with Paratone oil. Data were collected in a nitrogen gas stream at 100(2) K using f and TO scans. Crystal-to-detector distance was 50 mm and exposure time was 1 seconds per frame using a scan width of 0.7°. Data collection was 99.9% complete to 25.242° in Q. A total of 14961 reflections were and 1879 reflections were found to be symmetry independent, with a Ri nt of 0.0266.

[0181] Indexing and unit cell refinement indicated a Primitive, Monoclinic lattice. The space group was found to be P2i/n. The data were integrated using the Bruker SAINT software program and scaled using the SADABS software program. Solution by direct methods (SHELXT) produced a complete phasing model consistent with the proposed structure. All nonhydrogen atoms were refined anisotropically by full-matrix least-squares (SHELXL-2014). All carbon bonded hydrogen atoms were placed using a riding model. Their positions were constrained relative to their parent atom using the appropriate HFIX command in SHELXL-2014. See FIG. 8.

Selected peaks from simulated powder X-ray Diffraction (PXRD) spectrum using experimental unit cell parameters of Mo2(Me-FMD)4 and Cu Kal radiation source are as follow and are illustrated in FIG. 14.

[0182] Example 4: Synthesis of Tetrakis(N,N’-diethylformamidinate) Dimolybdenum (Table 7: Mo2-(3B)4 where M = Mo; aka Mo2(Et-FMD)4):

[0183] N,N’-diethylformamidinium sulfate (16.67 g, Ί3.Ί mmol) was dissolved in 250 mL of THF. A solution of potassium hexamethyldisilazide (29.40 g, 147.4 mmol) in 125 mL of THF was added dropwise under vigorous stirring. The light-yellow slurry was stirred overnight. M02OAC4 (7.50 g, 17.5 mmol) was added as a solid and stirring continued over 4 days. All volatile components were removed under reduced pressure to yield a solid. The solid was extracted with hexane (3 x 50 mL). Each extraction was filtered to remove insoluble solids. The combined filtrates were reduced to dryness under reduced pressure to afford 7.50 g of a yellow solid.

[0184] Analysis: Sublimation: 110-130 °C, 100 mTorr, 6.4 g (60%); ¾ NMR (C 6 D 6 , 25 o C): 1.00 (t, 24H), 3.62 (q, 16H) 8.00 (s, 4H). See FIG. 3.

[0185] Example 5: Synthesis of Tetrakis(N,N’-diethylformamidinate) Dimolybdenum

(Table 7: Mo2-(3B)4 where M = Mo; aka Mo2(Et-FMD)4):

[0186] N,N’-diethylformamidine (10 g, 100 mmol) was dissolved in 150 mL of THF.

Sodium hydride (5 g, 210 mmol) was slowly added with vigorous stirring. The resulting suspension was stirred for 3 days at room temperature. The suspension was filtered, and the filtrate evaporated to dryness under vacuum. The resulting off-white solid Sodium N,N’-diethylformamidinate was used without additional purification. Sodium N,N’-diethylformamidinate (12.2 g, 100 mmol) and M02OAC4 (10.7 g, 25 mmol) were combined in 400 mL of THF and stirred for 3 days at room temperature to form an orange solution with suspended solids. The THF was removed under vacuum and the resulting solid extracted with hexanes (3 x 150 mL) and filtered. The resulting hexanes solutions were combined and slowly evaporated to yield orange crystals.

[0187] Analysis: ¾NMR (C 6 D 6 , 25 °C): 1.00 (t, 24H), 3.62 (q, 16H) 8.00 (s, 4H). [0188] Example 6: Crystal structure of Tetrakis(N,N’-diethylformamidinate)

Dimolybdenum (Table 7: Mo2-(3B)4 where M = Mo; aka Mo2(Et-FMD)4)

[0189] The single crystal X-ray diffraction studies were carried out on a Bruker D8

Venture diffractometer equipped with Mo K a radiation (l = 0.71073 A). A 0.22 x 0.20 x 0.16 mm piece of a yellow block was mounted on a Cryoloop with Paratone oil. Data were collected in a nitrogen gas stream at 100(2) K using f and TO scans. Crystal-to-detector distance was 60 mm and exposure time was 3 seconds per frame using a scan width of 0.6°. Data collection was 99.9% complete to 25.242° in Q. A total of 48790 reflections were collected and 5259 reflections were found to be symmetry independent, with a Ri nt of 0.0539.

[0190] Indexing and unit cell refinement indicated a Primitive, Monoclinic lattice. The space group was found to be P2i/n. The data were integrated using the Bruker SAINT software program and scaled using the SADABS software program. Solution by direct methods (SHELXT) produced a complete phasing model consistent with the proposed structure. All nonhydrogen atoms were refined anisotropically by full-matrix least-squares (SHELXL-2014). All carbon bonded hydrogen atoms were placed using a riding model. Their positions were constrained relative to their parent atom using the appropriate HFIX command in SHELXL-2014. See FIG. 9.

Selected peaks from simulated powder X-ray Diffraction (PXRD) spectrum using experimental unit cell parameters of Mo2(Et-FMD)4 and Cu Kal radiation source are as follow and are illustrated in FIG. 15.

[0191] Example 7: Synthesis of Tetrakis(N-sec-butyliminopyrrolydinate)

Dimolybdenum (Table 7: Mo2-(3TT)4 where M = Mo; aka Mo2(sBu-IP)4):

[0192] Following a similar procedure as described above for Example 1 (i.e., [Mo2(Me-

FMD)4]) but using potassium N-sec-butyl-iminopyrrolidinate in place of potassium N,N’- dimethylformamidinate, a yellow solid (90%) was obtained.

[0193] Analysis: Sublimation: 140 °C at 70 mTorr; 1 HNMR (C 6 D 6 , 25 °C): 0.60-1.70

(br, 24H), 2.00-2.20 (br, 8H), 2.60-3.00 (br, 8H), 3.50-4.10 (br, 12H). See FIG. 4.

[0194] Example Deposition Methods

[0195] Example 8: Thermal Chemical Vapor Deposition of Mo-Containing Films

[0196] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Et-FMD)4, as produced in Example 4, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 153 °C. Sufficient vapor of the Mo2(Et-FMD)4 was delivered to deposition chamber by flow of 20 seem of argon into container with Mo2(Et-FMD)4. Thermal cyclic CVD (CCVD) was demonstrated by 100 cycles of 5 sec ofMo 2 (Et-FMD) 4 / 20 sec Ar purge over Si substrates heated to 250 °C, 350 °C and 450 °C. Thickness of molybdenum-containing films was measured by X-ray fluorescence (XRF). Almost no deposition was observed at 250 °C suggesting that the precursor is thermally stable up to at least this temperature and can be used for atomic layer deposition. At 350 °C ~ 40 A and at 450 °C ~ 110 A of molybdenum-containing films were deposited by thermal CVD. Film sheet resistance was measured by four-point probe electrode method. Film thickness and film resistance are summarized in Table 8. The experiment suggests that above 250 °C the disclosed and claimed precursors can be used for CVD or CCVD of molybdenum-containing films. Mo-containing film with low resistivity (< 200 μOhm cm) was also demonstrated by thermal CVD at 450 °C. It is also anticipated that films with even lower resistivity can be deposited by this process at higher deposition temperature.

Table 8. Thermal CVD of Molybdenum-Containing Films

[0197] Example 9: Ammonia Cyclic Chemical Vapor Deposition of Mo-Containing Films

[0198] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Et-FMD)4, as produced in Example 4, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 153 °C. Sufficient vapor of the Mo2(Et-FMD)4 was delivered to deposition chamber by flow of 20 seem of argon into container with Mo2(Et-FMD)4. Ammonia cyclic CVD (CCVD) was demonstrated by 100 cycles of pulsed process using pulses of molybdenum precursor and ammonia co-reagent with argon purge between precursor and co-reagent pulses: 10 or 20 sec of Mo2(Et-FMD)4 / 30 sec Ar purge / 5 sec of NH 3 / 30 sec of Ar purge. The films were deposited on Si substrates at 300 °C and 350 °C. Thickness of molybdenum-containing films was measured by X-ray fluorescence (XRF). Film sheet resistance was measured by four-point probe electrode method. Film thickness and film resistance are summarized in Table 9. The experiment shows that the addition of NH 3 pulse increases deposition rate of Mo-containing film. Deposition of molybdenum-containing film with low resistivity (< 200 μOhm cm) was demonstrated by ammonia cyclic thermal CVD without plasma assistance. The ability to deposit low resistivity molybdenum-containing film by a halogen-free thermal deposition process is one of the advantages of the disclosed and claimed precursors.

Table 9. Ammonia Cyclic CVD of Molybdenum-Containing Films

[0199] FIGs. 5 and 6 show SEM of Mo-containing films deposited by ammonia cyclic

CVD process.

[0200] FIG. 7 shows Auger depth profile of Mo-containing films deposited by ammonia cyclic CVD process and demonstrates incorporation of nitrogen into the film by ammonia cyclic CVD.

[0201] Example 10: Hydrogen Plasma Cyclic Chemical Vapor Deposition of Mo-

Containing Films

[0202] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Et-FMD)4, as produced in Example 4, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 153 °C. Sufficient vapor of the Mo2(Et-FMD)4 was delivered to deposition chamber by flow of 20 seem of argon into container with Mo2(Et-FMD)4. Hydrogen plasma cyclic CVD (CCVD) was demonstrated by 100 cycles of pulsed process using pulses of molybdenum precursor and hydrogen plasma co-reagent with argon purge between precursor and co-reagent pulses: 10 sec of Mo2(Et-FMD)4 / 30 sec Ar purge / 5 sec of Hydrogen plasma with a 175-watt RF power/ 30 sec of Ar purge. The films were deposited on Si and TiN substrates at 350 °C. Thickness of molybdenum-containing films was measured by X-ray fluorescence (XRF). Film sheet resistance was measured by four-point probe electrode method. Film thickness and film resistance are summarized in Table 10. The experiment shows that addition of hydrogen-plasma step further reduces film resistivity to as low as 137 μOhm cm.

Table 10. Hydrogen Cyclic CVD of Molybdenum-Containing Films [0203] Low resistivity molybdenum containing thin films were deposited in a method typical for thermal atomic layer deposition. The method used ammonia gas as a co-reagent and argon as a purge gas. Each pulse of molybdenum precursor and ammonia were separated by purge pulses. The method was compared to a method where hydrogen plasma was used as the co-reagent. The thermal ammonia method afforded molybdenum containing films with resistivity values < 300 mW-cm. The hydrogen plasma method afforded molybdenum containing films with resistivity values < 200 mW-cm. [0204] Example 11: ALD of Mo-Containing Films on Silicon Oxide Patterned Wafers

[0205] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Et-FMD)4, as produced in Example 4, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 160 °C. Sufficient vapor of the Mo2(Et-FMD)4 was delivered to the deposition chamber by flow of 20 seem of argon into container with Mo2(Et-FMD)4. ALD of Mo-containing films was demonstrated by 100 cycles of pulsed process using pulses of molybdenum precursor and ammonia co-reagent with argon purge between precursor and co-reagent pulses: 10 sec ofMo2(Et-FMD)4/ 30 sec Ar purge/ 5 sec ofNH 3 / 30 sec of Ar purge. Chamber pressure was 20 torr. Film thickness on top, middle and bottom of patterned substrate were measured by TEM. Aspect ratio of the structure (A/R) was calculated by dividing the total structure depth (26000 A) by structure width in the middle of the structure (1818 A), as shown in FIG. 10. Middle A/R was calculated by dividing the depth at the middle (13000 A) by the width at the middle of the structure (1818 A). Bottom A/R was calculated by dividing the depth at the bottom (24725 A) by the width at the bottom of the structure (1090 A).

Table 11. Step coverage of MoCN films deposited by Mo2(Et-FMD)4

This example shows that the precursors of this invention enable conformal deposition of low resistivity MoCN films on high aspect ratio structures.

[0206] Example 12: Deposition of Thin Continuous MoCN Film on Silicon Oxide

[0207] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Me-FMD)4, as produced in Example 2, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 160 °C. Sufficient vapor of the Mo2(Me-FMD)4 was delivered to deposition chamber by flow of 20 seem of argon into container with Mo2(Me-FMD)4. Continuous 2.6 nm MoCN film was deposited by ammonia cyclic CVD (CCVD) by 30 cycles of pulsed process using pulses of Mo2(Me-FMD)4 and ammonia co-reagent with argon purge between precursor and co-reagent pulses: 10 sec of Mo2(Me-FMD)4 / 30 sec Ar purge / 10 sec of NH 3 / 10 sec of Ar purge. The film was deposited on thermal silicon oxide at 400 °C. Thickness of molybdenum-containing films was measured by TEM and is shown on FIG. 11. Sheet resistance of this film was measured by four points electrode method, 7850 Ohm sq, which correspond to thin film resistivity of 2041 μOhm cm. The example demonstrates that precursors of this invention enable deposition of thin continuous conductive MoCN films on silicon oxide substrates.

[0208] Example 13: Characterization of Mo-Containing Film

[0209] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Me-FMD)4, as produced in Example 2, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 160 °C. Sufficient vapor of the Mo2(Me-FMD)4 was delivered to deposition chamber by flow of 20 seem of argon into a container with Mo2(Me-FMD)4. MoCN films were deposited by ammonia cyclic CVD (CCVD) using pulses of Mo2(Me-FMD)4 and ammonia co-reagent with argon purge between precursor and co-reagent pulses: 10 sec of Mo 2 (Me-FMD) 4 / 30 sec Ar purge / 10 sec of NH 3 / 10 sec of Ar purge. The films were deposited on thermal silicon oxide at 350 and 400 °C. Thickness of molybdenum-containing films was measured by XRR and film composition was measured by XPS, Table 12. The example demonstrates deposition of low resistivity of MoC x N y films on silicon oxide substrate using precursors of the invention, where x is ranging from approximately 0.5 to 1 and N is below 0.5.

Table 12. Resistivity and film composition deposited with Mo2(Me-FMD)4

[0210] Example 14: Plasma Enhanced Deposition of Mo-Containing Film on Silicon Oxide

[0211] The deposition experiment was conducted in 200 mm CN-1 shower head type deposition reactor. Mo2(Me-FMD)4, as produced in Example 2, was loaded into 200 seem SS316 container, connected to deposition reactor delivery system and heated to 160 °C. Sufficient vapor of the Mo2(Et-FMD)4 was delivered to deposition chamber by flow of 20 seem of argon into container with Mo2(Me-FMD)4. MoCN films were deposited by cyclic CVD (CCVD) using pulses of Mo2(Me- FMD)4 and hydrogen, nitrogen or ammonia co-reagent with argon purge between precursor and co- reagent pulses: 10 sec of Mo2(Et-FMD)4/ 30 sec Arpurge / 10 sec of NFF/ 10 sec of Ar purge. During co-reagent pulse RF plasma was applied. The films were deposited on thermal silicon oxide at 250 °C and 350 °C. Thickness of molybdenum-containing films was measured by XRF and film sheet resistance was measured by four-point probe method Table 13. The example demonstrates deposition of low resistivity MoCN films on silicon oxide substrate using precursors of the invention, where the thickness of MoCN film is < 30A and resistivity is as low as approximately 300 μOhm cm.

Table 13. Film thickness and resistivity of films deposited by PEALD with Mo2(Me-FMD)4

[0212] Example 15: Deposition of Mo metal film by M0O2CI2/H2 process on silicon oxide substrate with and without seed layer deposited by Mo2(Me-FMD)4

[0213] In this experiment Mo metal film was attempted to deposit directly on silicon oxide substrate at 500 °C wafer temperature by 150 cycles of the following process: 2 sec of M0O2CI2/ 6 sec of Ar purge/10 sec of FF/ 5 sec of Ar purge (chamber pressure was 30 torr). M0O2CI2 was purchased from Sigma Aldrich and delivered from a 316SS container heated to 60 °C. No Mo deposition was observed on silicon oxide wafer as shown in FIG. 12 In another experiment Mo metal film was deposited using 150 cycles of the same M0O2CI2/H2 process but on a seed layer deposited by 30 cycles of the following process: 10 sec of Mo2(Me-FMD)4 / 30 sec Arpurge / 10 sec of NFF/ 10 sec of Ar purge (chamber pressure was 1 torr, wafer temperature was 400 °C). Continuous Mo film was deposited when Mo2(Me-FMD)4 is used as shown in FIG. 12.

[0214] Example 16: Deposition of Mo-metal film by M0O2Q2/H2 Process on a Patterned

Silicon Oxide Substrate using Seed Layer Deposited by Mo2(Me-FMD)4

[0215] In this experiment Mo metal film was deposited on a patterned silicon oxide substrate. In the first step of the process the seed layer was deposited at 400 °C wafer temperature by 30 cycles of the following sequence: 10 sec of Mo2(Me-FMD)4 / 30 sec Ar purge / 10 sec of NH 3 / 10 sec of Ar purge (chamber pressure was 1 torr). Following this step bulk Mo metal film was deposited at 500 °C by 1100 cycles of the following sequence: 2 sec of M0O2CI2/ 6 sec of Ar purge/10 sec of Fh/ 5 sec of Ar purge (chamber pressure was 30 torr). M0O2CI2 was purchased from Sigma Aldrich and delivered from a 316SS container heated to 60 °C. FIG. 13 shows conformal fill of patterned silicon oxide substrate by the process of the invention.

[0216] It is anticipated that the invented method could be used in conjunction with deposition tools commonly found at semiconductor manufacturing sites to produce molybdenum- containing layers for logic applications and other potential functions.

[0217] Summary of Examples

[0218] This class of compound provides halide-free and oxygen-free precursors for applications where such contaminates are detrimental. Precursor properties, such as thermal stability, volatility, and composition, are optimal when the amidinate ligand is a formamidinate. Specifically, when the nitrogen alkyl substituents are small (C1-C5) and the exocyclic substituent of the cyclic carbon of the amidine ligand is a hydrogen atom. Another suitable amidine ligand is the iminopyrrolidinate. This amidine is monocyclic and asymmetric. Metal and metal-containing thin films can be produced by thermal or plasma Atomic Layer Deposition and Chemical Vapor Deposition. The method produces thin films with improved properties compared to known methods which could be attributed to the low oxidation state of the paddlewheel precursor.

[0219] A homoleptic molybdenum (II) amidinate or guanidinate paddlewheel compound is obtained by appropriate selection of the ligand. Small amidines (such as formamidines), small guanidines, or sterically unencumbered mono-cyclic and bi-cyclic amidines and guanidines (e.g, iminopyrrolidines) form homoleptic molybdenum (II) paddlewheel compounds. Whereas the larger amidine, N,N-di-isopropyl-acetamidine, forms a heteroleptic molybdenum (II) amidinate paddlewheel compound. Avoiding oxygen in the ligand composition and in the metal coordination sphere eliminates the possibility of oxygen contamination during the thin film deposition process. [0220] The described method provides molybdenum films by low temperature, thermal

Atomic Layer Deposition. A low temperature, thermal process provides better integration into existing semiconductor manufacturing methods, better materials compatibility than higher temperature processes, and enables lower thermal budget. The ability to produce molybdenum films using ALD provides the advantages inherent to that film growth method, including high uniformity of thickness, ability to coat high aspect ratio features, and precise control of film thickness for very thin layers. Additionally, due to the design of the precursor, thin film contaminates such as oxygen and halides are avoided. The rigid paddlewheel structure improves the shelf-life of the precursor at the required container temperature. The low oxidation state of the molybdenum atoms deposits electron-rich thin films with desirable electrical properties. In addition, the deposition rate is more than double compared to MoBure.

[0221] The foregoing description is intended primarily for purposes of illustration. Although the disclosed and claimed subject matter has been shown and described with respect to an exemplary embodiment thereof, it should be understood by those skilled in the art that the foregoing and various other changes, omissions, and additions in the form and detail thereof may be made therein without departing from the spirit and scope of the disclosed and claimed subject matter.