Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
NEUTRAL BRUSHES WITH TUNABLE POLARITY FOR SELF-ASSEMBLY OF BLOCK COPOLYMERS WITH POLY(STYRENE) AND POLY(METHYL METHACRYLATE) CONTAINING SEGMENTS
Document Type and Number:
WIPO Patent Application WO/2023/083933
Kind Code:
A1
Abstract:
The invention relates to a random copolymer of structure (A), comprising a repeat unit of structure (I), a repeat unit of structure (II), two end group R3 and R4, wherein end group R3, is a moiety of structure (IIIa), and is either a C-1 to C-8 alkyl, or is a moiety of structure (III), and end group end group R4, is a moiety derived from termination of anionic polymerization, and is either selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl, a C-1 to C-8 trialkylsilyl, a C-1 to C-8 dialkylsilyl, a C-1 to C- 8 monoalkylsilyl, silane, and a benzylic moiety, or is a moiety of structure (IV), and where both R3 and R4 cannot, simultaneously, be respectively selected from a moiety of structure (III), and a moiety of structure (IV), and either R3 is a moiety of structure (III) or R4 is or a moiety of structure (IV). This invention also pertains to composition comprising this copolymer and an organic spin casting solvent and the use of this composition to form a grafted neutral layer for use in DSA processing.

Inventors:
BASKARAN DURAIRAJ (US)
KANG NAMGOO (US)
NG EDWARD W (US)
Application Number:
PCT/EP2022/081410
Publication Date:
May 19, 2023
Filing Date:
November 10, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MERCK PATENT GMBH (DE)
International Classes:
C08F220/30; C08F8/12; C09D133/10; G03F7/004; G03F7/26; H01L21/02
Domestic Patent References:
WO2021105086A12021-06-03
Foreign References:
US20180096838A12018-04-05
US20170170008A12017-06-15
JP2019218519A2019-12-26
Other References:
ZHAO JIACHENG ET AL: "Photo-directing chemoepitaxy: the versatility of poly(aryl methacrylate) films in tuning block copolymer wetting", POLYMER CHEMISTRY, vol. 12, no. 21, 8 May 2021 (2021-05-08), Cambridge, pages 3201 - 3209, XP093029898, ISSN: 1759-9954, Retrieved from the Internet [retrieved on 20230309], DOI: 10.1039/D1PY00501D
CHAE CHANG-GEUN ET AL: "End-Capping Reaction of Living Anionic Poly(benzyl methacrylate) with a Pentafluorophenyl Ester for a Norbornenyl-[omega]-End Macromonomer with a Long Flexible Spacer: Advantage in the Well-Controlled Synthesis of Ultrahigh-Molecular-Weight Bottlebrush Polymers", MACROMOLECULES, vol. 52, no. 13, 20 June 2019 (2019-06-20), US, pages 4828 - 4838, XP093030191, ISSN: 0024-9297, Retrieved from the Internet [retrieved on 20230309], DOI: 10.1021/acs.macromol.9b00559
MACROMOLECULES, vol. 52, 2019, pages 2987 - 2994
MACROMOL. RAPID COMMUN., vol. 39, 2018, pages 1800479
A. DEITER SHLUTER ET AL., SYNTHESIS OF POLYMERS, vol. 1, 2014, pages 315
ENCYCLOPEDIA OF POLYMER SCIENCE AND TECHNOLOGY, vol. 7, 2014, pages 625
Attorney, Agent or Firm:
RIPPEL, Hans Christoph (DE)
Download PDF:
Claims:
CLAIMS 1. A random copolymer of structure (A), comprising a repeat unit of structure (I), where Rm1 is a C-1 to C-8 alkyl, R1 is a benzylic comprising moiety of structure (V) wherein Rbenz is a substituent individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C-4 alkoxy ,L3 is a C-1 to C-4 alkylene, and “ *” designates the attachment point of this substituent to this repeat unit, and n1 designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 40 mole % to about 100 mole %, a repeat unit of structure (II), wherein, Rm2 is a C-1 to C-8 alkyl, R2 is a moiety selected from a C-1 to C-20 linear alkyl, a C-3 to C-20 branched alkyl, and a C-5 to C-20 cyclic alkyl, a cyclohexylalkylene moiety of structure (VI), an anthracenylalkylene moiety of structure (VII), a naphthalenylalkylene moiety of structure (VIII), and a biphenyl moiety of structure (VIV), wherein L4 and L5 are independently selected from a C-1 to C-4 alkylene, L6 and L7 are independently selected from a direct valence bond or a C-1 to C-4 alkylene moiety, Rcycl, Ranth, Rnaph, Rbiph, are substituents individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C-4 alkoxy, n2 designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 0 mole % to about 60 mole %, and further wherein the total mole % of the repeat units in said copolymer of repeat units of structures (I) and (II) is 100 mole %; two end groups R3 and R4, wherein end group R3, which is derived from an anionic initiator, wherein R3 is either a moiety of structure (IIIa), or is a moiety of structure (III), wherein L1 is a C-1 to C-8 alkylene, and R5 is H, an acetal protecting group, or a trialkylsilyl protecting group, R6 is a C-1 to C-8 alkyl, and Re1 and Re2 are individually selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “ ^” designates the attachment point of this end group to said copolymer of structure (A), and wherein R4 is either selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety, or is a moiety of structure (IV), wherein L2 is a C-2 to C-8 alkylene, and R7 is H, an acetal protecting group, or a trialkylsilyl protecting group, R8 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl- C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety and Re3 is selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “ *” designates the attachment point of the end group to said copolymer of structure (A), and further, wherein both R3 and R4 cannot, simultaneously, be respectively selected from a moiety of structure (III), and a moiety of structure (IV), and

2. The copolymer of claim 1, wherein said copolymer has structure (A-1), wherein R4 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety:

3. The copolymer of claim 1, wherein said copolymer has structure (A-2), wherein R3 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety: 4. The copolymer of any one of claims 1 to 3, wherein said repeat unit of structure (I) is 100 mole % of the repeat units and said repeat unit of structure (II) is 0 mole %. 5. The copolymer of any one of claims 1 to 3, wherein said repeat unit of structure (II) is present. 6. The copolymer of any one of claim 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a C-1 to C-20 linear alkyl. 7. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a C-3 to C-20 branched alkyl. 8. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a C-5 to C-20 cyclic alkyl.

9. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a cyclohexylalkylene moiety of structure (VI).

10. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is an anthracenylalkylene moiety of structure (VII).

11. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a naphthalenylalkylene moiety of structure (VIII).

12. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a biphenyl moiety of structure (VIV).

13. The copolymer of any one of claims 1 to 12, wherein Rm1 is a C-1 to C-4 alkyl.

14. The copolymer of any one of claims 1 to 13, wherein Rm1 is methyl.

15. The copolymer of any one of claims 1 to 3, 5 to 14, wherein Rm2 is a C-1 to C-4 alkyl.

16. The copolymer of any one of claims 1 to 3, 5 to 15, wherein Rm2 is methyl.

17. The copolymer of any one of claims 1 to 2, 5 to 16, wherein R3 has structure (III) and Li is a C-1 to

C-2 alkylene.

18. The copolymer of any one of claims 1 to 2, 5 to 17, wherein R3 has structure (III) and Li is methylene.

19. The copolymer of any one of claims 1 to 2, 5 to 18, wherein R3 has structure (III) and R5 is H.

20. The copolymer of any one of claims 1 to 2, 5 to 18, wherein R3 has structure (III) and R5 is an acetal protecting group.

21. The copolymer of any one of claims 1 to 2, 5 to 18, wherein R3 has structure (III) and R5 is a trialkylsilyl protecting group.

22. The copolymer of any one of claims 1 to 2, 5 to 21, wherein R3 has structure (III) and R6 is a C-1 to C-6 alkyl.

23. The copolymer of any one of claims 1 to 2, 5 to 21, wherein R3 has structure (III) and R6 is isobutyl.

24. The copolymer of any one of claims 1 to 2, 5 to 22, wherein R3 has structure (III) and, Rei is H.

25. The copolymer of any one of claims 1 to 2, 5 to 22, wherein R3 has structure (III) and Re1 is a C-1 to C-8 alkyl.

26. The copolymer of any one of claims 1 to 2, 5 to 22, wherein R3 has structure (III) and Re1 is a C-1 to C-8 alkoxy.

27. The copolymer of any one of claims 1 to 2, 5 to 25, wherein R3 has structure (III) and Re2 is H.

28. The copolymer of any one of claims 1 to 2, 5 to 25, wherein R3 has structure (III) and Re2 is a C-1 to C-8 alkyl.

29. The copolymer of any one of claims 1 to 2, 5 to 25, wherein R3 has structure (III) and Re2 a C-1 to C-8 alkoxy.

30. The copolymer of any one of claims 1 to 29, wherein Rbenz is H.

31. The copolymer of any one of claims 1 to 29, wherein Rbenz a C-1 to C-4 alkyl. 32. The copolymer of any one of claims 1 to 29, wherein Rbenz is a C-1 to C-4 alkoxy. 33. The copolymer of any one of claims 1 to 32, wherein L3 is a C-1 to C-3 alkylene. 34. The copolymer of any one of claims 1 to 33, wherein L3 is a C-1 to C-2 alkylene. 35. The copolymer of any one of claims 1 to 33, wherein L3 is an ethylene. 36. The copolymer of any one of claims 1 to 34, wherein L3 is methylene. 37. The copolymer of any one of claims 1, 2 and 5 to 18, wherein said copolymer has structure (A-3): 38. The copolymer of claim 37, wherein R2 is a C-1 to C-20 linear alkyl. 39. The copolymer of claim 37, wherein R2 is a C-3 to C-20 branched alkyl. 40. The copolymer of claim 37, wherein R2 is a C-5 to C-20 cyclic alkyl. 41. The copolymer of claim 37, wherein said copolymer has structure (A-3a)

42. The copolymer of claim 37, wherein said copolymer has structure (A-3b): 43. The copolymer of claim 37, wherein said copolymer has structure (A-3c):

44. The copolymer of claim 37, wherein said copolymer has structure (A-3d): 45. The copolymer of any one of claims 1, 2 and 5 to 18, wherein said copolymer has structure (A-4):

46. The copolymer of claim 45, wherein R2 is a C-1 to C-20 linear alkyl. 47. The copolymer of claim 45, wherein R2 is a C-3 to C-20 branched alkyl. 48. The copolymer of claim 45, wherein R2 is a C-5 to C-20 cyclic alkyl. 49. The copolymer of claim 45, wherein said copolymer has structure (A-4a): 50. The copolymer of claim 45, wherein said copolymer has structure (A-4b):

51. The copolymer of claim 45, wherein said copolymer has structure (A-4c): 52. The copolymer of claim 45, wherein said copolymer has structure (A-4d):

53. The copolymer of any one of claims 1, 2 and 5 to 18, wherein said copolymer has structure (A-5): 54. The copolymer of claim 53, wherein R2 is a C-1 to C-20 linear alkyl. 55. The copolymer of claim 53, wherein R2 is a C-3 to C-20 branched alkyl. 56. The copolymer of claim 53, wherein R2 is a C-5 to C-20 cyclic alkyl. 57. The copolymer of claim 53, wherein said copolymer has structure (A-5a):

58. The copolymer of claim 53, wherein said copolymer has structure (A-5b): 59. The copolymer of claim 53, wherein said copolymer has structure (A-5c):

60. The copolymer of claim 53, wherein said copolymer has structure (A-5d): 61. The copolymer of any one of claims 1, 2, 4, 13, 14, 17, and 18, wherein said copolymer has structure (A-6):

62. The copolymer of any one of claims 1, 2, 4, 13, 14, 17, and 18, wherein said copolymer has structure (A-7): 63. The copolymer of any one of claims 1, 2, 4, 13, 14, 17, and 18, wherein said copolymer has structure (A-8):

64. The copolymer of any one of claims 1, 3 to 16, wherein R4 has structure (IV) and L2 is a C-2 to C-4 alkylene. 65. The copolymer of any one of claims 1, 3 to 16, and 64, wherein R4 has structure (IV) and L2 is 1,3- propylene. 66. The copolymer of any one of claims 1, 3 to 16 and 64 to 65, wherein R4 has structure (IV) and L2 is ethylene. 67. The copolymer of any one of claims 1, 3 to 16 and 64 to 66, wherein R4 has structure (IV) and R7 is H. 68. The copolymer of any one of claims 1, 3 to 16 and 64 to 66, wherein R4 has structure (IV) and R7 is an acetal protecting group. 69, The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and R7 is a trialkylsilyl protecting group. 70. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and R8 is C-1 to C-4 alkyl. 71. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and R8 is H. 72. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and, Re3 is H. 73. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Re3 is a C-1 to C-8 alkyl.

74. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Re3 is a C-1 to C-8 alkoxy. 75. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Rbenz is H. 76. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Rbenz is a C-1 to C-4 alkyl. 77. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Rbenz is a C-1 to C-4 alkoxy. 78. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein said copolymer has structure (A-9): 79. The copolymer of claim 78, wherein R2 is a C-1 to C-20 linear alkyl. 80. The copolymer of claim 78, wherein R2 is a C-3 to C-20 branched alkyl. 81. The copolymer of claim 78, wherein R2 is a C-5 to C-20 cyclic alkyl. 82. The copolymer of claim 78, wherein said copolymer has structure (A-9a)

83. The copolymer of claim 78, wherein said copolymer has structure (A-9b): 84. The copolymer of claim 78, wherein said copolymer has structure (A-9c):

85. The copolymer of claim 78, wherein said copolymer has structure (A-9d): 86. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein said copolymer has structure (A-10):

87. The copolymer of claim 86, wherein R2 is a C-1 to C-20 linear alkyl. 88. The copolymer of claim 86, wherein R2 is a C-3 to C-20 branched alkyl. 89. The copolymer of claim 86, wherein R2 is a C-5 to C-20 cyclic alkyl. 90. The copolymer of claim 86, wherein said copolymer has structure (A-10a): 91. The copolymer of claim 86, wherein said copolymer has structure (A-10b):

92. The copolymer of claim 86, wherein said copolymer has structure (A-10c): 93. The copolymer of claim 86, wherein said copolymer has structure (A-10d):

94. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein said copolymer has structure (A-11): 95. The copolymer of claim 94, wherein R2 is a C-1 to C-20 linear alkyl. 96. The copolymer of claim 94, wherein R2 is a C-3 to C-20 branched alkyl. 97. The copolymer of claim 94, wherein R2 is a C-5 to C-20 cyclic alkyl. 98. The copolymer of claim 94, wherein said copolymer has structure (A-11a):

99. The copolymer of claim 94, wherein said copolymer has structure (A-11b): 100. The copolymer of claim 94, wherein said copolymer has structure (A-11c):

101. The copolymer of claim 94, wherein said copolymer has structure (A-11d): 102. The copolymer of any one of claims 1, 3, 4, 13, 14, and 64 to 66, wherein said copolymer has structure (A-12):

103. The copolymer of any one of claims 1, 3, 4, 13, 14, and 64 to 66, wherein said copolymer has structure (A-13): 104. The copolymer of any one of claims 1, 3, 4, 13, 14, and 64 to 66, wherein said copolymer has structure (A-14):

105. The copolymer of any one of claim 1 to 104, having an Mn from about 500 to about 100,000. 106. The copolymer of any one of claims 1 to 105, having an Mn from about 500 to about 20,000. 107. The copolymer of any one of claim 1 to 106, having a polydispersity from 1.0 to about 1.2. 108. The copolymer of any one of claims 1 to 107, having a polydispersity from 1.0 to about 1.1. 109. The copolymer of any one of claims 1 to 108, having a polydispersity from 1.0 to about 1.05. 110. The copolymer of any one of claims 1 to 109, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 1 mole % to about 60 mole % of the total repeat units of structure (I) and (II). 111. The copolymer of any one of claims 1 to 110, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 5 mole % to about 50 mole % of the total repeat units of structure (I) and (II). 112. The copolymer of any one of claims 1 to 111, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 7 mole % to about 40 mole % of the total repeat units of structure (I) and (II). 113. The copolymer of any one of claims 1 to 112, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 9 mole % to about 40 mole % of the total repeat units of structure (I) and (II). 114. The copolymer of any one of claims 1 to 113, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 10 mole % to about 30 mole % of the total repeat units of structure (I) and (II).

115. The copolymer of any one of claims 1 to 114, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 10 mole % to about 25 mole % of the total repeat units of structure (I) and (II). 116. The copolymer of any one of claims 111 to 115, wherein said repeat unit of structure (I) has the more specific structure (Ia). 117. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (IIa). 118. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (IIb). 119. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (IIc). 120. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (IId). 121. A composition comprising: a copolymer of any one of claims 1 to 120, and an organic spin casting solvent, wherein said copolymer is one wherein either end group (III) is present and R5 is H or end group (IV) is present and R7 is H. 122. A process for forming a grafted coating of a copolymer on a substrate comprising the steps: i) forming a coating of a composition of claim 121 on a substrate, ii) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a grafted coating of the copolymer, iii) heating the grafted coating of step ii) from about 200°C to about 250°C to form a fully cross-linked or fully crosslinked and grafted copolymer coating. 123. A process for forming a grafted neutral layer coating on a substrate comprising the steps: ia) forming a coating of a composition of claim 121 on a substrate, iia) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a grafted coating, iiia) heating the grafted coating of step iia) at a temperature from about 200°C to about 250°C to form a fully grafted neutral layer coating. 124. A process for forming a self-assembled block copolymer coating on a neutral layer coating comprising the steps: ij) forming neutral layer coating according to claim 123, iij) applying a block copolymer over the neutral layer coating and annealing until directed self-assembly of the block copolymer coating occurs.

125. A process of graphoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: ik) forming neutral layer coating according to claim 123, iik) providing a coating of a photoresist coating over the neutral layer coating, forming a pattern in the photoresist coating, iiik) applying a block copolymer comprising an etch resistant block and a highly etchable block over the photoresist pattern and annealing until directed self-assembly occurs; and, ivk) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

126. The process of claim 125 where the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion lithography, 13.5 nm EUV lithography, 193nm deep UV lithography, 248 nm deep UV lithography, 365 nm UV lithography and 436 nm UV lithography.

127. A process of chemoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: il) forming neutral layer coating on as substrate according claim 123, iil) providing a coating of a photoresist coating over the neutral layer coating, forming a pattern in the photoresist coating, thereby forming regions in which the neutral layer coating is uncovered by the resist, iiil) treating the uncovered neutral layer coating to remove it, forming a pinning area, ivl) removing the photoresist, uncovering the unaffected neutral layer coating forming a chemoepitaxy pattern containing neutral and pinning areas, vl) applying a block copolymer comprising an etch resistant block and a highly etchable block over the neutral layer coating and annealing until directed self-assembly occurs; and, vil) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

128. The process of claim 127 where the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion lithography, broadband, 13.5 nm EUV lithography, 193nm deep UV lithography, 248 nm deep UV lithography, 365 nm UV lithography and 436 nm UV lithography.

129. The use of the polymer according to any one of claims 1 to 120 or of the composition according to claim 121 in a process for preparing a grafted coating or a self-assembled coating on a substrate.

AMENDED CLAIMS received by the International Bureau on 20 April 2023 (20.04.2023)

1. A random copolymer of structure (A), comprising a repeat unit of structure (I), where Rmi is a C-1 to C-8 alkyl, Ri is a benzylic comprising moiety of structure (V) wherein Rbenz is a substituent individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C-4 alkoxy ,L3 is a C-1 to C-4 alkylene, and “*” designates the attachment point of this substituent to this repeat unit, and n1 designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 40 mole % to about 100 mole %, a repeat unit of structure (II), wherein, Rm2 is a C-1 to C-8 alkyl, R2 is a moiety selected from a C-1 to C-20 linear alkyl, a C-3 to C-20 branched alkyl, and a C-5 to C-20 cyclic alkyl, a cyclohexylalkylene moiety of structure (VI), an anthracenylalkylene moiety of structure (VII), a naphthalenylalkylene moiety of structure (VIII), and a biphenyl moiety of structure (VIV), wherein L4 and L5 are independently selected from a C-1 to C-4 alkylene, L6 and L7 are independently selected from a direct valence bond or a C-1 to C-4 alkylene moiety, Rcycl, Ranth, Rnaph, Rbiph, are substituents individually selected from H, a C- 1 to C-4 alkyl, and a C-1 to C-4 alkoxy, n2 designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 0 mole % to about 60 mole %, and further wherein the total mole % of the repeat units in said copolymer of repeat units of structures (I) and (II) is 100 mole %; two end groups R3 and R4, wherein end group R3, which is derived from an anionic initiator, wherein R3 is either a moiety of structure (Illa), or is a moiety of structure (III), wherein L1 is a C-1 to C-8 alkylene, and R5 is H, an acetal protecting group, or a trialkylsilyl protecting group, R6 is a C-1 to C-8 alkyl, and Re1 and Re2 are individually selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “*” designates the attachment point of this end group to said copolymer of structure (A), and wherein R4 is either selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H,Si-). and a benzylic moiety, or is a moiety of structure (IV), wherein L2 is a C-2 to C-8 alkylene, and R7 is H, an acetal protecting group, or a trialkylsilyl protecting group, R8 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl )2HSi). a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H,Si-). and a benzylic moiety and Re3 is selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “*” designates the attachment point of the end group to said copolymer of structure (A), and further, wherein both R3 and R4 cannot, simultaneously, be respectively selected from a moiety of structure (III), and a moiety of structure (IV), and either R3 is a moiety of structure (III) or R4 is a moiety of structure (IV):

2. The copolymer of claim 1, wherein said copolymer has structure (A-l), wherein R4 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C- 8 trialkylsilyl ((alkyl Si), a C-1 to C-8 dialkylsilyl (alkyl)H2Si ), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety:

3. The copolymer of claim 1, wherein said copolymer has structure (A-2), wherein R8 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C- 8 trialkylsilyl ((alkyl Si), a C-1 to C-8 dialkylsilyl ((alkyl^HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety:

4. The copolymer of any one of claims 1 to 3, wherein said repeat unit of structure (I) is 100 mole % of the repeat units and said repeat unit of structure (II) is 0 mole %.

5. The copolymer of any one of claims 1 to 3, wherein said repeat unit of structure (II) is present.

6. The copolymer of any one of claim 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a C-1 to C-20 linear alkyl.

7. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a C-3 to C-20 branched alkyl.

8. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a C-5 to C-20 cyclic alkyl.

9. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a cyclohexylalkylene moiety of structure (VI).

10. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is an anthracenylalkylene moiety of structure (VII).

11. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a naphthalenylalkylene moiety of structure (VIII).

12. The copolymer of any one of claims 1 to 3 and 5, wherein said repeat unit of structure (II) is one wherein R2 is a biphenyl moiety of structure (VIV).

13. The copolymer of any one of claims 1 to 12, wherein Rmi is a C-1 to C-4 alkyl.

14. The copolymer of any one of claims 1 to 13, wherein Rmi is methyl.

15. The copolymer of any one of claims 1 to 3, 5 to 14, wherein Rm2 is a C-1 to C-4 alkyl.

16. The copolymer of any one of claims 1 to 3, 5 to 15, wherein Rm2 is methyl.

17. The copolymer of any one of claims 1 to 2, 5 to 16, wherein R3 has structure (III) and Li is a C-

1 to C-2 alkylene.

18. The copolymer of any one of claims 1 to 2, 5 to 17, wherein R3 has structure (III) and Li is methylene.

19. The copolymer of any one of claims 1 to 2, 5 to 18, wherein R3 has structure (III) and R5 is H.

20. The copolymer of any one of claims 1 to 2, 5 to 18, wherein R3 has structure (III) and R5 is an acetal protecting group.

21. The copolymer of any one of claims 1 to 2, 5 to 18, wherein R3 has structure (III) and R5 is a trialkylsilyl protecting group.

22. The copolymer of any one of claims 1 to 2, 5 to 21, wherein R3 has structure (III) and R6 is a C-

1 to C-6 alkyl.

23. The copolymer of any one of claims 1 to 2, 5 to 21, wherein R3 has structure (III) and R6 is isobutyl.

24. The copolymer of any one of claims 1 to 2, 5 to 22, wherein R3 has structure (III) and, Rei is H.

25. The copolymer of any one of claims 1 to 2, 5 to 22, wherein R3 has structure (III) and Re1 is a C-

1 to C-8 alkyl.

26. The copolymer of any one of claims 1 to 2, 5 to 22, wherein R3 has structure (III) and Re1 is a C- 1 to C-8 alkoxy.

27. The copolymer of any one of claims 1 to 2, 5 to 25, wherein R3 has structure (III) and Re2 is H.

28. The copolymer of any one of claims 1 to 2, 5 to 25, wherein R3 has structure (III) and Re2 is a C-

1 to C-8 alkyl.

29. The copolymer of any one of claims 1 to 2, 5 to 25, wherein R3 has structure (III) and Re2 a C-1 to C-8 alkoxy.

30. The copolymer of any one of claims 1 to 29, wherein Rbenz is H.

31. The copolymer of any one of claims 1 to 29, wherein Rbenz a C-1 to C-4 alkyl.

32. The copolymer of any one of claims 1 to 29, wherein Rbenz is a C-1 to C-4 alkoxy.

33. The copolymer of any one of claims 1 to 32, wherein L3 is a C-1 to C-3 alkylene.

34. The copolymer of any one of claims 1 to 33, wherein L3 is a C-1 to C-2 alkylene.

35. The copolymer of any one of claims 1 to 33, wherein L3 is an ethylene.

36. The copolymer of any one of claims 1 to 34, wherein L3 is methylene.

37. The copolymer of any one of claims 1, 2 and 5 to 18, wherein said copolymer has structure (A-

3):

38. The copolymer of claim 37, wherein R2 is a C-l to C-20 linear alkyl.

39. The copolymer of claim 37, wherein R2 is a C-3 to C-20 branched alkyl.

40. The copolymer of claim 37, wherein R2 is a C-5 to C-20 cyclic alkyl.

41. The copolymer of claim 37, wherein said copolymer has structure (A-3a)

42. The copolymer of claim 37, wherein said copolymer has structure (A-3b):

43. The copolymer of claim 37, wherein said copolymer has structure (A-3c):

44. The copolymer of claim 37, wherein said copolymer has structure (A-3d):

45. The copolymer of any one of claims 1, 2 and 5 to 18, wherein said copolymer has structure (A-

4):

46. The copolymer of claim 45, wherein R2 is a C-1 to C-20 linear alkyl.

47. The copolymer of claim 45, wherein R2 is a C-3 to C-20 branched alkyl.

48. The copolymer of claim 45, wherein R2 is a C-5 to C-20 cyclic alkyl.

49. The copolymer of claim 45, wherein said copolymer has structure (A-4a):

50. The copolymer of claim 45, wherein said copolymer has structure (A-4b):

51. The copolymer of claim 45, wherein said copolymer has structure (A-4c):

52. The copolymer of claim 45, wherein said copolymer has structure (A-4d):

53. The copolymer of any one of claims 1, 2 and 5 to 18, wherein said copolymer has structure (A-

5):

54. The copolymer of claim 53, wherein R2 is a C-1 to C-20 linear alkyl.

55. The copolymer of claim 53, wherein R2 is a C-3 to C-20 branched alkyl.

56. The copolymer of claim 53, wherein R2 is a C-5 to C-20 cyclic alkyl.

57. The copolymer of claim 53, wherein said copolymer has structure (A-5a):

58. The copolymer of claim 53, wherein said copolymer has structure (A-5b):

59. The copolymer of claim 53, wherein said copolymer has structure (A-5c):

60. The copolymer of claim 53, wherein said copolymer has structure (A-5d):

61. The copolymer of any one of claims 1, 2, 4, 13, 14, 17, and 18, wherein said copolymer has structure (A-6):

62. The copolymer of any one of claims 1, 2, 4, 13, 14, 17, and 18, wherein said copolymer has structure (A-7):

63. The copolymer of any one of claims 1, 2, 4, 13, 14, 17, and 18, wherein said copolymer has structure (A-8):

64. The copolymer of any one of claims 1, 3 to 16, wherein R4 has structure (IV) and L2 is a C-2 to C-4 alkylene.

65. The copolymer of any one of claims 1, 3 to 16, and 64, wherein R4 has structure (IV) and L2 is

1,3 -propylene.

66. The copolymer of any one of claims 1, 3 to 16 and 64 to 65, wherein R4 has structure (IV) and L2 is ethylene.

67. The copolymer of any one of claims 1, 3 to 16 and 64 to 66, wherein R4 has structure (IV) and

R7 is H.

68. The copolymer of any one of claims 1, 3 to 16 and 64 to 66, wherein R4 has structure (IV) and R7 is an acetal protecting group.

69. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and R7 is a trialkylsilyl protecting group.

70. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and R8 is C-1 to C-4 alkyl.

71. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Rs is H.

72. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and, Re3 is H.

73. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Re3 is a C-1 to C-8 alkyl.

74. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Re3 is a C-1 to C-8 alkoxy.

75. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Rbenz IS H.

76. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and Rbenz is a C-1 to C-4 alkyl.

77. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein R4 has structure (IV) and

78. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein said copolymer has structure (A-9):

79. The copolymer of claim 78, wherein R2 is a C-1 to C-20 linear alkyl.

80. The copolymer of claim 78, wherein R2 is a C-3 to C-20 branched alkyl.

81. The copolymer of claim 78, wherein R2 is a C-5 to C-20 cyclic alkyl.

82. The copolymer of claim 78, wherein said copolymer has structure (A-9a)

83. The copolymer of claim 78, wherein said copolymer has structure (A-9b):

84. The copolymer of claim 78, wherein said copolymer has structure (A-9c):

85. The copolymer of claim 78, wherein said copolymer has structure (A-9d):

86. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein said copolymer has structure (A- 10):

87. The copolymer of claim 86, wherein R2 is a C-1 to C-20 linear alkyl.

88. The copolymer of claim 86, wherein R2 is a C-3 to C-20 branched alkyl.

89. The copolymer of claim 86, wherein R2 is a C-5 to C-20 cyclic alkyl.

90. The copolymer of claim 86, wherein said copolymer has structure (A-10a):

91. The copolymer of claim 86, wherein said copolymer has structure (A-10b):

92. The copolymer of claim 86, wherein said copolymer has structure (A-10c):

93. The copolymer of claim 86, wherein said copolymer has structure (A-10d):

94. The copolymer of any one of claims 1, 3 to 16, and 64 to 66, wherein said copolymer has structure (A-11):

95. The copolymer of claim 94, wherein R2 is a C-1 to C-20 linear alkyl.

96. The copolymer of claim 94, wherein R2 is a C-3 to C-20 branched alkyl.

97. The copolymer of claim 94, wherein R2 is a C-5 to C-20 cyclic alkyl.

98. The copolymer of claim 94, wherein said copolymer has structure (A-11a):

99. The copolymer of claim 94, wherein said copolymer has structure (A-11b):

100. The copolymer of claim 94, wherein said copolymer has structure (A-11c):

101. The copolymer of claim 94, wherein said copolymer has structure (A-11d):

102. The copolymer of any one of claims 1, 3, 4, 13, 14, and 64 to 66, wherein said copolymer has structure (A- 12):

103. The copolymer of any one of claims 1, 3, 4, 13, 14, and 64 to 66, wherein said copolymer has structure (A- 13):

104. The copolymer of any one of claims 1, 3, 4, 13, 14, and 64 to 66, wherein said copolymer has structure (A- 14):

105. The copolymer of any one of claim 1 to 104, having an Mn from about 500 to about 100,000.

106. The copolymer of any one of claims 1 to 105, having an Mn from about 500 to about 20,000.

107. The copolymer of any one of claim 1 to 106, having a polydispersity from 1.0 to about 1.2.

108. The copolymer of any one of claims 1 to 107, having a polydispersity from 1.0 to about 1.1.

109. The copolymer of any one of claims 1 to 108, having a polydispersity from 1.0 to about 1.05.

110. The copolymer of any one of claims 1 to 109, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 1 mole % to about 60 mole % of the total repeat units of structure (I) and (II).

111. The copolymer of any one of claims 1 to 110, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 5 mole % to about 50 mole % of the total repeat units of structure (I) and (II).

112. The copolymer of any one of claims 1 to 111, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 7 mole % to about 40 mole % of the total repeat units of structure (I) and (II).

113. The copolymer of any one of claims 1 to 112, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 9 mole % to about 40 mole % of the total repeat units of structure (I) and (II).

114. The copolymer of any one of claims 1 to 113, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 10 mole % to about 30 mole % of the total repeat units of structure (I) and (II).

115. The copolymer of any one of claims 1 to 114, which comprises both repeat units of structures (I) and (II), wherein said repeat unit of structure (II) ranges from about 10 mole % to about 25 mole % of the total repeat units of structure (I) and (II).

116. The copolymer of any one of claims 111 to 115, wherein said repeat unit of structure (I) has the more specific structure (la).

117. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (Ila).

118. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (lib).

119. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (lie).

120. The copolymer of any one of claims 111 to 116, wherein said repeat unit of structure (II) has the more specific structure (lid).

121. A composition comprising: a copolymer of any one of claims 1 to 120, and an organic spin casting solvent, wherein said copolymer is one wherein either end group (III) is present and R® is H or end group (IV) is present and R7 is H.

122. A process for forming a grafted coating of a copolymer on a substrate comprising the steps: i) forming a coating of a composition of claim 121 on a substrate, ii) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a grafted coating of the copolymer, iii) heating the grafted coating of step ii) from about 200°C to about 250°C to form a fully crosslinked or fully crosslinked and grafted copolymer coating.

123. A process for forming a grafted neutral layer coating on a substrate comprising the steps: ia) forming a coating of a composition of claim 121 on a substrate, iia) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a grafted coating, iiia) heating the grafted coating of step iia) at a temperature from about 200°C to about 250°C to form a fully grafted neutral layer coating.

124. A process for forming a self-assembled block copolymer coating on a neutral layer coating comprising the steps: ij) forming neutral layer coating according to claim 123, iij) applying a block copolymer over the neutral layer coating and annealing until directed selfassembly of the block copolymer coating occurs.

125. A process of graphoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: ik) forming neutral layer coating according to claim 123, iik) providing a coating of a photoresist coating over the neutral layer coating, forming a pattern in the photoresist coating, iiik) applying a block copolymer comprising an etch resistant block and a highly etchable block over the photoresist pattern and annealing until directed self-assembly occurs; and, ivk) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

126. The process of claim 125 where the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion lithography, 13.5 nm EUV lithography, 193nm deep UV lithography, 248 nm deep UV lithography, 365 nm UV lithography and 436 nm UV lithography.

127. A process of chemoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: il) forming neutral layer coating on as substrate according claim 123, iil) providing a coating of a photoresist coating over the neutral layer coating, forming a pattern in the photoresist coating, thereby forming regions in which the neutral layer coating is uncovered by the resist, iiil) treating the uncovered neutral layer coating to remove it, forming a pinning area, ivl) removing the photoresist, uncovering the unaffected neutral layer coating forming a chemoepitaxy pattern containing neutral and pinning areas, vl) applying a block copolymer comprising an etch resistant block and a highly etchable block over the neutral layer coating and annealing until directed self-assembly occurs; and, vil) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas.

128. The process of claim 127 where the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion lithography, broadband, 13.5 nm EUV lithography, 193nm deep UV lithography, 248 nm deep UV lithography, 365 nm UV lithography and 436 nm UV lithography.

129. The use of the polymer according to any one of claims 1 to 120 or of the composition according to claim 121 in a process for preparing a grafted coating or a self-assembled coating on a substrate.

Description:
NEUTRAL BRUSHES WITH TUNABLE POLARITY FOR SELF-ASSEMBLY OF BLOCK COPOLYMERS WITH POLY(STYRENE) AND POLY (METHYL METHACRYLATE) CONTAINING SEGMENTS [0001] The invention relates to neutral brush composition for use in directed self-assembly processing. BACKGROUND [0002] Self-assembly of block copolymers is a method useful for generating smaller and smaller patterned features for the manufacture of microelectronic devices in which the critical dimensions (CD) of features on the order of nanoscale can be achieved. Self-assembly methods are desirable for extending the resolution capabilities of microlithographic technology for repeating features such as an array of contact holes or posts. In a conventional lithography approach, ultraviolet (UV) radiation may be used to expose through a mask onto a photoresist layer coated on a substrate or layered substrate. Positive or negative photoresists are useful, and these can also contain a refractory element such as silicon to enable dry development with conventional integrated circuit (IC) plasma processing. In a positive photoresist, UV radiation transmitted through a mask causes a photochemical reaction in the photoresist such that the exposed regions are removed with a developer solution or by conventional IC plasma processing. Conversely, in negative photoresists, UV radiation transmitted through a mask causes the regions exposed to radiation to become less removable with a developer solution or by conventional IC plasma processing. An integrated circuit feature, such as a gate, via or interconnect, is then etched into the substrate or layered substrate, and the remaining photoresist is removed. When using conventional lithographic exposure processes, the dimensions of features of the integrated circuit feature are limited. Further reduction in pattern dimensions is difficult to achieve with radiation exposure due to limitations related to aberrations, focus, proximity effects, minimum achievable exposure wavelengths and maximum achievable numerical apertures. The need for large-scale integration has led to a continued shrinking of the circuit dimensions and features in the devices. In the past, the final resolution of the features has been dependent upon the wavelength of light used to expose the photoresist, which has its own limitations. Directed (a.k.a. guided) self-assembly techniques, such as graphoepitaxy and chemoepitaxy using block copolymer imaging, which employ a patterned area on a substrate, are highly desirable techniques used to enhance resolution while reducing CD variation. These techniques can be employed to either enhance conventional UV lithographic techniques or to enable even higher resolution and CD control in approaches employing EUV, e-beam, deep UV or immersion lithography. The directed self-assembly block copolymer comprises a block of etch resistant copolymeric unit and a block of highly etchable copolymeric unit, which when coated, aligned and etched on a substrate give regions of very high- density patterns. [0003] For directed (guided), or unguided self-assembly, of a block copolymer film, respectively, on a patterned or non-pattemed substrate area, typically the self-assembly process of this block polymer layer occurs during annealing of this film overlying a neutral layer. This neutral layer over a semiconductor substrate may be an unpattemed neutral layer, or in chemoepitaxy or graphoepitaxy, this neutral layer may contain, respectively, graphoepitaxy or chemoepitaxy guiding features (formed through the above-described UV lithographic technique). During annealing of the block copolymer film, the underlying, neutral layer, directs the nano-phase separation of the block copolymer domains. One example is the formation phase separated domains which are lamellas or cylinders perpendicular to the underlying neutral layer surface. These nanophase separated block copolymer domains form a pre-pattern (e.g., line and space L/S) which may be transferred into the substrate through an etching process (e.g., plasma etching). In graphoepitaxy, or in chemoepitaxy, these guiding features may dictate both pattern rectification and pattern multiplication. In the case of an unpattemed neutral layer this produces a repeating array of for instance L/S or CH. For example, in a conventional block copolymer such as poly(styrene-b-methyl methacrylate (P(S-b-MMA)), in which both blocks have similar surface energies at the BCP-air interface, this can be achieved by coating and thermally annealing the block copolymer on a layer of non-preferential or neutral material that is grafted or cross-linked at the polymer-substrate interface.

[0004] In the graphoepitaxy directed self-assembly method, the block copolymers self organizes around a substrate that is pre-patterned with conventional lithography (Ultraviolet, Deep UV, e-beam (electron-beam), Extreme UV (EUV) exposure source) to form repeating topographical features such as a line/space (L/S) or contact hole (CH) pattern. In an example of a L/S directed self-assembly array, the block copolymer can form self-aligned lamellar regions which can form parallel line-space patterns of different pitches in the trenches between pre-patterned lines, thus enhancing pattern resolution by subdividing the space in the trench between the topographical lines into finer patterns. For example, a diblock copolymer or a triblock copolymer which is capable of microphase separation and comprises a block rich in carbon (such as styrene or containing some other element like Si, Ge, Ti) which is resistant to plasma etch, and a block which is highly plasma etchable or removable, can provide a high-resolution pattern definition. Examples of highly etchable blocks can comprise monomers which are rich in oxygen and which do not contain refractory elements and are capable of forming blocks which are highly etchable, such as methyl methacrylate. The plasma etching gases used in the etching process of defining the self-assembly pattern typically are those used in processes employed to make integrated circuits (IC). In this manner, very fine patterns can be created in typical IC substrates than were definable by conventional lithographic techniques, thus achieving pattern multiplication. Similarly, features such as contact holes can be made denser by using graphoepitaxy in which a suitable block copolymer arranges itself by directed self-assembly around an array of contact holes or posts defined by conventional lithography, thus forming a denser array of regions of etchable and etch resistant domains which when etched give rise to a denser array of contact holes. Consequently, graphoepitaxy has the potential to offer both pattern rectification and pattern multiplication.

[0005] In chemical epitaxy, or pinning chemical epitaxy, the self-assembly of the block copolymer is formed on a surface whose guiding features are regions of differing chemical affinity, having no, or insignificant topography (a.k.a. non-guiding topography) which predicates the directed self-assembly process. For example, the surface of a substrate could be patterned with conventional lithography (UV, Deep UV, e-beam, EUV) to create surfaces of different chemical affinity in a line and space (L/S) pattern in which exposed areas whose surface chemistry had been modified by irradiation alternate with areas which are unexposed and show no chemical change. These areas present no topographical difference but do present a surface chemical difference or pinning to direct self-assembly of block copolymer segments. Specifically, the directed self-assembly of a block copolymer whose block segments contain etch resistant (such as styrene repeat unit) and rapidly etching repeat units (such as methyl methacrylate repeat units) would allow precise placement of etch resistant block segments and highly etchable block segments over the pattern. This technique allows for the precise placement of these block copolymers and the subsequent pattern transfer of the pattern into a substrate after plasma or wet etch processing. Chemical epitaxy has the advantage that it can be fine-tuned by changes in the chemical differences to help improve line-edge roughness and CD control, thus allowing for pattern rectification. Other types of patterns such as repeating contact holes (CH) arrays could also be pattern rectified using chemoepitaxy.

[0006] These neutral layers are layers on a substrate or the surface of a treated substrate which have no affinity for either of the block segment of a block copolymer employed in directed self-assembly. In the graphoepitaxy method of directed self-assembly of block copolymer, neutral layers are useful as they allow the proper placement or orientation of block polymer segments for directed self-assembly which leads to proper placement of etch resistant block polymer segments and highly etchable block polymer segments relative to the substrate. For instance, in surfaces containing line and space features which have been defined by conventional radiation lithography, a neutral layer allows block segments to be oriented so that the block segments are oriented perpendicular to the surface of the substrates, an orientation which is ideal for both pattern rectification and pattern multiplication depending on the length of the block segments in the block copolymer as related to the length between the lines defined by conventional lithography. If a substrate interacts too strongly with one of the block segments it would cause it to he flat on that surface to maximize the surface of contact between the segment and the substrate; such a surface would perturb the desirable perpendicular alignment which can be used to either achieve pattern rectification or pattern multiplication based on features created through conventional lithography. Modification of selected small areas or pinning of substrate to make them strongly interactive with one block of the block copolymer and leaving the remainder of the surface coated with the neutral layer can be useful for forcing the alignment of the domains of the block copolymer in a desired direction, and this is the basis for the pinned chemoepitaxy or graphoepitaxy employed for pattern multiplication. The pinning area may be one which is hydrophilic having a greater affinity for example to polar block copolymer segments such as the polymethyl methacrylate block segment in a block copolymer of styrene and methyl methacrylate or alternatively be a pinning area which may be hydrophobic having a greater affinity for example to the polystyrene block segments in a block copolymer of styrene and methyl methacrylate.

[0007] For DSA LiNe flow (Liu-Nealey flow) processes employing block copolymers such as a 50/50 block copolymer of styrene and methyl methacrylate (PS-b-PMMA), typically 50/50 random copolymer of styrene and methyl methacrylate (PS-r-PMMA) with a reactive chain-end functionality, which are prepared by radical polymerization, are employed. Because these type of copolymers are prepared with radical polymerization methods, this results in copolymer with high polydispersity (PDI- 1.6-1.8) and thus form grafted neutral layer with poor uniformity. Neutral brushes based on PS-b-PMMA prepared by anionic polymerization are also known, however these materials have a hydrophobicity which is not easily tunable. Further, although polymers and copolymers based on alkyl or aryl methacrylate, such as benzyl methacrylate without graftable end groups prepared by radical polymerization and having high polydispersity are known, there is a need for such polymers in DSA application which have a reactive end group and narrow polydispersity. Such materials are needed not only because they could potentially form uniform neutral layers, but also because they could easily have their hydrophobicity finely tuned by varying the ratio of alkyl to aryl methacrylate repeat units. Such tunable material would not only solve the problem of lack of neutral layer uniformity in DSA LiNe flow processes, but also prevent the problem of missing DSA guide pattern disruptions, much more efficiently than conventional neutral brushes such as PS-r-MMA-OH or PS-b- MMA-OH.

DETAILED DESCRIPTION OF DRAWINGS

[0008] FIG. 1 Shows a representative copolymer, which would be obtained with a hydroxyl protected diphenylethylene as initiator adduct with sec-BuLi.

[0009] FIG. 2 Shows a copolymer which would be obtained by initiation with a sec-BuLi 1,1 — diphenylethene adduct, but which is capped with a protected 2-hydroxyethyl 2-phenylacrylate and terminated.

[0010] FIG. 3 Shows 1FOV SEM images of each fingerprint pattern on a silicon wafer using A) PS-b- PMMA on P(BnMA-r-BPMA 25% )-OH (Mn: 13K, brush FT: 4.2 run ± 0.3, WCA: 81.0 ± 2.3) B) PS-b-PMMA on P(BnMA-r-CHMA 25% )-OH(Mn: 23K, brush FT: 3.8 nm ± 0.3, WCA: 79.4 ± 0.5) C) PS-b-PMMA on P(BnMA-r-AMMA 20% -OH) (Mn: ~ 7.0K, brush FT: 7.9 nm ± 0.3, WCA: 80.8 ± 0.5). Process conditions: Si wafer, coatNLD, 200°C/ 30 min/N2 (Polymer A, B, & C, 230°C), 15 min toluene soak, N2 blow dry, PME- 7167 Ctg65: L o = 48 nm, FT = 50 nm, 270° C/1 h (N 2 ) SUMMARY OF THE INVENTION [0011] One aspect of this invention is novel graftable copolymers which, depending on the composition of alkyl or aryl substituted methacrylate monomer with repeat units such as benzyl methacrylate and its derivatives, can have their neutrality tuned either towards hydrophilic or hydrophobic enhancement in how it interacts with the polar or non-polymer block copolymer segments in block copolymers such as PS-b- PMMA block copolymer. One approach to these polymers is to use novel hydroxyl protected diphenylethylene as initiator adduct with sec-BuLi to initiate (alkyl or aryl) methacrylates to form well- defined pre-determined molecular weight brushes with low polydispersity for perpendicular assembly of block copolymers such as PS-b-PMMA type di- or multi-block copolymers. Another approach in this invention is to employ a standard alkyl lithium initiator but to terminate the living polymer chain with a protected hydroxyalkyl 2-arylacryalte, such as a protected 2-hydroxyethyl 2-phenylacrylate, forming also well-defined pre-determined molecular weight brushes with low polydispersity. [0012] Using either of these anionic copolymerization, different compositions of these methacrylates can be made to tune hydrophilicity or hydrophobicity to induce subtle changes in neutrality for suitable application requirements in DSA. FIG.1 and FIG.2 show representative specific examples of such materials. where FIG. 1 shows a representative copolymer, which would be obtained with a hydroxyl protected diphenylethylene as initiator adduct with sec-BuLi. FIG.2. Shows a copolymer which would be obtained by initiation with a sec-BuLi 1,1-diphenylethene adduct, but which is capped with a protected 2- hydroxyethyl 2-phenylacrylate and terminated. [0013] In one of its aspect this invention describes a random copolymer of structure (A), comprising: • a repeat unit of structure (I), where R m1 is a C-1 to C-8 alkyl, R1 is a benzylic comprising moiety of structure (V) wherein R benz is a substituent individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C- 4 alkoxy, L 3 is a C-1 to C-4 alkylene, and “ *” designates the attachment point of the this substituent to this repeat unit, and n 1 designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 40 mole % to about 100 mole %, • a repeat unit of structure (II), wherein , R m2 is a C-1 to C-8 alkyl, R 2 is a moiety selected from a C- 1 to C-20 linear alkyl, a C-3 to C-20 branched alkyl, and a C-5 to C-20 cyclic alkyl, a cyclohexylalkylene moiety of structure (VI), an anthracenylalkylene moiety of structure (VII), a naphthalenylalkylene moiety of structure (VIII), and a biphenyl moiety of structure (VIV), wherein L4 and L5 are each independently selected from a C-1 to C-4 alkylene, L6 and L7 are each independently selected from a direct valence bond or a C-1 to C-4 alkylene moiety, R cycl, R anth , R naph , R biph , are substituents each individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C-4 alkoxy, n2 designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 0 mole % to about 60 mole %,: and further wherein the total mole % of the repeat units in said copolymer of repeat units of structures (I) and (II) is 100 mole % ^ two end groups R 3 and R 4 , wherein o end group R3, which is derived from an anionic initiator, and is either a moiety of structure (IIIa) or is a moiety of structure (III), wherein L 1 is a C-1 to C-8 alkylene, and R 5 is H, an acetal protecting group, or a trialkylsilyl protecting group, R6 is a C-1 to C-8 alkyl, and Re1 and Re2 are individually selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “ *” designates the attachment point of this end group to said copolymer of structure (A), and o end group R 4 , which is a moiety derived from termination of anionic polymerization, wherein R 4 is either selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl) 3 Si), a C-1 to C-8 dialkylsilyl ((alkyl) 2 HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety, or is a moiety of structure (IV), wherein L2 is a C-2 to C-8 alkylene, and R 7 is H, an acetal protecting group, or a trialkylsilyl protecting group, R 8 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl) 3 Si), a C-1 to C-8 dialkylsilyl ((alkyl) 2 HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H 2 Si-), silane (-H3Si-), and a benzylic moiety and Re3 is selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “ *” designates the attachment point of the end group to said copolymer of structure (A), and further, wherein o both R 3 and R 4 cannot, simultaneously, be respectively selected from a moiety of structure (III), and a moiety of structure (IV), and o either R 3 is a moiety of structure (III) or R 4 is a moiety of structure (IV):

[0014] Another aspect of this invention is a composition comprising an inventive polymer of structure (A) and an organic spin casting solvent, wherein said copolymer is one wherein either end group (III) is present and R5 is H or end group (IV) is present, and R7 is H. [0015] A further aspect of this invention a processes of grafting said inventive composition comprising the copolymer of structure (A) on a substrate and using this grafted layer as a neutral layer in directed self- assembly (DSA) processing.

DETAILED DESCRIPTION OF THE INVENTION

[0016] It is to be understood that both the foregoing general description and the following detailed description are illustrative and explanatory, and are not restrictive of the subject matter, as claimed. In this application, the use of the singular includes the plural, the word "a" or "an" means "at least one", and the use of "or" means "and/or", unless specifically stated otherwise. Furthermore, the use of the term "including," “comprising” as well as other forms such as "includes", “comprises”, “comprised” and "included", is not limiting. Also, terms such as "element" or "component" encompass both elements and components comprising one unit and elements or components that comprise more than one unit, unless specifically stated otherwise. As used herein, the conjunction "and" is intended to be inclusive and the conjunction "or" is not intended to be exclusive unless otherwise indicated. For example, the phrase "or, alternatively" is intended to be exclusive. As used herein, the term "and/or" refers to any combination of the foregoing elements including using a single element.

[0017] The term “C-1 to C-4 alkyl” embodies methyl and C-2 to C-4 linear alkyls and C-3 to C-4 branched alkyl moieties, for example as follows: methyl(-CH3), ethyl (-CH2-CH3), n-propyl (-CH2-CH2-CH3), isopropyl (-CH(CH3)2, n-butyl (-CH2-CH2-CH2-CH3), tert-butyl (-C(CH3)3), isobutyl (CH2-CH(CH3)2, 2- butyl (-CH(CH3)CH2-CH3). Similarly, the term C-1 to C-8 embodies methyl C-2 to C-8 linear, C-3 to C-8 branched alkyls, C-4 to C-8 cycloalkyls (e.g., cyclopentyl, cyclohexyl etc) or C-5-C-8 alkylenecycloalkyls (e.g., -CH2-cyclohexyl, CH2-CH2-cyclopentyl etc.

[0018] The term “C-2 to C-5 alkylene” embodies C-2 to C-5 linear alkylene moieties (e.g. ethylene, propylene etc.) and C-3 to C-5 branched alkylene moieties (e.g., -CH(CH3)-, -CH(CH3)-CH2-, etc.).

[0019] Di-block and triblock copolymers of styrenic and alkyl 2-methylenealkanoate derived repeat unit moieties useful as components in the inventive compositions described herein may be made by a variety of methods, such as anionic polymerization, atom transfer radical polymerization (ATRP), Reversible additionfragmentation chain transfer (RAFT) polymerization, living radical polymerization and the like (Macromolecules 2019, 52, 2987-2994; Macromol. Rapid Commun. 2018, 39, 1800479; A. Deiter Shluter et al Synthesis of Polymers, 2014, Volume 1, p315; Encyclopedia of Polymer Science and Technology, 2014, Vol 7, p 625.).

[0020] The random copolymer poly(styrene-co-methyl methacrylate) is abbreviated as “P(S-co-MMA),” and the oligomeric version of this materials is abbreviated oligo(S-co-MMA). Similarly, the block copolymer poly(styrene-block-methyl methacrylate) is abbreviated as P(S-b-MMA), while the oligomer of this material is abbreviated as oligo(S-b-MMA). The oligomer oligo(styrene-co-p-octylstyrene)-block- (methyl methacrylate-co- di(ethylene glycol) methyl ether methacrylate) uses the same abbreviations to designate random an block copolymer elements, specifically oligo(S-co-p-OS)-b-P(MMA-co-DEGMEMA), in which S=styrene, p-OS=para-octylstyrene, MMA=methacrylate, DEGMEMA= di(ethylene glycol) methyl ether methacrylate designate the repeat units in this block copolymer whose two blocks are random copolymers. [0021] FOV is the abbreviation for “field of view” for top-down scanning electron micrographs (SEM) for the SEM FIGs. in this application. “L/S,” is an abbreviation for “line and space” lithographic features. [0022] PGMEA and PGME are respectively abbreviations for 1-methoxypropan-2-yl acetate and 1- methoxypropan-2-ol. [0023] The section headings used herein are for organizational purposes and are not to be construed as limiting the subject matter described. All documents, or portions of documents, cited in this application, including, but not limited to, patents, patent applications, articles, books, and treatises, are hereby expressly incorporated herein by reference in their entirety for any purpose. In the event that one or more of the incorporated literature references and similar materials defines a term in a manner that contradicts the definition of that term in this application, this application controls. [0024] Unless otherwise indicated, “alkyl” refers to hydrocarbon groups which can be linear, branched (e.g. methyl, ethyl, propyl, isopropyl, tert-butyl and the like) or cyclic (e.g. cyclohexyl, cyclopropyl, cyclopentyl and the like) multicyclic (e.g. norbornyl, adamantly and the like). These alkyl moieties may be substituted or unsubstituted as described below. The term “alkyl” refers to such moieties with C-1 to C-8 carbons. It is understood that for structural reasons linear alkyls start with C-1, while branched alkyls and cyclic alkyls start with C-3 and multicyclic alkyls start with C-5. Moreover, it is further understood that moieties derived from alkyls described below, such as alkyloxy and perfluoroalkyl, have the same carbon number ranges unless otherwise indicated. If the length of the alkyl group is specified as other than described above, the above- described definition of alkyl still stands with respect to it encompassing all types of alkyl moieties as described above and that the structural consideration with regards to minimum number of carbons for a given type of alkyl group still apply. [0025] Alkyloxy (a.k.a. Alkoxy) refers to an alkyl group on which is attached through an oxy (-O-) moiety (e.g. methoxy, ethoxy, propoxy, butoxy, 1,2-isopropoxy, cyclopentyloxy cyclohexyloxy and the like). These alkyloxy moieties may be substituted or unsubstituted as described below. [0026] Halo or halide refers to a halogen, F, Cl, Br or I which is linked by one bond to an organic moiety. [0027] As used herein the term lactone encompasses both mono-lactones (e.g., caprolactone) and di- lactones (e.g., lactide). [0028] Haloalkyl refers to a linear, cyclic or branched saturated alkyl group such as defined above in which at least one of the hydrogens has been replaced by a halide selected from the group of F, Cl, Br, I or mixture of these if more than one halo moiety is present. Fluoroalkyls are a specific subgroup of these moieties. [0029] Perfluoroalkyl refers to a linear, cyclic or branched saturated alkyl group as defined above in which the hydrogens have all been replaced by fluorine (e.g., trifluoromethyl, perfluoroethyl, perfluoroisopropyl, perfluorocyclohexyl and the like).

[0030] The term “hydroxyl protected diphenylethylene” refers to diphenylethylene derivatized with a C- 1 to C-8 alkylene hydroxy moiety (-alkylene-OH), on at least one of the aromatic rings, where the hydroxy moiety is functionalized with a protecting group (-alkylene-O-protecting group) such as an acetal (e.g. THP protecting group) or a trialkylsilyl protecting group moiety which will not be cleaved by alkyl alkali (e.g. sec-BuLi) and allow for the formation of the hydroxy protected diphenylethylene initiator adduct with alkyl alkali (e.g. sec-BuLi).

Copolymer of structure (A)

[0031] In one of its aspect this invention describes a random copolymer of structure (A), comprising:

• a repeat unit of structure (I), where R mi is a C-1 to C-8 alkyl, Ri is a benzylic comprising moiety of structure (V) wherein R benz is a substituent individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C- 4 alkoxy, L 3 is a C- 1 to C-4 alkylene, and designates the attachment point of this substituent to this repeat unit, and m designate the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 40 mole % to about 100 mole %,

• a repeat unit of structure (II), wherein, R m2 is a C-1 to C-8 alkyl, R 2 is a moiety selected from a C-1 to C-20 linear alkyl, a C-3 to C-20 branched alkyl, and a C-5 to C-20 cyclic alkyl, a cyclohexylalkylene moiety of structure (VI), an anthracenylalkylene moiety of structure (VII), a naphthalenylalkylene moiety of structure (VIII), and a biphenyl moiety of structure (VIV), wherein L4 and L5 are independently selected from a C-1 to C-4 alkylene, L6 and L7 are independently selected from a direct valence bond or a C-1 to C- 4 alkylene moiety, R cycl , R anth , R naph , R biph , are substituents individually selected from H, a C-1 to C-4 alkyl, and a C-1 to C-4 alkoxy, m designates the number of this repeating unit in the copolymer, and further where the mole % of this repeat unit is from about 0 mole % to about 60 mole %, and further wherein the total mole % of the repeat units in said copolymer of repeat units of structures (I) and (II) is 100 mole %

• two end groups R3 and R4, wherein o end group R3, which is derived from an anionic initiator, and is either a moiety of structure (Illa) or is a moiety of structure (III), wherein Li is a C-1 to C-8 alkylene, and R5 is H, an acetal protecting group, or a frialkylsilyl protecting group, R6 is a C-1 to C-8 alkyl, and K e1 and K e2 are individually selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and designates the attachment point of this end group to said copolymer of structure (A), and o end group R4, which is a moiety derived from termination of anionic polymerization, wherein R4 is either selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl a C-1 to C-8 dialkylsilyl ((alkyl^HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety, or is a moiety of structure (IV), wherein L2 is a C-2 to C-8 alkylene, and R 7 is H, an acetal protecting group, or a trialkylsilyl protecting group, R 8 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H 3 Si-), and a benzylic moiety and R e3 is selected from H, a C-1 to C-8 alkyl, and a C-1 to C-8 alkoxy, and “ *” designates the attachment point of the end group to said copolymer of structure (A), and further, wherein o both R 3 and R 4 cannot, simultaneously, be respectively selected from a moiety of structure (III), and a moiety of structure (IV), and o either R 3 is a moiety of structure (III) or R 4 is a moiety of structure (IV): [0032] Another aspect of the inventive copolymer of structure (A) is when it has structure (A-1), wherein R 4 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety: ( ) [0033] Another aspect of the inventive copolymer of structure (A) is when it has structure (A-2), wherein R 8 is selected from the group consisting of H, a C-1 to C-8 alkyl, C-1 to C-8 alkylcarbonyl (alkyl-C(=O)-), a C-1 to C-8 trialkylsilyl ((alkyl)3Si), a C-1 to C-8 dialkylsilyl ((alkyl)2HSi), a C-1 to C-8 monoalkylsilyl- ((alkyl)H2Si-), silane (-H3Si-), and a benzylic moiety: [0034] Another aspect of the inventive copolymer described herein it is one wherein said repeat unit of structure (I) is 100 mole % of the repeat units and said repeat unit of structure (II) is 0 mole %. [0035] In another aspect of the inventive copolymer of structure (A), said repeat unit of structure (II) is present. In one aspect of this embodiment said repeat unit of structure (II) is one wherein R 2 is a C-1 to C- 20 linear alkyl. In another aspect of this embodiment said repeat unit of structure (II) is one wherein R 2 is a C-3 to C-20 branched alkyl. In yet another aspect of this embodiment said repeat unit of structure (II) is one wherein R 2 is a C-5 to C-20 cyclic alkyl. In still another aspect of this embodiment said repeat unit of structure (II) is one wherein R 2 is a cyclohexylalkylene moiety of structure (VI) In yet another aspect of this embodiment, said repeat unit of structure (II) is one wherein R 2 is an anthracenylalkylene moiety of structure (VII). In still another aspect said repeat unit of structure (II) is one wherein R 2 is a naphthalenylalkylene moiety of structure (VIII). In yet another aspect of this embodiment, said repeat unit of structure (II) is one wherein R 2 is a biphenyl moiety of structure (VIV). [0036] Another aspect of the inventive copolymer described herein it is one wherein R m1 is a C-1 to C-4 alkyl. [0037] Another aspect of the inventive copolymer described herein it is one wherein R m1 is methyl. [0038] Another aspect of the inventive copolymer described herein it is one wherein Rm2 is a C-1 to C-4 alkyl. [0039] Another aspect of the inventive copolymer described herein it is one wherein Rm 2 is methyl. [0040] In another aspect of the inventive copolymer of structure (A), as described herein, R3 has structure (III) and L1 is a C-1 to C-2 alkylene. In another aspect this embodiment, R3 has structure (III) and L1 is methylene. In yet another aspect of this embodiment, R 3 has structure (III) and R 5 is H. In still another aspect of this embodiment, R3 has structure (III) and R5 is an acetal protecting group. In still another aspect of this embodiment, R 3 has structure (III) and R 5 is a trialkylsilyl protecting group. In yet another aspect of this embodiment, R3 has structure (III) and R6 is a C-1 to C-6 alkyl. In still another aspect of this embodiment, R 3 has structure (III) and R 6 is isobutyl. In still another aspect of this embodiment, R 3 has structure (III) and, Re1 is H. In yet another aspect of this embodiment, R3 has structure (III) and Re1 is a C-1 to C-8 alkyl. In still another aspect of this embodiment, R 3 has structure (III) and R e1 is a C-1 to C-8 alkoxy. In still another aspect of this embodiment, R3 has structure (III) and Re2 is H. In still another aspect of this embodiment, R3 has structure (III) and R e2 is a C-1 to C-8 alkyl. In yet another aspect of this embodiment, R 3 has structure (III) and Re2 a C-1 to C-8 alkoxy. [0041] In another aspect of the inventive copolymer of structure (A), as described herein, R benz is H. In another aspect of this embodiment, R benz is a C-1 to C-4 alkyl. In another aspect of this embodiment R benz is a C-1 to C-4 alkoxy. [0042] In another aspect of the inventive copolymer of structure (A), as described herein, L 3 is a C-1 to C- 3 alkylene. In another aspect of this embodiment L 3 is a C-1 to C-2 alkylene. In yet another aspect of this embodiment of this embodiment L 3 is ethylene. In still another aspect of this embodiment of this embodiment L 3 is methylene. [0043] In another aspect of the inventive copolymer of structure (A), as described herein, n said copolymer has structure (A-3), in another aspect of this embodiment, R 2 is a C-1 to C-20 linear alkyl. In yet another aspect of this embodiment R 2 is a C-3 to C-20 branched alkyl. In still another aspect of this embodiment, R 2 is a C-5 to C-20 cyclic alkyl.

[0044] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-3a): [0045] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-3b):

[0046] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-3c): [0047] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-3d):

[0048] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-4). In one aspect of this embodiment R 2 is a C-1 to C-20 linear alkyl. In another aspect of this embodiment R 2 is a C-3 to C-20 branched alkyl. In still another aspect of this embodiment R 2 is a C-5 to C-20 cyclic alkyl: [0049] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-4a):

[0050] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-4b): [0051] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-4c):

[0052] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-4d): [0053] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-5). In one aspect of this embodiment, R 2 is a C-1 to C-20 linear alkyl. In another aspect of this embodiment R 2 is a C-3 to C-20 branched alkyl. In still another aspect of this embodiment R 2 is a C-5 to C-20 cyclic alkyl:

[0054] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-5a): [0055] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-5b):

[0056] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-5c): [0057] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-5d):

[0058] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-6): [0059] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-7):

[0060] In another aspect of the inventive copolymer of structure (A), as described herein, said copolymer has structure (A-8): [0061] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), where R4 has structure (IV) and R3 is a moiety of structure (IIIa), L2 is a C-2 to C-4 alkylene. In another aspect of this embodiment, L 2 is 1,3-propylene. In still another aspect of this embodiment, L 2 is ethylene. In another aspect of this embodiment, R7 is H. In still another aspect of this embodiment, R7 is an acetal protecting group. In yet another aspect of this embodiment, R7 is a trialkylsilyl protecting group. In another aspect of this embodiment R 8 is C-1 to C-4 alkyl. In still another aspect of this embodiment, R 8 is H. In still another aspect of this embodiment Re3 is H. In still another aspect of this embodiment, Re3 is a C-1 to C-8 alkyl. In yet another aspect of this embodiment, R e3 is a C-1 to C-8 alkoxy. In still another aspect of this embodiment, R benz is H. In still another aspect of this embodiment, R benz is a C-1 to C-4 alkyl. In yet another aspect of this embodiment, R benz is a C-1 to C-4 alkoxy. [0062] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-9). In one aspect of this embodiment R 2 is a C-1 to C- 20 linear alkyl. In another aspect of this embodiment, R 2 is a C-3 to C-20 branched alkyl. In still another aspect of this embodiment, R 2 is a C-5 to C-20 cyclic alkyl. [0063] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-9a):

[0064] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-9b): [0065] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-9c):

[0066] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-9d): [0067] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-10). In one aspect of this embodiment R 2 is a C-1 to C-20 linear alkyl. In another aspect of this embodiment R 2 is a C-3 to C-20 branched alkyl. In still another aspect of this embodiment R 2 is a C-5 to C-20 cyclic alkyl:

[0068] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-10a) [0069] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-10b):

[0070] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-10c): [0071] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-10d):

[0072] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-11) In one aspect of this embodiment R 2 is a C-1 to C- 20 linear alkyl. In another aspect of this embodiment R 2 is a C-3 to C-20 branched alkyl. In still another aspect of this embodiment R 2 is a C-5 to C-20 cyclic alkyl: [0073] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-11a):

[0074] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-11b): [0075] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-11c):

[0076] In another aspect of the inventive copolymer of structure (A), as described herein, wherein it has structure (A-2), it has the more specific structure (A-11d): [0077] In another aspect of the inventive copolymer of structure (A), as described herein, wherein I has structure (A-12):

[0078] In another aspect of the inventive copolymer of structure (A), as described herein, wherein I has structure (13): [0079] In another aspect of the inventive copolymer of structure (A), as described herein, wherein I has structure (A-14):

[0080] In another aspect of the inventive copolymer of structure (A), as described herein, it is one having an M n from about 500 to about 100,000. In another aspect of this embodiment, it is from about 500 to about 20,000. [0081] In another aspect of the inventive copolymer of structure (A), as described herein, it is one having a polydispersity from 1.0 to about 1.2. In another aspect of this embodiment, it has a polydispersity from 1.0 to about 1.1. In another aspect of this embodiment, it has a polydispersity from 1.0 to about 1.07. In still another aspect of this embodiment it has a polydispersity from 1.0 to about 1.05. [0082] In another aspect of the inventive copolymer of structure (A), as described herein, in which comprises both repeat units of structures (I) and (II), said repeat unit of structure (II) ranges from about 1 mole % to about 60 mole % of the total repeat units of structure (I) and (II). In another aspect of this embodiment said repeat unit of structure (II) ranges from about 5 mole % to about 50 mole % of the total repeat units of structure (I) and (II). In still another aspect of this embodiment said repeat unit of structure (II) ranges from about 7 mole % to about 40 mole % of the total repeat units of structure (I) and (II). In still another aspect of this embodiment said repeat unit of structure (II) ranges from about 9 mole % to about 40 mole % of the total repeat units of structure (I) and (II). In still another aspect of this embodiment said repeat unit of structure (II) ranges from about 10 mole % to about 30 mole % of the total repeat units of structure (I) and (II). In yet another aspect of this embodiment said repeat unit of structure (II) ranges from about 10 mole % to about 25 mole % of the total repeat units of structure (I) and (II). In another aspect of these embodiments, said repeat unit of structure (I) has the more specific structure (Ia). In still another aspect of these embodiments said repeat unit of structure (II) has the more specific structure (IIa). In still another aspect of these embodiments said repeat unit of structure (II) has the more specific structure (IIb). In yet another aspect of these embodiments, said repeat unit of structure (II) has the more specific structure (IIc). In still another aspect of these embodiments, said repeat unit of structure (II) has the more specific structure (IId). Compositions comprising a copolymer of structure (A) [0083] Another aspect of this invention is a composition comprising a copolymer of structure (A) and its substructures, as described herein, and an organic spin casting solvent, wherein said copolymer is one wherein either end group (III) is present and R 5 is H or end group (IV) is present R 7 is H. In one aspect of this embodiment, it is a composition which consists of these two components. [0084] Suitable solvents for use for the inventive composition comprising a copolymer of structure (A) and its substructures, as described herein, are any organic solvent which is employed to spin cast materials such as DSA materials, photoresist, bottom antireflective coatings or other types of organic coatings using the lithographic processing of semiconductor materials. In another aspect of said inventive compositions, the organic spin casting solvent is one which can dissolve said random copolymers and any other additional optional components such as noted herein. This organic spin casting solvent may be a single solvent or a mixture of solvents. Suitable solvents are organic solvent which may include, for example, a glycol ether derivative such as ethyl cellosolve, methyl cellosolve, propylene glycol monomethyl ether (PGME), diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, dipropylene glycol dimethyl ether, propylene glycol n-propyl ether, or diethylene glycol dimethyl ether; a glycol ether ester derivative such as ethyl cellosolve acetate, methyl cellosolve acetate, or propylene glycol monomethyl ether acetate (PGMEA); carboxylates such as ethyl acetate, n-butyl acetate and amyl acetate; carboxylates of di-basic acids such as diethyloxylate and diethylmalonate; dicarboxylates of glycols such as ethylene glycol diacetate and propylene glycol diacetate; and hydroxy carboxylates such as methyl lactate, ethyl lactate (EL), ethyl glycolate, and ethyl-3-hydroxy propionate; a ketone ester such as methyl pyruvate or ethyl pyruvate; an alkyloxycarboxylic acid ester such as methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, ethyl 2- hydroxy-2-methylpropionate, or methylethoxypropionate; a ketone derivative such as methyl ethyl ketone, acetyl acetone, cyclopentanone, cyclohexanone or 2-heptanone; a ketone ether derivative such as diacetone alcohol methyl ether; a ketone alcohol derivative such as acetol or diacetone alcohol; a ketal or acetal like 1,3 dioxalane and diethoxypropane; lactones such as butyrolactone; an amide derivative such as dimethylacetamide or dimethylformamide, anisole, and mixtures thereof. Processes using the compositions comprising a copolymer of structure (A) Process of forming a coating [0085] Another aspect of this invention is a process for forming a grafted coating of a copolymer on a substrate comprising the steps: i) forming a coating of a composition comprising a copolymer of structure (A), as describe herein in any one of its embodiments, on a substrate, ii) heating the coating at a temperature from about 90°C to about 180°C to remove solvent. and to form a grafted coating of the copolymer, iii) heating the grafted coating of step ii) from about 200°C to about 250°C to form a fully cross-linked or fully crosslinked and grafted copolymer coating. Process of forming a grafted neutral layer coating [0086] Another aspect of this invention is a process for forming a grafted neutral layer coating on a substrate comprising the steps: ia) forming a coating of a composition comprising a copolymer of structure (A), as describe herein in any one of its embodiments, on a substrate iia) heating the coating at a temperature from about 90°C to about 180°C to remove solvent and to form a grafted coating, iiia) heating the grafted coating of step iia) at a temperature from about 200°C to about 250°C to form a fully grafted neutral layer coating. Process for forming a self-assembled block copolymer coating on a neutral layer coating [0087] Another aspect of this invention is a process for forming a self-assembled block copolymer coating on a neutral layer coating comprising the steps: ij) forming neutral layer coating according to the process of forming a grafted neutral layer coating as described above, iij) applying a block copolymer over the neutral layer coating and annealing until directed self-assembly of the block copolymer coating occurs. Process of graphoepitaxy directed self-assembly of a block copolymer used to form an image [0088] Another aspect of this invention is a process of graphoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: ik) forming neutral layer coating according to the process of forming a grafted neutral layer coating as described above, iik) providing a coating of a photoresist coating over the neutral layer coating, forming a pattern in the photoresist coating, iiik) applying a block copolymer comprising an etch resistant block and a highly etchable block over the photoresist pattern and annealing until directed self-assembly occurs; and, ivk) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these In one aspect of this process the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion lithography, 13.5 nm EUV lithography, 193nm deep UV lithography, 248 nm deep UV lithography, 365 nm UV lithography and 436 nm UV lithography. Process of Chemoepitaxy directed self-assembly of a block copolymer used to form an image [0089] Another aspect of this invention is a process of chemoepitaxy, directed self-assembly of a block copolymer coating used to form an image comprising the steps: il) forming neutral layer coating according to the process of forming a grafted neutral layer coating as described above, iil) providing a coating of a photoresist coating over the neutral layer coating, forming a pattern in the photoresist coating, thereby forming regions in which the neutral layer coating is uncovered by the resist, iiil) treating the uncovered neutral layer coating to remove it, forming a pinning area, ivl) removing the photoresist, uncovering the unaffected neutral layer coating forming a chemoepitaxy pattern containing neutral and pinning areas, vl) applying a block copolymer comprising an etch resistant block and a highly etchable block over the neutral layer coating and annealing until directed self-assembly occurs; and, vil) etching the block copolymer, thereby removing the highly etchable block of the copolymer overcoating areas of the substrate and simultaneously forming a pattern in the substrate selectively in these areas. In one aspect of this process the pattern in the photoresist coating is formed by imaging lithography selected from a group consisting of e-beam, broadband, 193 nm immersion lithography, 13.5 nm EUV lithography, 193nm deep UV lithography, 248 nm deep UV lithography, 365 nm UV lithography and 436 nm UV lithography. Another aspect of this invention is the use of the polymer of structure (A) as described herein in any one of its embodiments, or of the composition as described herein, in a process for preparing a grafted coating or a self-assembled coating on a substrate. EXAMPLES Chemicals [0090] All chemicals are available from Millipore-Sigma unless otherwise noted. 9-Anthracenemethyl methacrylate is available from Shanghai B&C. NMR was measured by a Bruker 400 MHz Avance III spectrometer in CD 2 Cl 2 . GPC was measured using Agilent system. [0091] All synthetic experiments were carried out under N2 atmosphere. Lithographic experiments were carried out as described in the text. The molecular weight of the copolymers was measured with a Gel Permeation Chromatograph.

[0092] Unless indicated otherwise, ’H NMR spectra were recorded using Bruker Advanced III 400 MHz spectrometer in CD2CI2.

[0093] Lithographic Experiments were done using a TEL Clean ACT8 track. SEM pictures were taken with an applied Materials NanoSEM_3D Scanning electron microscope picture are shown at either 1 FOV magnification or 2 FOV magnification (Field of view (FOV) = 5 pm using 1, 2, and 5 FOV).

[0094] Etching experiments were done using standard isotropic oxygen etching conditions for selfassembled films block copolymer of methyl methacrylate and styrene.

[0095] Unless otherwise indicated Molecular weight measurements (a.k.a. M n polydispersity) were done by Gel permeation chromatography (PSS Inc. Germany) equipped with IOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns using THF solvent as an eluent. Polystyrene polymer standards were used for calibration.

[0096] Herein the titration reagent “secbutylDPE-Li,” is defined as adduct of sec-butyl lithium and 1,1’- diphenylethylene (DPE) prepared by adding an equimolar amount of sec-butyl lithium to a 2 wt. % solution of DPE in toluene.

[0097] Experiments are given below as examples. Unless indicated otherwise, all the polymers synthesized in this disclosure were characterized by Gel permeation chromatography (PSS Inc. Germany) equipped with IOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns using THF solvent as an eluent (ImL/min) for molecular weight measurements (M n,GPc, and M W,GPC ) and polydispersity indices using polystyrene standards for calibration as a reference. Composition of polymers and end-functionality were determined using 300 MHz ’H- NMR in CD2Q2. Coating studies were performed using blanket dielectric as well as metal coupons. The films were baked at desired temperature and time and rinsed with excess material.

Synthesis of Polymeric Materials for Testing

Reference Polymer Synthesis Example Synthesis of P(S-b-MMA) (26k-b-30k)

[0098] P(S-b-MMA) (26K-b-30K) was synthesized using the same procedure as described in example 2. To achieve target M n and compositions of PS and PMMA block, the amount of initiator and monomer quantities were changed. 20 g (0. 192 moles) of styrene was polymerized by rapidly adding 0.55 mL (1.4M solution) of sec-butyllithium. Then 0. 164 g (0.0007 moles) of 1,1 ’-diphenylethylene (DPE) in 2.5 ml of dry toluene was added via ampule into the reactor. The orange color of the reaction mixture turned into dark brick-red indicating conversion of styryllithium active centers to delocalized DPE adduct carbanion. After 2 min of stirring, a small amount (2 mL) of the reaction mixture was withdrawn for PS block molecular weight analysis. Then methyl methacrylate (22.85 g, 0.23 moles) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10 % water, filtered, and dried at 55°C for 12 h under vacuum giving 40 g of P(S-b-MMA) (94 % yield) consisting of 46.9 mol. % of polystyrene block and 53.1 mol. % of polymethylmethacrylate block. Gel permeation chromatography equipped with lOOA, 500 A, 10 3 A, 10 5 A and 10 6 A p-ultrastyragel columns showed that the 1 st P(SDPE) block had M n (GPC) = 45,048 g/mol and M w /M n = 1.04 with respect to PS calibration standards. The diblock copolymer molecular weight obtained from GPC is M n,ps-b-PMMA = 46,978 g/mol and M w /M n = 1.02.

Example 1 Synthesis of hydroxy methyl terminated-poly(benzyl methacrylate-co-[l,l'-biphenyl]-4- ylmethyl methacrylate)

[0099] [l,l'-Biphenyl]-4-ylmethyl methacrylate [l,l'-biphenyl]-4-yl methacrylate (BPMMA, 3.76g, 14.9 mmol) was weighed into a calibrated ampule and dissolved in toluene (8.0 mL). Benzyl methacrylate (BnMA, 10.1 ml, 59.6 mmol) was added via syringe into the ampule. The mixture was degassed under reduced pressure (IO -6 mmHg) until toluene (8.0 ml) was removed from monomer mixture. In the glovebox, Tefrahydropyran (THP) protected 3-(hydroxy methyl)- 1,1 -diphenyl ethylene (MTAG-8, 0.72 g, 2.45 mmol) was weighed (1.2 molar excess with respect to s-BuLi) in a vial and dissolved in 3 mL toluene. This solution was promptly titrated with dilute secbutylDPE-Li solution until an orange color was persistence. Solution color got weaker and then changed to green by the time it was added to the reactor. After closing the stopcock ampule was removed from glovebox. Both BnMA/BPMMA ampule and MTAG-8 ampule were attached to the flaks using glass joints and yellow grease Required amount of LiCl (5 times excess with respect to s- BuLi) weighed and quickly added to the flask and closed with three-way septum adaptor, which was connected with a rubber tubing for access to vacuum/argon. Vacuum was applied to the flask and LiCl was dried using heat-gun. After 10 min flask was brought to RT and filled with argon. Under positive pressure —150 mL dry THF was transferred to the flask via cannula transfer. The flask temperature was lowered to - 78°C using dry ice/acetone bath. LiCl/THF and the solution was titrated with s-BuLi (3 ml, 1.4M) until a persistent lemon yellow/yellow was obtained. After 5 min dry ice/acetone bath was removed and flask was brought to RT. It takes 15-30 min for the complete decay of yellow color. After a colorless solution was obtained, flask temperature was lowered to -78°C and the titrated MTAG-8 solution was added by opening stopcock. The colorless mixture turned pale orange after the addition of the MTAG-8. The required amount of s-BuLi (1.46 mL, 2.04 mmol, 1.4M) to generate active MTAG-8 initiator was added using airtight glass syringe. This results in color change from pale orange to dark red, a color of active MTAG-8/Li initiator. After 2-3 min, initiator solution kept under stirring at 300 rpm and BnMA/BPMMA mixture was added dropwise for 10 minutes. Propagation was continued further for 110 minutes and terminated with 2 mL degassed methanol and brought to RT. MeOH (80 - 100 ml) was added to the solution, followed by adding pTSA (4.7g, 10-fold-excess amount). The reaction mixture was stirred at room temperature for overnight. The mixture was precipitated in a large amount of methanol and polymer was recovered by vacuum filtration. The dried polymer was dissolved in EtOAc as a 10% solids solution, washed 3 times with 1 wt. % ascorbic acid (aq), and then 3 times DI water. The organic mixture was precipitated in hexanes (8 parts volume) and the precipitate was collected by vacuum filtration and dried in a vacuum oven at 60 °C. Quantitative yield of P(BnMA-co-BPMMA)-DPE-CH2OH was obtained.

[0100] Table 1 shows a summary of the reaction conditions and characterization for copolymers containing BPMMA Exampled 1, la and lb (Scheme 1). Examples la and lb used the same procedure as Example but varied the loading of loading BPMMA Example la (2X times), Examples lb (2.5X). This was reflected in the amount of BPMMA incorporated into the polymer as a repeat unit in Table 1 as measured by proton NMR in CD 2 C12.

Scheme 1: Synthesis of hydroxy methyl terminated-poly (benzyl methacrylate-co-[l,l'-biphenyl]-4- ylmethyl methacrylate)

[0101]

Table 1. Synthesis of copolymers with different contents of BPMMA

Example 2. Synthesis of hydroxy methyl terminated-poly(benzyl methacrylate-co-cyclohexyl methacrylate) Cyclohexyl methacrylate (CHMA, 5 ml, 28.7 mmol) and benzyl methacrylate (BnMA, 14.6 ml, 86. 1 mmol) was added via syringe into the ampule. The mixture was degassed under reduced pressure (IO -6 mmHg) until toluene (8.0 ml) was removed from monomer mixture. In the glovebox, Tetrahydropyran (THP) protected 3-(hydroxy methyl) -1,1 -diphenyl ethylene (MTAG-8, 0.71 g, 2.4 mmol) was weighed (1.2 molar excess with respect to s-BuLi) in a vial and dissolved in 3 mL toluene. This solution was promptly titrated with dilute secbutylDPE-Li solution until an orange color was persistence. Solution color got weaker and then changed to green by the time it was added to the reactor. After closing the stopcock ampule was removed from glovebox. Both BnMA/BPMMA ampule and MTAG-8 ampule were attached to the flaks using glass joints and yellow grease Required amount of LiCl (5 times excess with respect to s-BuLi) weighed and quickly added to the flask and closed with three-way septum adaptor, which was connected with a rubber tubing for access to vacuum/argon. Vacuum was applied to the flask and LiCl was dried using heat-gun. After 10 min flask was brought to RT and filled with argon. Under positive pressure ~150 mL dry THF was transferred to the flask via cannula transfer. The flask temperature was lowered to -78°C using dry ice/acetone bath. LiCl/THF and the solution was titrated with s-BuLi (3 ml, 1.4M) until a persistent lemon yellow/yellow was obtained. After 5 min dry ice/acetone bath was removed and flask was brought to RT. It took 15-30 min for the complete decay of yellow color. After a colorless solution was obtained, flask temperature was lowered to -78°C and the titrated MTAG-8 solution was added by opening stopcock. The colorless mixture turned pale orange after the addition of the MTAG-8. The required amount of s-BuLi (1.43 mL, 2.0 mmol, 1.4M) to generate active MTAG-8 initiator was added using airtight glass syringe. This results in color change from pale orange to dark red, a color of active MTAG-8/Li initiator. After 2-3 min, initiator solution kept under stirring at 300 rpm and BnMA/BPMMA mixture was added dropwise for 10 minutes. Propagation was continued further for 110 minutes and terminated with 2 mL degassed methanol and brought to RT. MeOH (80 – 100 ml) was added to the solution, followed by adding pTSA (3.8 g, 10- fold-excess amount). The reaction mixture was stirred at room temperature for overnight. The mixture was precipitated in a large amount of methanol and polymer was recovered by vacuum filtration. The dried polymer was dissolved in EtOAc as a 10% solids solution, washed 3 times with 1 wt. % ascorbic acid (aqueous, aq), and then 3 times DI water. The organic mixture was precipitated in hexanes (8 parts volume) and the precipitate was collected by vacuum filtration and dried in a vacuum oven at 60 °C. Quantitative yield of P(BnMA-co-BPMMA)-DPE-CH 2 OH was obtained. Table 2 shows a summary of the reaction conditions used for Example 2 (Scheme 2) and the characterization of the copolymer obtained which contained a repeat unit derived from CHMA. Scheme 2: Synthesis of hydroxy methyl terminated-poly(benzyl methacrylate-co-cyclohexyl methacrylate) [0102] Table 2. Synthesis of copolymer containing CHMA

Example 3. Synthesis of hydroxy methyl terminated-poly(benzyl methacrylate-co-9-anthracenyl methyl methacrylate)

[0103] 9-Antracenyl methyl methacrylate (AMMA, 3.11g, 11.3 mmol) was weighed into a calibrated ampule and dissolved in toluene (6.0 mL). Benzyl methacrylate (BnMA, 17.1 ml, 100.9 mmol) was added via syringe into the ampule. The mixture was freeze-thawed 3 times to degas the mixture. In the glovebox, Tetrahydropyran (THP) protected 3-(hydroxy methyl)- 1,1 -diphenyl ethylene (MTAG-8, 0.77 g, 2.42 mmol) was weighed (1.2 molar excess with respect to s-BuLi) in a vial and dissolved in ~3-5 mL toluene. This solution was promptly titrated with dilute secbutylDPE-Li solution until an orange color was persistence. Solution color got weaker and then changed to green by the time it was added to the reactor. After closing the stopcock ampule was removed from glovebox. Both BnMA/AMMA ampule and MTAG-8 ampule were attached to the flaks using glass joints and yellow grease. Required amount of LiCl (5 times excess with respect to s-BuLi) weighed and quickly added to the flask and closed with three-way septum adaptor, which was connected with a rubber tubing for access to vacuum/argon. Vacuum was applied to the flask and LiCl was dried using heat-gun. After 10 min flask was brought to RT and filled with argon. Under positive pressure ~250 mL dry THF was transferred to the flask via cannula transfer. The flask temperature was lowered to -78°C using dry ice/acetone bath. LiCl/THF and the solution was titrated with s-BuLi (3 ml, 1.4M) until a persistent lemon yellow/yellow was obtained. After 5 min dry ice/acetone bath was removed and flask was brought to RT. It takes 15-30 min for the complete decay of yellow color. After a colorless solution was obtained, flask temperature was lowered to -78°C and the titrated MTAG-8 solution was added by opening stopcock. The colorless mixture turned pale orange after the addition of the MTAG-8. The required amount of s-BuLi (1.46 mL, 2.33 mmol, 1.4M) to generate active MTAG-8 initiator was added using airtight glass syringe. This results in color change from pale orange to dark red, a color of active MTAG-8/Li initiator. After 2-3 min, initiator solution kept under stirring at 300 rpm and BnMA/AMMA mixture was added dropwise for 10 minutes. Propagation was continued further for 110 minutes and terminated with 2 mL degassed methanol and brought to RT. MeOH (15 ml) was added to the solution, followed by adding pTSA (2.23g, 5.0-fold-excess amount). The reaction mixture was stirred at room temperature for overnight. The mixture was precipitated in a large amount of methanol and polymer was recovered by vacuum filtration. The dried polymer was dissolved in EtOAc as a 10% solids solution, washed 3 times with 1 wt. % ascorbic acid (aq), and then 3 times DI water. The organic mixture was precipitated in hexanes (8 parts volume) and the precipitate was collected by vacuum filtration and dried in a vacuum oven at 70 °C. Quantitative yield of P(BnMA-co-AMMA)-DPE-CH2OH was obtained. Table 3 shows a summary of the reaction conditions and characterization for copolymers containing AMMA Exampled 3, 3a, 3b, and 3c (Scheme 3). Examples 3a to 3c used the same procedure as Example but in increase the loading of AMMA Example 3a (3 X times), Examples 3b (4.36 X times) Examples 3c(4.11 X times). This was reflected in the amount of BPMMA incorporated into the polymer as a repeat unit in Table 1 as measured by proton NMR in CD2Cl2. Scheme 3: Synthesis of hydroxy methyl terminated-poly(benzyl methacrylate-co-[1,1'-biphenyl]-4- ylmethyl methacrylate) [0104] Table 3. Product synthesis history Preparation of polymer formulation: [0105] The polymers described here were separately dissolved in PGMEA to form 1 wt. % solutions. These solutions where individually filtered in using a Nylon filter (Entegris, Billerica, Ma). These solutions were separately coated at 1500 rpm on both metal (Cu, W) and SiO2 wafers, and the wafers were subsequently baked at 230°C for 5 min. Following the bake, the wafers were rinsed with PGMEA for 2 min to remove any un-grafted polymer from the wafer which were then spun dried by spinning “1,500 rpm,” followed by baking at 110°C for 1 min. Then water contact angle, was measured to understand the grafting efficiency and the results were shown in Table 1. Subsequently, the second brush of hydroxyl terminated PS-OH or PMMA-OH containing polymer formulation was made in PGMEA at 1 wt. solid. Then after filtering with 0.25-micron Nylon filter, the solution was spin coated on to previously brushed metal and SiO2 substrates. After baking at various temp. and time, the double brushed substrates were rinsed to remove unreacted second brushes. Then the double brushed substrates were examined by WCA and XPS to understand cross- grafting to judge the first brush’s efficiency and selectivity to metal substrates. [0106] FIG.3 shows a 1FOV SEM images of each fingerprint pattern on a silicon wafer using A) PS-b- PMMA on P(BnMA-r-BPMA25%)-OH (Mn: 13K, brush FT: 4.2 nm ± 0.3, WCA: 81.0 ± 2.3) B) PS-b-PMMA on P(BnMA-r-CHMA 25% )-OH(Mn: 23K, brush FT: 3.8 nm ± 0.3, WCA: 79.4 ± 0.5) C) PS-b-PMMA on P(BnMA-r-AMMA20%-OH) (Mn: ~ 7.0K, brush FT: 7.9 nm ± 0.3, WCA: 80.8 ± 0.5). Process conditions: Si wafer, coat NLD, 200°C/ 30 min/ N2 (Polymer A, B, & C, 230°C), 15 min toluene soak, N2 blow dry, PME-7167 Ctg65: L0 = 48 nm, FT = 50 nm, 270° C/1 h (N2). [0107] Although the disclosed and claimed subject matter has been described and illustrated with a certain degree of particularity, it is understood that the disclosure has been made only by way of example, and that numerous changes in the conditions and order of steps can be resorted to by those skilled in the art without departing from the spirit and scope of the disclosed and claimed subject matter.