Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
PASSIVATING SILICIDE-BASED APPROACHES FOR CONDUCTIVE VIA FABRICATION AND STRUCTURES RESULTING THEREFROM
Document Type and Number:
WIPO Patent Application WO/2018/236355
Kind Code:
A1
Abstract:
Passivating silicide-based approaches for conductive via fabrication is described. In an example, an integrated circuit structure includes a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a substrate. Each of the plurality of conductive lines is recessed relative to an uppermost surface of the ILD layer. A metal silicide layer is on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines. A hardmask layer is on the metal silicide layer and on the uppermost surface of the ILD layer. A conductive via is in an opening in the hardmask layer and on a portion of the metal silicide layer on one of the plurality of conductive lines.

Inventors:
CHANDHOK MANISH (US)
NASKAR SUDIPTO (US)
SCHENKER RICHARD E (US)
Application Number:
PCT/US2017/038380
Publication Date:
December 27, 2018
Filing Date:
June 20, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INTEL CORP (US)
CHANDHOK MANISH (US)
NASKAR SUDIPTO (US)
SCHENKER RICHARD E (US)
International Classes:
H01L21/768
Domestic Patent References:
WO2016105402A12016-06-30
Foreign References:
US20160336183A12016-11-17
US20080093743A12008-04-24
US20150333122A12015-11-19
KR101711264B12017-02-28
Attorney, Agent or Firm:
BRASK, Justin, K. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. An integrated circuit structure, comprising:

a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a substrate,

wherein each of the plurality of conductive lines is recessed relative to an uppermost surface of the ILD layer;

a metal silicide layer on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines;

a hardmask layer on the metal silicide layer and on the uppermost surface of the ILD layer, the hardmask layer comprising a first hardmask component on and aligned with a portion of the metal silicide layer on first alternating ones of the plurality of conductive lines, a second hardmask component on and aligned with a portion of the metal silicide layer on second alternating ones of the plurality of conductive lines, and a third hardmask component on an aligned with regions of the uppermost surface of the ILD layer, the first, second and third hardmask components differing in composition from one another; and a conductive via in an opening in the hardmask layer and on a portion of the metal silicide layer on one of the plurality of conductive lines, wherein a portion of the conductive via is on a portion of the third hardmask component of the hardmask layer.

2. The integrated circuit structure of claim 1, wherein the metal silicide layer has an uppermost surface below the uppermost surface of the ILD layer.

3. The integrated circuit structure of claim 1, wherein the metal silicide layer comprises a metal species included on the plurality of conductive lines.

4. The integrated circuit structure of claim 1, wherein the metal silicide layer comprises a metal species not included on the plurality of conductive lines. 5. The integrated circuit structure of claim 1, wherein the metal silicide layer comprises a metal silicide selected from the group consisting of cobalt silicide, titanium silicide, and nickel silicide.

6. The integrated circuit structure of claim 1, further comprising:

a second ILD layer above the hardmask layer, wherein the conductive via is further in an opening of the second ILD layer.

7. The integrated circuit structure of claim 1, wherein one of the plurality of conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure connected to an underlying metallization layer of the integrated circuit structure.

8. An integrated circuit structure, comprising:

a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a substrate,

wherein each of the plurality of conductive lines is recessed relative to an uppermost surface of the ILD layer;

a metal silicide layer on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines;

a hardmask layer on the metal silicide layer and on the uppermost surface of the ILD layer, the hardmask layer comprising a first hardmask component on and aligned with the metal silicide layer, and a second hardmask component on an aligned with regions of the uppermost surface of the ILD layer, the first and second hardmask components differing in composition from one another; and

a conductive via in an opening in the hardmask layer and on a portion of the metal silicide layer portion on one of the plurality of conductive lines, wherein a portion of the conductive via is on a portion of the second hardmask component of the hardmask layer.

9. The integrated circuit structure of claim 8, wherein the metal silicide layer has an uppermost surface below the uppermost surface of the ILD layer.

10. The integrated circuit structure of claim 8, wherein the first hardmask component has an uppermost surface substantially co-planar with an uppermost surface of the second hardmask component.

11. The integrated circuit structure of claim 8, further comprising:

a second ILD layer above the hardmask layer, wherein the conductive via is further in an opening of the second ILD layer.

12. The integrated circuit structure of claim 1 1, wherein the opening of the second ILD layer has a width approximately equal to ¾ pitch of the plurality of conductive lines. 13. The integrated circuit structure of claim 8, wherein one of the plurality of conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure connected to an underlying metallization layer of the integrated circuit structure.

14. An integrated circuit structure, comprising:

a plurality of alternating first and second conductive lines along a same direction of a back end of line (BEOL) metallization layer in an inter-layer dielectric (ILD) layer above a substrate, wherein each of the plurality of alternating first and second conductive lines is recessed relative to an uppermost surface of the ILD layer;

a first metal silicide layer on the first conductive lines, in recess regions above each of the first conductive lines;

a second metal silicide layer on the second conductive lines, in recess regions above each of the second conductive lines, the second metal silicide layer differing in composition from the first metal silicide layer;

a hardmask layer on the first metal silicide layer, on the second metal silicide layer and on the uppermost surface of the ILD layer, the hardmask layer comprising a first hardmask component on and aligned with the first metal silicide layer, and a second hardmask component on an aligned with the second metal silicide layer, the first and second hardmask components differing in composition from one another; and

a conductive via in an opening in the hardmask layer and on a portion of the second metal silicide layer on one of the second conductive lines, wherein a portion of the conductive via is on a portion of the first hardmask component of the hardmask layer.

15. The integrated circuit structure of claim 14, wherein the first metal silicide layer and the second metal silicide layer have an uppermost surface below the uppermost surface of the ILD layer.

16. The integrated circuit structure of claim 14, wherein the first hardmask component has an uppermost surface substantially co-planar with an uppermost surface of the second hardmask component.

17. The integrated circuit structure of claim 14, further comprising:

a second ILD layer above the hardmask layer, wherein the conductive via is further in an opening of the second ILD layer. 18. The integrated circuit structure of claim 17, wherein the opening of the second ILD layer has a width approximately equal to 1.5 pitch of the plurality of alternating first and second conductive lines.

19. The integrated circuit structure of claim 14, wherein one of the plurality of alternating first and second conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure connected to an underlying metallization layer of the integrated circuit structure.

20. The integrated circuit structure of claim 14, wherein a total composition of the first conductive lines is the same as a total composition of the second conductive lines.

21. The integrated circuit structure of claim 14, wherein a total composition of the first conductive lines is different than a total composition of the second conductive lines. 22. A method of fabricating a back end of line (BEOL) metallization layer, the method comprising:

forming a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a

substrate;

recessing the plurality of conductive lines relative to an uppermost surface of the ILD layer; subsequent to the recessing, forming a metal silicide layer on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines;

forming a hardmask layer on the metal silicide layer and on the uppermost surface of the ILD layer, the hardmask layer comprising a first hardmask component on and aligned with the metal silicide layer, and a second hardmask component on regions of the uppermost surface of the ILD layer, the first and second hardmask components differing in composition from one another;

forming an opening in the hardmask layer by removing a portion of the first hardmask

component from over a portion of the metal silicide layer on one of the plurality of conductive lines; and

forming a conductive via in the opening in the hardmask layer and on the portion of the metal silicide layer on the one of the plurality of conductive lines.

23. The method of claim 22, wherein forming the metal silicide layer comprises depositing silicon on top surfaces of the plurality of conductive lines, and then treating the silicon with a plasma to form a silicide of a metal included in the plurality of conductive lines.

24. The method of claim 22, wherein forming the metal silicide layer comprises deposing the metal silicide layer on top surfaces of the plurality of conductive lines. 25. The integrated circuit structure of claim 22, wherein forming the metal silicide layer comprises forming a metal silicide selected from the group consisting of cobalt silicide, titanium silicide, and nickel silicide.

Description:
PASSIVATING SLLICIDE-BASED APPROACHES FOR CONDUCTIVE VIA FABRICATION AND

STRUCTURES RESULTING THEREFROM

TECHNICAL FIELD

Embodiments of the disclosure are in the field of semiconductor structures and processing and, in particular, passivating silicide-based approaches for conductive via fabrication, and the resulting structures.

BACKGROUND

For the past several decades, the scaling of features in integrated circuits has been a driving force behind an ever-growing semiconductor industry. Scaling to smaller and smaller features enables increased densities of functional units on the limited real estate of

semiconductor chips. For example, shrinking transistor size allows for the incorporation of an increased number of memory or logic devices on a chip, lending to the fabrication of products with increased capacity. The drive for ever-more capacity, however, is not without issue. The necessity to optimize the performance of each device becomes increasingly significant.

Integrated circuits commonly include electrically conductive microelectronic structures, which are known in the art as vias, to electrically connect metal lines or other interconnects above the vias to metal lines or other interconnects below the vias. Vias are typically formed by a lithographic process. Representatively, a photoresist layer may be spin coated over a dielectric layer, the photoresist layer may be exposed to patterned actinic radiation through a patterned mask, and then the exposed layer may be developed in order to form an opening in the photoresist layer. Next, an opening for the via may be etched in the dielectric layer by using the opening in the photoresist layer as an etch mask. This opening is referred to as a via opening. Finally, the via opening may be filled with one or more metals or other conductive materials to form the via.

BRIEF DESCRIPTION OF THE DRAWINGS

Figures 1A-1J, IF and IT illustrate cross-sectional views of portions of integrated circuit layers representing various operations in a method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present disclosure.

Figures 2A-2F illustrate cross-sectional views of portions of integrated circuit layers representing various operations in a method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present disclosure.

Figures 3A-3C illustrate cross-sectional views of portions of integrated circuit layers representing various operations in another method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with another embodiment of the present disclosure.

Figure 4 illustrates a plan view of a portion of an integrated circuit layer representing an operation in a method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present disclosure.

Figure 5A illustrates a cross-sectional view of a starting structure following deposition, but prior to patterning, of a hardmask material layer formed on an interlayer dielectric (ILD) layer, in accordance with an embodiment of the present disclosure.

Figure 5B illustrates a cross-sectional view of the structure of Figure 4 following patterning of the hardmask layer by pitch halving, in accordance with an embodiment of the present disclosure.

Figure 6 illustrates cross-sectional views in a spacer-based-sextuple-patterning (SBSP) processing scheme which involves pitch division by a factor of six, in accordance with an embodiment of the present disclosure.

Figure 7 A illustrates a cross-sectional view of a non-planar semiconductor device having a self-aligned gate contact, in accordance with an embodiment of the present disclosure.

Figure 7B illustrates a plan view taken along the a-a' axis of the semiconductor device of Figure 7A, in accordance with an embodiment of the present disclosure.

Figure 8 illustrates a computing device in accordance with one implementation of an embodiment of the present disclosure.

Figure 9 is an interposer implementing one or more embodiments of the disclosure.

DESCRIPTION OF THE EMBODIMENTS

In the past, the sizes and the spacing of vias has progressively decreased, and it is expected that in the future the sizes and the spacing of the vias will continue to progressively decrease, for at least some types of integrated circuits (e.g., advanced microprocessors, chipset components, graphics chips, etc.). One measure of the size of the vias is the critical dimension of the via opening. One measure of the spacing of the vias is the via pitch. Via pitch represents the center-to-center distance between the closest adjacent vias.

When patterning extremely small vias with extremely small pitches by such lithographic processes, several challenges present themselves, especially when the pitches are around 70 - 90 nanometers (nm) or less and/or when the critical dimensions of the via openings are around 35nm or less. One such challenge is that the overlay between the vias and the overlying interconnects, and the overlay between the vias and the underlying landing interconnects, generally need to be controlled to high tolerances on the order of a quarter of the via pitch. As via pitches scale ever smaller over time, the overlay tolerances tend to scale with them at an even greater rate than lithographic equipment is able to keep up.

Another such challenge is that the critical dimensions of the via openings generally tend to scale faster than the resolution capabilities of the lithographic scanners. Shrink technologies exist to shrink the critical dimensions of the via openings. However, the shrink amount tends to be limited by the minimum via pitch, as well as by the ability of the shrink process to be sufficiently optical proximity correction (OPC) neutral, and to not significantly compromise line width roughness (LWR) and/or critical dimension uniformity (CDU).

Yet another such challenge is that the LWR and/or CDU characteristics of photoresists generally need to improve as the critical dimensions of the via openings decrease in order to maintain the same overall fraction of the critical dimension budget. However, currently the LWR and/or CDU characteristics of most photoresists are not improving as rapidly as the critical dimensions of the via openings are decreasing.

A further such challenge is that the extremely small via pitches generally tend to be below the resolution capabilities of even extreme ultraviolet (EUV) lithographic scanners. As a result, commonly several different lithographic masks may be used, which tend to increase the costs. At some point, if pitches continue to decrease, it may not be possible, even with multiple masks, to print via openings for these extremely small pitches using EUV scanners.

Thus, improvements are needed in the area of back end metallization manufacturing technologies for fabricating metal vias.

Passivating silicide-based approaches for conductive via fabrication, and the resulting structures, are described. In the following description, numerous specific details are set forth, such as specific integration and material regimes, in order to provide a thorough understanding of embodiments of the present disclosure. It will be apparent to one skilled in the art that embodiments of the present disclosure may be practiced without these specific details. In other instances, well-known features, such as integrated circuit design layouts, are not described in detail in order to not unnecessarily obscure embodiments of the present disclosure. Furthermore, it is to be appreciated that the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.

Certain terminology may also be used in the following description for the purpose of reference only, and thus are not intended to be limiting. For example, terms such as "upper", "lower", "above", and "below" refer to directions in the drawings to which reference is made. Terms such as "front", "back", "rear", and "side" describe the orientation and/or location of portions of the component within a consistent but arbitrary frame of reference which is made clear by reference to the text and the associated drawings describing the component under discussion. Such terminology may include the words specifically mentioned above, derivatives thereof, and words of similar import.

On or more embodiments are directed to approaches for passivating metal surfaces by silicidation. One or more embodiments are directed to an approach for fabricating metal lines as well as associated conductive vias. Conductive vias or vias, by definition, are used to land on a previous layer metal pattern. In this vein, embodiments described herein enable a more robust interconnect fabrication scheme since constraints on lithography equipment is relaxed. Such an interconnect fabrication scheme can be used to save numerous alignment/exposures, and can be used to reduce total process operations and processing time otherwise required for patterning such features using conventional approaches. Other benefits may include improvements in yield, or the prevention of shorting to a wrong line.

To provide context, metal interconnects typically require a single etch stop between critical layers. In a dual damascene patterning process, an etch for the via from the layer above needs to break through an etch stop layer to land on the metal below. Lithography constraints (e.g., resolution and alignment) introduced by scaling may result in via patterns that are too large, and that could undesirably land on two adjacent metal lines. Accordingly, patterning schemes are being developed where adjacent metal features have different etch stop materials such that even if the via pattern spans adjacent metal lines, selective via etching drives the via to land on the correct metal line or interconnect beneath the via.

However, material choices for such etch stop layers may be limited due to compatibility with the metal of the underlying line or interconnect (e.g., limited to no corrosion or oxidation). Exemplary materials that may be compatible include nitrides and carbides. For oxide materials used as an etch stop, current approaches involve deposition of a thick liner to protect the metal. However, such an approach may not be scalable to smaller dimensions (since liner thickness may not be scalable), or may provide very little etch selectivity with respect to another adjacent etch stop material. One solution being used currently is a thin conformal liner such as TaN, TiN, A1203, to protect the metal. Another approach has involved the use of only non- oxidizing/corrosive materials as etch stops. However, the composition of such etch stop materials may be limited to nitrides and carbides and, as such, may not provide a fullest range of etch selectivity between regions of differing etch stop material.

In accordance with one or more embodiments of the present disclosure, an approach is provided where an oxide etch stop material may be included on a scalable metal line feature. In a specific embodiment, prior to etch stop material deposition (e.g., S1O2 etch stop material deposition), the surface of the metal of the metal line or interconnect is passivated with amorphous silicon (Si) to ultimately form a silicide material on the surface of the metal line or interconnect. In a particular embodiment, approximately 1 nanometer of silicon deposition, and subsequent conversion to silicide, can protect the metal line or interconnect from subsequent high temperature oxygen (O2) plasma, which may be an aspect of the silicon dioxide etch stop deposition.

In an exemplary processing scheme, a patterning process involves fabrication of alternating trenches that are patterned, filled with metal, polished, recessed, passivated with a metal silicide layer, and the filled with etch stop material, e g , filled with silicon dioxide. The processing scheme provides two different etch stop materials above adjacent metal lines such that selective via etches can be used to land the via above on the correct metal below. Formation of the silicide on metal prior to one or both of the etch stop deposition provides a protective passivation for subsequent processing such as etch stop material deposition. As an example,

Figures 1A-1J, 1Γ and 1J' illustrate cross-sectional views of portions of integrated circuit layers representing various operations in a method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present disclosure.

Referring to Figure 1A, a starting structure 100 is provided as a beginning point for fabricating a new metallization layer. The starting structure 100 includes an inter-layer dielectric (ILD) layer 104 disposed above a substrate 102. As described below, the ILD layer may be disposed above an underlying metallization layer formed above the substrate 102. Trenches are formed in the ILD layer 104 and are filled with a conductive layer or layers to provide conductive lines 106 (and, in some cases, corresponding conductive vias (extended line on far left side of Figure 1A)). In an embodiment, the conductive lines 106 include a cobalt or copper fill material within a titanium nitride or tantalum nitride barrier liner. The conductive lines are formed through select regions of a hardmask layer which includes different dielectric materials, such as the materials 105 and 107, illustrated in Figure 1A.

In an embodiment, the trenches of conductive lines 106 are formed in the ILD layer 104 using a pitch division patterning process flow. Non-limiting examples of such pitch division schemes are described in greater detail below in association with Figures 5A, 5B and 6. It is to be appreciated that the following process operation described below may first involve pitch division, or may not. In either case, but particularly when pitch division is also used, embodiments may enable continued scaling of the pitch of metal layers beyond the resolution capability of state-of-the art lithography equipment.

Referring to Figure IB, the conductive lines 106 are recessed below the upper surface of the ILD layer 104 to provide recessed conductive lines 110 having recess regions 1 12 above the recessed conductive lines 110. In an embodiment, the conductive lines 106 are recessed to form recessed conductive lines 110 using a selective wet etch process, such as a wet etch process based on sulfuric acid and hydrogen peroxide. In another embodiment, the conductive lines 106 are recessed to form recessed conductive lines 1 10 using a selective dry or plasma etch process.

Referring to Figure 1C, a passivating silicide layer 1 14 is formed in the recess regions 112 above the recessed conductive lines 1 10. In an embodiment, the passivating silicide layer 114 is composed of a metal silicide layer that includes a metal species included in and/or on the plurality of conductive lines (such as cobalt, titanium, tantalum, or copper).

In another embodiment, the passivating silicide layer 114 is composed of a metal silicide layer that includes a metal species not included in and/or on the plurality of conductive lines. In an embodiment, the passivating silicide layer 1 14 is composed of a metal silicide layer including a metal silicide such as, but not limited to, cobalt silicide, titanium silicide, and nickel silicide. It is to be appreciated that, in an embodiment, the term "silicide" refers to a metal-containing material where Si balances a positively charged metal species with a negative charge, such as in the form of Si 2' . A silicide is differentiated from a silicate where negative charge is provide by oxide ions. The term metal silicide layer and passivating silicide are used interchangeably in the present description.

In an embodiment, forming the passivating silicide layer 1 14 involves depositing silicon on top surfaces of the plurality of conductive lines, and then treating the silicon with a plasma to form a silicide of a metal included in the plurality of conductive lines. In a particular such embodiment, a less than approximately 1 nanometer thick silicon layer is formed and then treated with a plasma to form a silicide from metal of the metal line or interconnect. In a particular such embodiment, a monolayer of a silicon precursor is formed on the surface and then treated with Ar/Eh plasma exposure. In another embodiment, however, forming the passivating silicide layer 114 involves deposing the metal silicide layer on top surfaces of the plurality of conductive lines. In one such embodiment, selective deposition of the metal silicide material is used.

Referring to Figure ID, a first etch stop layer (referred to herein as a first hardmask component 1 18) is formed over the passivating silicide layer 114. The first hardmask component 118 is formed on and in alignment with the passivating silicide layer 114. In an embodiment, the first hardmask component 1 18 is an oxide material such as silicon oxide or silicon dioxide, e.g., formed using an oxygen-based plasma deposition process. In an embodiment, the passivating silicide layer 1 14 protects the recessed conductive lines 110 from degradation during the formation of the first hardmask component 1 18.

Referring to Figure IE, hardmask portions 107 are removed and trenches are formed in the ILD layer 104 and are filled with a conductive layer or layers to provide second conductive lines 106' (and, in some cases, corresponding conductive vias 108'). In an embodiment, the second conductive lines 106' include a cobalt or copper fill material within a titanium nitride or tantalum nitride barrier liner.

Referring to Figure IF, the second conductive lines 106' are recessed below the upper surface of the ILD layer 104 to provide second recessed conductive lines 110' having second recess regions 1 12' above the second recessed conductive lines 1 10'. In an embodiment, the second conductive lines 106' are recessed to form second recessed conductive lines 110' using a selective wet etch process, such as a wet etch process based on sulfuric acid and hydrogen peroxide. In another embodiment, the second conductive lines 106' are recessed to form second recessed conductive lines 110' using a selective dry or plasma etch process.

Referring to Figure 1G, a second passivating silicide layer 114' is formed in the second recess regions 1 12' above the second recessed conductive lines 1 10'. In an embodiment, the second passivating silicide layer 114' is composed of material described above in association with passivating silicide layer 114. Although the process flow as depicted involves formation of second passivating silicide layer 114', in other embodiments, formation of second passivating silicide layer 1 14' is omitted. For example, in a particular embodiment, formation of second passivating silicide layer 114' is omitted when a subsequent etch stop material formed on the second recessed conductive lines 1 10' is not as damaging to the second recessed conductive lines 110' as, say, and oxide material deposition. In yet other embodiments, formation of passivating silicide layer 1 14 is omitted, and only formation of second passivating silicide layer 114' is performed. In an embodiment, in the case of including both passivating silicide layer 114 and second passivating silicide layer 114', the passivating silicide layer 1 14 and second passivating silicide layer 1 14' are composed of a same silicide material. In another embodiment, however, in the case of including both passivating silicide layer 1 14 and second passivating silicide layer 114', the passivating silicide layer 1 14 and second passivating silicide layer 114' are composed of a different silicide material.

Referring to Figure 1H, a second etch stop layer (referred to herein as a second hardmask component 120) is formed over the second passivating silicide layer 1 14' . The second hardmask component 120 is formed on and in alignment with the second passivating silicide layer 114' . In an embodiment, the second passivating silicide layer 114' protects the second recessed conductive lines 110' from degradation during the formation of the second hardmask component 120. As described in greater detail below, in an embodiment, the resulting structure of Figure 1H enables improved via shorting margins when fabricating later via layers on the structure of Figure 1H. In one embodiment, improved shorting margin is achieved since fabricating a structure with alternating "color" hardmask components reduces the risk of a via shorting to the wrong metal line. In one embodiment, self-alignment is achieved since the alternating color hardmask components are aligned to the recessed conductive line si 10 and second recessed conductive lines 110', respectively. In a particular embodiment, the first hardmask component 118 and second hardmask component 120 are different ones of materials such as, but not limited to, Si0 2 , Al-doped Si0 2 , SiN, SiC, SiCN, SiCON, or metal oxides (such as AlOx, HfOx, ZrOx, TiOx).

Referring to Figure II, a second inter-layer dielectric (ILD) layer 122 is formed above the structure of Figure 1H. An opening 124 is formed in the second ILD layer 122. In an embodiment, the opening 124 is formed in a location selected for conductive via fabrication for a next level metallization layer. One of the regions of the first hardmask component 118 (first etch stop layer) is then removed, e.g., by a selective wet etch or dry/plasma etch process. Since only neighboring second hardmask components 120 are exposed, in contrast to conventional via location selection, the opening 124 can, in one embodiment, have a relatively relaxed width as compared to the width of the corresponding conductive line 110 onto which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of the opening 124 has a dimension of approximately ¾ pitch of the conductive lines 110. Such an accommodation for a relatively wider via opening 124 can relax constraints on the lithography process used to form the opening 124. Additionally, tolerance for mis-alignment may be increased as well.

Figure 1 J illustrates the structure of Figure II following formation of a conductive via 128 in the opening 124 and in the region where the selected one of the first hardmask components 1 18 has been removed. The conductive via 128 electrically contacts a corresponding portion of the passivating silicide layer 1 14 of the recessed conductive lines 110. In an embodiment, the conductive via 128 electrically contacts the corresponding portion of the passivating silicide layer 114 of the recessed conductive lines 110 without shorting to one of the adjacent or neighboring second conductive lines 1 10' . In a specific embodiment, a portion of the conductive via 128 is disposed on one or more exposed portions of the second hardmask components 120, as is depicted in Figure 1 J. In an embodiment, an improved shorting margin is realized.

Alternatively, referring to Figure IF, a second inter-layer dielectric (ILD) layer 122' is formed above the structure of Figure 1H. An opening 124' is formed in the second ILD layer 122' . In an embodiment, the opening 124' is formed in a location selected for conductive via fabrication for a next level metallization layer. One of the regions of the second hardmask component 120 (second etch stop layer) is then removed, e.g., by a selective wet etch or dry/plasma etch process. Since only neighboring first hardmask components 118 are exposed, in contrast to conventional via location selection, the opening 124' can, in one embodiment, have a relatively relaxed width as compared to the width of the corresponding second conductive line 110' onto which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of the opening 124' has a dimension of approximately ¾ pitch of the second conductive lines 1 10' . Such an accommodation for a relatively wider via opening 124' can relax constraints on the lithography process used to form the opening 124' . Additionally, tolerance for mis-alignment may be increased as well.

Figure 1 J' illustrates the structure of Figure 1Γ following formation of a conductive via 128' in the opening 124' and in the region where the selected one of the second hardmask components 120 has been removed. The conductive via 128' electrically contacts a

corresponding portion of the second passivating silicide layer 114' of the second recessed conductive lines 110' . In an embodiment, the conductive via 128' electrically contacts the corresponding portion of the second passivating silicide layer 114' of the second recessed conductive lines 110' without shorting to one of the adjacent or neighboring conductive lines 110. In a specific embodiment, a portion of the conductive via 128' is disposed on one or more exposed portions of the first hardmask components 1 18, as is depicted in Figure 1J'. In an embodiment, an improved shorting margin is realized.

Referring again to Figures 1A, 1J and 1Γ, in an exemplary illustrative embodiment, an integrated circuit structure includes a plurality of conductive lines 110/1 10' in an inter-layer dielectric (ILD) layer 104 above a substrate 102. Each of the plurality of conductive lines 110/1 10' is recessed relative to an uppermost surface of the ILD layer 104 A metal silicide layer 114/1 14' is on the plurality of conductive lines 1 10/110', in recess regions above each of the plurality of conductive lines 1 10/110' . A hardmask layer 126 or 126' is on the metal silicide layer 1 14/114' and on the uppermost surface of the ILD layer 104. The hardmask layer 126 or 126' includes a first hardmask component 1 18 on and aligned with a portion 114 of the metal silicide layer 1 14/114' on first alternating ones 110 of the plurality of conductive lines 1 10/110' . A second hardmask component 120 is on and aligned with a portion 1 14' of the metal silicide layer 1 14/114' on second alternating ones 110' of the plurality of conductive lines 1 10/110' . A third hardmask component 105 is on an aligned with regions of the uppermost surface of the ILD layer 104. The first 118, second 120 and third 105 hardmask components differ in composition from one another. A conductive via 128 or 128' is in an opening in the hardmask layer 126 or 126' and on a portion of the metal silicide layer 114/1 14' on one of the plurality of conductive lines 1 10/110'. A portion of the conductive via 128 or 128' is on a portion of the third hardmask component 105 of the hardmask layer 126 or 126' .

In an embodiment, the metal silicide layer 114/1 14' has an uppermost surface below the uppermost surface of the ILD layer 104, as is depicted in Figures 1J and 1J' . In an embodiment, the metal silicide layer 114/1 14' includes a metal species included on the plurality of conductive lines 1 10/110'. In another embodiment, the metal silicide layer 114/1 14' includes a metal species not included on the plurality of conductive lines 110/110'. In an embodiment, the metal silicide layer 1 14/114' includes a metal silicide such as, but not limited to, cobalt silicide, titanium silicide, or nickel silicide.

In another aspect, one or more embodiments described herein are directed to methods of using a passivating silicide layer for directed self-assembly (DSA) or selective growth to enable the fabrication of self-aligned interconnects. Embodiments may address or implement one or more of the use of a metal silicide, directed self-assembly, selective deposition, self-alignment, or patterning interconnects at tight pitch. Embodiments may be implemented to provide improved via shorting margin by self-alignment with "coloring" through selective deposition, and subsequent directed self-assembly, e.g., for the lOnm and smaller technology nodes. In one embodiment, passivating silicide layers are implemented for pattern-replication-based via self- alignment.

In accordance with an embodiment of the present disclosure, self-alignment strategies based on pattern replication of metal gratings are described. Local interconnect and tight-pitch metal layers may require the use of self-aligned vias. In accordance with one or more embodiments of the present disclosure, an interconnect metal is capped with a thin metal- containing layer (passivating silicide layer). The passivating silicide layer may improve selectivity of a pattern replication process, serve as an etch stop layer, serve as an

electromigration (EM) cap, and/or protect an underlying metal layer from subsequent processing. After passivating silicide layer formation, color materials may be deposited either through (i) directed self-assembly (DSA) and possible subsequent replacement of DSA with a color material, or through (ii) selective deposition of a color material itself such as a metal oxide.

In accordance with an embodiment of the present disclosure, one or more of the above issues is addressed by implementing conductive lines having passivating silicide layers formed thereon. Such passivating silicide layers may be beneficial for electromigration improvement and/or for corrosion or oxidation protection during process and device operation. Additionally, such passivating silicide layers may be used as a template for selective growth or directed self-assembly. In one embodiment, such an approach may be applied to any interconnect metal layer and, possibly, to gate contacts.

In an exemplary approach using conductive lines and caps of a same type as a foundation, Figures 2A-2F illustrate cross-sectional views of portions of integrated circuit layers representing various operations in a method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present disclosure.

Referring to Figure 2A, a starting structure 200 is provided as a beginning point for fabricating a new metallization layer. The starting structure 200 includes an inter-layer dielectric (ILD) layer 204 disposed above a substrate 202. As described below, the ILD layer may be disposed above an underlying metallization layer formed above the substrate 202. Trenches are formed in the ILD layer 204 and are filled with a conductive layer or layers to provide conductive lines 206 (and, in some cases, corresponding conductive vias 208). In an embodiment, possible compositions of the conductive lines 206 are as described above for conductive lines 106.

In an embodiment, the trenches of conductive lines 206 are formed in the ILD layer 204 using a pitch division patterning process flow. Non-limiting examples of such pitch division schemes are described in greater detail below in association with Figures 5A, 5B and 6. It is to be appreciated that the following process operation described below may first involve pitch division, or may not. In either case, but particularly when pitch division is also used, embodiments may enable continued scaling of the pitch of metal layers beyond the resolution capability of state-of-the art lithography equipment.

Referring to Figure 2B, the conductive lines 206 are recessed below the upper surface of the ILD layer 204 to provide recessed conductive lines 210 having recess regions 212 above the recessed conductive lines 210. In an embodiment, the conductive lines 206 are recessed to form recessed conductive lines 210 using a selective wet etch process, such as a wet etch process based on sulfuric acid and hydrogen peroxide. In another embodiment, the conductive lines 206 are recessed to form recessed conductive lines 210 using a selective dry or plasma etch process.

Referring to Figure 2C, a passivating silicide layer 214 is formed in the recess regions 212 above the recessed conductive lines 210. hi an embodiment, the passivating silicide layer 214 is composed of a material described above in association with passivating silicide layer 114. In an embodiment, the passivating silicide layer 214 is composed of a material that has more differentiated surface characteristics compared with the surface of ILD layer 204 than does the material of the conductive lines 206. In an embodiment, possible compositions of the passivating silicide layer 214 are as described above for passivating silicide layer 1 14.

It is to be appreciated that, aside from protecting the metal of recessed conductive lines 210 in subsequent processing steps, the passivating silicide layer 214 may also aid the selective deposition of hardmask materials, particularly "color" hardmask materials. In an embodiment, the passivating silicide layer 214 provides hermeticity during processing and reliability benefits in lieu of a conventional etch stop layer, in addition to facilitating pattern replication, as described below.

Referring to Figure 2D, a hardmask layer 216 is formed over the structure of Figure 2C.

The hardmask layer 216 includes a first hardmask component 218 and a second hardmask component 220. The first hardmask component is formed on and in alignment with the passivating silicide layer 214. The second hardmask component 220 is formed on and aligned with exposed surfaces of the ILD layer 204. In an embodiment, the hardmask layer 216 having first hardmask component 218 and second hardmask component 220 is formed using a directed self-assembly or selective deposition approach to ultimately form the two different, alternating regions of first hardmask component 218 and second hardmask component 220. In one such embodiment, the directed self-assembly or selective deposition approach is enhanced by the use of a passivating silicide layer 214 as opposed to using the surfaces of the conductive lines 206. In an embodiment, the materials of the first hardmask component 218 and second hardmask component 220 exhibit differing etch selectivity to one another. As described in greater detail below, directed self-assembly or selective growth can be used to align the first hardmask component 218 and second hardmask component 220 selectively to dielectric and metal silicide surfaces, respectively.

In a first general embodiment, in order to ultimately form first hardmask component 218 and second hardmask component 220, a direct self-assembly (DSA) block co-polymer deposition and polymer assembly process is performed. In an embodiment, a DSA block co-polymer is coated on the surface and annealed to segregate the polymer into first blocks and second blocks. In one embodiment, the first polymer blocks preferentially attaches to the exposed surfaces of the ILD layer 204 The second polymer blocks adhere to the passivating silicide layer 214.

In an embodiment, the block copolymer molecule is a polymeric molecule formed of a chain of covalently bonded monomers. In a di-block copolymer, there are two different types of monomers, and these different types of monomers are primarily included within two different blocks or contiguous sequences of monomers. The illustrated block copolymer molecule includes a block of first polymer and a block of second polymer. In an embodiment, the block of first polymer includes predominantly a chain of covalently linked monomer A (e.g., A-A-A-A- A ..), whereas the block of second polymer includes predominantly a chain of covalently linked monomer B (e.g., B-B-B-B-B ... ). The monomers A and B may represent any of the different types of monomers used in block copolymers known in the arts. By way of example, the monomer A may represent monomers to form polystyrene, and the monomer B may represent monomers to form poly(methyl methacrylate) (PMMA), or vice versa, although the scope of the disclosure is not so limited. In other embodiments, there may be more than two blocks.

Moreover, in other embodiments, each of the blocks may include different types of monomers (e.g., each block may itself be a copolymer). In one embodiment, the block of first polymer and the block of second polymer are covalently bonded together. The block of first polymer and the block of second polymer may be of approximately equal length, or one block may be

significantly longer than the other.

In an embodiment, as described in greater detail below, phase segregation of block copolymers is implemented. In one such embodiment, DSA brushes (such as small polystyrene or PMMA fragments of controlled molecular weight with thiol or nitrile or OH terminal groups) are formed first on the surface to facilitate such phase separation. In a particular such embodiment, such a brush layer attaches covalently to a metal-containing or ILD surface and then guides the block copolymers to assemble over the metal and ILD grating.

Typically, the blocks of block copolymers (e.g., the block of first polymer and the block of second polymer may each have different chemical properties. As one example, one of the blocks may be relatively more hydrophobic (e.g., water repelling) and the other may be relatively more hydrophilic (water attracting). At least conceptually, one of the blocks may be relatively more similar to oil and the other block may be relatively more similar to water. Such differences in chemical properties between the different blocks of polymers, whether a hydrophilic- hydrophobic difference or otherwise, may cause the block copolymer molecules to self-assemble. For example, the self-assembly may be based on microphase separation of the polymer blocks. Conceptually, this may be similar to the phase separation of oil and water which are generally immiscible. Similarly, differences in hydrophilicity between the polymer blocks (e.g., one block is relatively hydrophobic and the other block is relatively hydrophilic), may cause a roughly analogous microphase separation where the different polymer blocks try to "separate" from each other due to chemical dislike for the other.

However, in an embodiment, since the polymer blocks are covalently bonded to one another, they cannot completely separate on a macroscopic scale. Rather, polymer blocks of a given type may tend to segregate or conglomerate with polymer blocks of the same type of other molecules in extremely small (e.g., nano-sized) regions or phases. The particular size and shape of the regions or microphases generally depends at least in part upon the relative lengths of the polymer blocks. In an embodiment, by way of example, in two block copolymers, if the blocks are approximately the same length, a grid like pattern of alternating first polymer lines and second polymer lines is generated.

In an embodiment, the first polymer/secondpolymer grating is first applied as an unassembled block copolymer layer portion that includes a block copolymer material applied, e.g., by brush or other coating process. The unassembled aspect refers to scenarios where, at the time of deposition, the block copolymer has not yet substantially phase separated and/or self- assembled to form nanostructures. In this unassembled form, the block polymer molecules are relatively highly randomized, with the different polymer blocks relatively highly randomly oriented and located. The unassembled block copolymer layer portion may be applied in a variety of different ways. By way of example, the block copolymer may be dissolved in a solvent and then spin coated over the surface. Alternatively, the unassembled block copolymer may be spray coated, dip coated, immersion coated, or otherwise coated or applied over the surface. Other ways of applying block copolymers, as well as other ways known in the arts for applying similar organic coatings, may potentially be used. Then, the unassembled layer may form an assembled block copolymer layer portion, e.g., by microphase separation and/or self-assembly of the unassembled block copolymer layer portion. The microphase separation and/or self-assembly occurs through rearrangement and/or repositioning of the block copolymer molecules, and in particular to rearrangement and/or repositioning of the different polymer blocks of the block copolymer molecules.

In one such embodiment, an annealing treatment may be applied to the unassembled block copolymer in order to initiate, accelerate, increase the quality of, or otherwise promote microphase separation and/or self-assembly. In some embodiments, the annealing treatment may include a treatment that is operable to increase a temperature of the block copolymer. One example of such a treatment is baking the layer, heating the layer in an oven or under a thermal lamp, applying infrared radiation to the layer, or otherwise applying heat to or increasing the temperature of the layer. The desired temperature increase will generally be sufficient to significantly accelerate the rate of microphase separation and/or self-assembly of the block polymer without damaging the block copolymer or any other important materials or structures of the integrated circuit substrate. Commonly, the heating may range between about 50°C to about 300°C, or between about 75°C to about 250°C, but not exceeding thermal degradation limits of the block copolymer or integrated circuit substrate. The heating or annealing may help to provide energy to the block copolymer molecules to make them more mobile/flexible in order to increase the rate of the microphase separation and/or improve the quality of the microphase separation. Such microphase separation or rearrangement/repositioning of the block copolymer molecules may lead to self-assembly to form extremely small (e.g., nano-scale) structures. The self-assembly may occur under the influence of surface energy, molecular affinities, and other surface-related and chemical -related forces.

In any case, in some embodiments, self-assembly of block copolymers, whether based on hydrophobic-hydrophilic differences or otherwise, may be used to form extremely small periodic structures (e.g., precisely spaced nano-scale structures or lines). In some embodiments, they may be used to form nano-scale lines or other nano-scale structures.

Referring again to Figure 2D, in the case of a DSA process, in a first embodiment, the first hardmask component 218 and second hardmask component 220 are the second and first block polymers, respectively. In a second embodiment, however, the second and first block polymers are each sequentially replaced with the materials of the first hardmask component 218 and second hardmask component 220, respectively. In one such embodiment, selective etching and deposition process are used to replace the second and first block polymers with the materials of the first hardmask component 218 and second hardmask component 220, respectively.

In a second general embodiment, in order to ultimately form first hardmask component 218 and second hardmask component 220, a selective growth process is instead of a DSA approach. In one such embodiment, the material of the second hardmask component 220 is grown above exposed portions of the ILD layer 204. A second, different, material of the first hardmask component 218 is grown above the passivating silicide layer 214. In an embodiment, the selective growth is achieved by a dep-etch-dep-etch approach for both of the materials of the first hardmask component 218 and second hardmask component 220, resulting in a plurality of layers of each of the materials. Such an approach may be favorable versus conventional selective growth techniques which can form "mushroom-top" shaped films. The mushroom topping film growth tendency can be reduced through an alternating deposition/etch/deposition (dep-etch-dep- etch) approach. In another embodiment, a film is deposited selectively over the metal followed by a different film selectively over the ILD (or vice versa) and repeated numerous times creating a sandwich-like stack. In another embodiment, both materials are grown simultaneously in a reaction chamber (e.g., by a CVD style process) that grows selectively on each exposed region of the underlying substrate.

As described in greater detail below, in an embodiment, the resulting structure of Figure 2D enables improved via shorting margins when fabricating later via layers on the structure of Figure 2D. In one embodiment, improved shorting margin is achieved since fabricating a structure with alternating "color" hardmask components reduces the risk of a via shorting to the wrong metal line. In one embodiment, self-alignment is achieved since the alternating color hardmask components are self-aligned to the alternating ILD layer 204 and passivating silicide layer 214 surfaces beneath. In a particular embodiment, the first hardmask component 218 and second hardmask component 220 are different ones of materials such as, but not limited to, Si0 2 , Al-doped Si0 2 , SiN, SiC, SiCN, SiCON, or metal oxides (such as AlOx, HfOx, ZrOx, TiOx).

Referring to Figure 2E, a second inter-layer dielectric (ILD) layer 222 is formed above the structure of Figure 2D. An opening 224 is formed in the second ILD layer 222. In an embodiment, the opening 224 is formed in a location selected for conductive via fabrication for a next level metallization layer. In contrast to conventional via location selection, the opening 224 can, in one embodiment, have a relatively relaxed width as compared to the width of the corresponding conductive line 206 onto which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of the opening 224 has a dimension of approximately ¾ pitch of the conductive lines 206. Such an accommodation for a relatively wider via opening 224 can relax constraints on the lithography process used to form the opening 224. Additionally, tolerance for mis-alignment may be increased as well.

Figure 2F illustrates the structure of Figure 2E following next layer via fabrication. One of the first hardmask components 218 is selected for removal, e.g., by a selective etch process. In this case, the exposed one of the first hardmask components 218 is removed selective to exposed portions of the second hardmask components 220. In an embodiment, the exposed one of the first hardmask components 218 is removed selective to exposed portions of the second hardmask components 220 using a selective wet etch process. In another embodiment, the exposed one of the first hardmask components 218 is removed selective to exposed portions of the second hardmask components 220 using a selective dry or plasma etch process.

A conductive via 228 is then formed in the opening 224 and in the region where the selected one of the first hardmask components 218 has been removed. The conductive via 228 electrically contacts a portion of the passivating silicide layer 214 on one of the recessed conductive lines 210. In an embodiment, the conductive via 228 electrically contacts the portion of the passivating silicide layer 214 on the one of the recessed conductive lines 210 without shorting to one of the adjacent or neighboring recessed conductive lines 210. In a specific embodiment, a portion of the conductive via 228 is disposed on one or more exposed portions of the second hardmask components 220, as is depicted in Figure 2F. In an embodiment, an improved shorting margin is realized.

Referring again to Figure 2F, in an exemplary illustrative embodiment, an integrated circuit structure includes a plurality of conductive lines 210 in an inter-layer dielectric (ILD) layer 204 above a substrate 202. Each of the plurality of conductive lines 210 is recessed relative to an uppermost surface of the ILD layer 204. A passivating silicide layer 214 is on the plurality of conductive lines 210, in recess regions above each of the plurality of conductive lines 210. A hardmask layer 226 is on the passivating silicide layer 214 and on the uppermost surface of the ILD layer 204. The hardmask layer 226 includes a first hardmask component 218 on and aligned with the passivating silicide layer 214. A second hardmask component 220 of the hardmask layer 226 is on an aligned with regions of the uppermost surface of the ILD layer 204. The first 218 and second 220 hardmask components differ in composition from one another. A conductive via 228 is in an opening in the hardmask layer 226 and on a portion of passivating silicide layer 214 on one of the plurality of conductive lines 210. A portion of the conductive via 228 is on a portion of the second hardmask component 220 of the hardmask layer 226.

In an embodiment, the passivating silicide layer 214 has an uppermost surface below the uppermost surface of the ILD layer 204, as is depicted in Figure 2F. In an embodiment, the first hardmask component 218 has an uppermost surface substantially co-planar with an uppermost surface of the second hardmask component 220, as is depicted in Figure 2F. In an embodiment, the integrated circuit structure further includes a second ILD layer 222 above the hardmask layer 226. The conductive via 228 is further in an opening of the second ILD layer 222. In one such embodiment, the opening of the second ILD layer has a width approximately equal to ¾ pitch of the plurality of conductive lines 210. In an embodiment, one of the plurality of conductive lines 210 is coupled to an underlying conductive via structure 208, as is depicted in Figure 2F. In one such embodiment, the underlying conductive via structure 208 is connected to an underlying metallization layer of the integrated circuit structure (not depicted).

In an exemplary approach using different "color" passivating silicide layers as a foundation, Figures 3A-3C illustrate cross-sectional views of portions of integrated circuit layers representing various operations in another method involving passivating silicide layer and self- aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with another embodiment of the present disclosure.

Referring to Figure 3 A, a starting structure 300 includes a plurality of alternating first 210A and second 210B conductive lines is formed in an inter-layer dielectric (ILD) layer 204 above a substrate 202. The plurality of alternating first 21 OA and second 210B conductive lines is recessed relative to an uppermost surface of the ILD layer 204. Subsequent to the recessing, a first passivating silicide layer 214A is formed on corresponding ones of the first conductive lines 21 OA in recess regions above each of the first conductive lines 21 OA. A second passivating silicide layer 214B is formed on corresponding ones of the second conductive lines 210B in recess regions above each of the second conductive lines 210B. In one embodiment, the first passivating silicide layer 214A differs in composition from the second passivating silicide layer 214B. A hardmask layer 316 is formed on the first passivating silicide layer 214A, on the second passivating silicide layer 214B and on the uppermost surface of the ILD layer 204. The hardmask layer 316 includes a first hardmask component 320 on and aligned with the first passivating silicide layer 214A. A second hardmask component 318 is on an aligned with the second passivating silicide layer 214B. In one embodiment, the first 320 and second 318 hardmask components differ in composition from one another. In an embodiment, the starting structure 300 is fabricated by patterning a hardmask and ILD layer and then metallizing half of a population of metal trenches (e.g., alternating one of the trenches), leaving the other half of the population open until a subsequent metallization process is performed on the other half of the population. Such an approach allows for the possibility of differing composition of alternating lines. For example, in one embodiment, a metallization layer ultimately includes conductive interconnects of alternating, differing first and second compositions. In another embodiment, however, the metal lines 21 OA and 21 OB are fabricated from substantially the same material.

In an embodiment, in order to achieve differing composition of alternating first passivating silicide layer 214A portions and second passivating silicide layer 214B portions, two separate recess and silicide processes are used to fabricate conductive lines 210A and 210B. In an embodiment, the hardmask layer 316 having first hardmask component 320 and second hardmask component 318 is formed using a directed self-assembly or selective deposition approach to ultimately form the two different, alternating regions of first hardmask component 320 and second hardmask component 318. In one such embodiment, the directed self-assembly or selective deposition approach is enhanced by the use of first passivating silicide layer 214A and second passivating silicide layer 214B, as opposed to using the surfaces of the corresponding conductive lines 210A and 210B, respectively. In an embodiment, the materials of the first hardmask component 320 and second hardmask component 318 exhibit differing etch selectivity to one another. Directed self-assembly or selective growth can be used to align the first hardmask component 320 and second hardmask component 318 selectively to the respective materials of first passivating silicide layer 214A and second passivating silicide layer 214B.

Referring to Figure 3B, a second inter-layer dielectric (ILD) layer 322 is formed above the structure of Figure 3 A. An opening 324 is formed in the second ILD layer 322. In an embodiment, the opening 324 is formed in a location selected for conductive via fabrication for a next level metallization layer. In contrast to conventional via location selection, the opening 324 can, in one embodiment, have a relatively relaxed width as compared to the width of the corresponding conductive line 210B onto which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of the opening 324 has a dimension of approximately 1.5 times the pitch of the conductive lines 21 OA/210B. Such an accommodation for a relatively wider via opening 324 can relax constraints on the lithography process used to form the opening 324. Additionally, tolerance for mis-alignment may be increased as well.

Figure 3C illustrates the structure of Figure 3B following next layer via fabrication. One of the second hardmask components 318 is selected for removal, e.g., by a selective etch process. In this case, the exposed one of the second hardmask components 318 is removed selective to exposed portions of the first hardmask components 320. In an embodiment, the exposed one of the second hardmask components 318 is removed selective to exposed portions of the first hardmask components 320 using a selective wet etch process. In another embodiment, the exposed one of the second hardmask components 318 is removed selective to exposed portions of the first hardmask components 320 using a selective dry or plasma etch process.

A conductive via 328 is then formed in the opening 324 and in the region where the selected one of the second hardmask components 318 has been removed. The conductive via 328 electrically contacts a corresponding portion of the second passivating silicide layer 214B of one of the recessed second conductive lines 210B. In an embodiment, the conductive via 328 electrically contacts the corresponding portion of the second passivating silicide layer 214B of one of the recessed second conductive lines 210B without shorting to one of the adjacent or neighboring first conductive lines 21 OA. In a specific embodiment, a portion of the conductive via 328 is disposed on one or more exposed portions of the first hardmask components 320, as is depicted in Figure 3C. In an embodiment, then, an improved shorting margin is realized.

Referring again to Figure 3C, in an exemplary illustrative embodiment, an integrated circuit structure includes a plurality of alternating first 21 OA and second 210B conductive lines in an inter-layer dielectric (ILD) layer 204 above a substrate 202. In one embodiment, as described below in association with Figure 4, the plurality of alternating first 21 OA and second 210B conductive lines is formed along a same direction of a back end of line (BEOL) metallization layer. Each of the plurality of alternating first 21 OA and second 210B conductive lines is recessed relative to an uppermost surface of the ILD layer 204. A passivating silicide layer 214A is on the first conductive lines 21 OA, in recess regions above each of the first conductive lines 21 OA. A second passivating silicide layer 214B is on the second conductive lines 210B, in recess regions above each of the second conductive lines 210B. The passivating silicide layer 214A differs in composition from the second passivating silicide layer 214B. A hardmask layer 326 is on the passivating silicide layer 214A, on the second passivating silicide layer 214B and on the uppermost surface of the ILD layer 204. The hardmask layer 326 includes a first hardmask 320 component on and aligned with the passivating silicide layer 214A. A second hardmask component 318 is on an aligned with the second passivating silicide layer 214B. The first 320 and second 318 hardmask components differ in composition from one another. A conductive via 328 is in an opening in the hardmask layer 326 and on a portion of the passivating silicide layer 214B on one of the second conductive lines 210B. In an embodiment, a portion of the conductive via 328 is on a portion of the first hardmask component 320 of the hardmask layer 326, as is depicted in Figure 3C.

In an embodiment, the passivating silicide layer 214A and the second passivating silicide layer 214B have an uppermost surface below the uppermost surface of the ILD layer 204, as is depicted in Figure 3C. In an embodiment, the first hardmask component 320 has an uppermost surface substantially co-planar with an uppermost surface of the second hardmask component 318, as is depicted in Figure 3C. In an embodiment, the integrated circuit further includes a second ILD layer 322 above the hardmask layer 326. The conductive via 328 is further in an opening of the second ILD layer 322. In one such embodiment, the opening of the second ILD layer 322 has a width approximately equal to 1.5 pitch of the plurality of alternating first and second conductive lines 210A/210B. In an embodiment, one of the plurality of alternating first and second conductive lines 210A/210B is coupled to an underlying conductive via structure 208, as is labeled in Figure 3A. In one such embodiment, the underlying conductive via structure is connected to an underlying metallization layer of the integrated circuit structure (not shown).

In an embodiment, a total composition of the first conductive lines 21 OA is the same as a total composition of the second conductive lines 210B. In an embodiment, a total composition of the first conductive lines 21 OA is different than a total composition of the second conductive lines 210B.

Figure 4 illustrates a plan view of a portion of an integrated circuit layer representing an operation in a method involving passivating silicide and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present disclosure.

Referring to Figure 4, first 418 and second 420 hardmask components are shown in this view. An opening 400 in one of the first 218 hardmask components is shown revealing a portion of an underlying passivating silicide layer 214. It is to be appreciated that the opening 400 may be representative of openings for conductive vias 128 (Figure 1 J), 128' (Figure 1 J'), 228 (Figure 2F) or 328 (Figure 3C). Accordingly, in an embodiment, selective removal of one of the hardmask components over a selected line does not reveal the entire underlying line, but rather only a portion of the line where via formation is to occur. In an embodiment, only portions of the one of the first 218 hardmask components exposed by an opening such as opening 124, 124', 224 or opening 324 is removed along the one of the lines. It is further to be appreciated that Figure 4 is representative of an embodiment, where a plurality of conductive lines is formed along a same direction of a back end of line (BEOL) metallization layer, or where a plurality of alternating first and second conductive lines is formed along a same direction of a back end of line (BEOL) metallization layer.

A resulting structure such as described in association with Figure 1J, 1Γ, 2F, 3C or 4 may subsequently be used as a foundation for forming subsequent metal line/via and ILD layers. Alternatively, the structures of Figure 1J, 1 J', 2F, 3C or 4 may represent the final metal interconnect layer in an integrated circuit. It is to be appreciated that the above process operations may be practiced in alternative sequences, not every operation need be performed and/or additional process operations may be performed. Although the above methods (e.g., Figures 1A-1J, 1A-1J', 2A-2F or 3A-3C) of fabricating a metallization layer of a BEOL metallization layer have been described in detail with respect to select operations, it is to be appreciated that additional or intermediate operations for fabrication may include standard microelectronic fabrication processes such as lithography, etch, thin films deposition, planarization (such as chemical mechanical polishing (CMP)), diffusion, metrology, the use of sacrificial layers, the use of etch stop layers, the use of planarization stop layers, and/or any other associated action with microelectronic component fabrication.

Embodiments described above may be implemented to enables strong self-alignment and mitigation of edge placement issues that otherwise plague conventional patterning.

Embodiments may be implemented to enable integration of DSA and selective deposition.

Embodiments may be implemented to enable robust interconnect reliability and low via/contact resistance.

In an embodiment, as used throughout the present description, an interlayer dielectric (ILD) material is composed of or includes a layer of a dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, oxides of silicon (e.g., silicon dioxide (S1O2)), nitrides of silicon (e.g., silicon nitride (S13N4)), doped oxides of silicon, fluorinated oxides of silicon, carbon doped oxides of silicon, various low-k dielectric materials known in the arts, and combinations thereof. The interlayer dielectric material may be formed by conventional techniques, such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or by other deposition methods.

In an embodiment, as is also used throughout the present description, metal lines or interconnect line material (and via material) is composed of one or more metal or other conductive structures. A common example is the use of copper lines and structures that may or may not include barrier layers between the copper and surrounding ILD material. As used herein, the term metal includes alloys, stacks, and other combinations of multiple metals. For example, the metal interconnect lines may include barrier layers, stacks of different metals or alloys, etc. Thus, the interconnect lines may be a single material layer, or may be formed from several layers, including conductive liner layers and fill layers. Any suitable deposition process, such as electroplating, chemical vapor deposition or physical vapor deposition, may be used to form interconnect lines. In an embodiment, the interconnect lines are composed of a barrier layer and a conductive fill material. In one embodiment, the barrier layer is a tantalum or tantalum nitride layer, or a combination thereof. In one embodiment, the conductive fill material is a material such as, but not limited to, Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au or alloys thereof. The interconnect lines are also sometimes referred to in the art as traces, wires, lines, metal, metal lines, or simply interconnect.

In an embodiment, as is also used throughout the present description, hardmask materials (and in some instances etch stop layers) are composed of dielectric materials different from the interlayer dielectric material. In one embodiment, different hardmask materials may be used in different regions so as to provide different growth or etch selectivity to each other and to the underlying dielectric and metal layers. In some embodiments, a hardmask layer includes a layer of a nitride of silicon (e.g., silicon nitride) or a layer of an oxide of silicon, or both, or a combination thereof. Other suitable materials may include carbon-based materials, such as silicon carbide. In another embodiment, a hardmask material includes a metal species. For example, a hardmask or other overlying material may include a layer of a nitride of titanium or another metal (e.g., titanium nitride). Potentially lesser amounts of other materials, such as oxygen, may be included in one or more of these layers. Alternatively, other hardmask layers known in the art may be used depending upon the particular implementation. The hardmask layers may be formed by CVD, PVD, or by other deposition methods.

It is to be appreciated that the layers and materials described in association with Figures 1A-1I, 1A-1J', 2A-3F, 3A-3C, and 4 are typically formed on or above an underlying

semiconductor substrate or structure, such as underlying device layer(s) of an integrated circuit. In an embodiment, an underlying semiconductor substrate represents a general workpiece object used to manufacture integrated circuits. The semiconductor substrate often includes a wafer or other piece of silicon or another semiconductor material. Suitable semiconductor substrates include, but are not limited to, single crystal silicon, polycrystalline silicon and silicon on insulator (SOI), as well as similar substrates formed of other semiconductor materials. The semiconductor substrate, depending on the stage of manufacture, often includes transistors, integrated circuitry, and the like. The substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly found in semiconductor substrates. Furthermore, the structure depicted in Figure 1J, 1J', 2F or 3C (i.e., as starting from Figure 1A, 2A or 3A, respectively) may be fabricated on underlying lower level interconnect layers.

As described above, patterned features may be patterned in a grating-like pattern with lines, holes or trenches spaced at a constant pitch and having a constant width. The pattern, for example, may be fabricated by a pitch halving or pitch quartering approach. In an example, a blanket film (such as a polycrystalline silicon film) is patterned using lithography and etch processing which may involve, e.g., spacer-based-quadruple-patterning (SBQP) or pitch quartering. It is to be appreciated that a grating pattern of lines can be fabricated by numerous methods, including 193nm immersion lithography (il93), extreme ultra-violet (EUV) and/or electron-beam direct write (EBDW) lithography, directed self-assembly, etc. In other embodiments, the pitch does not need to be constant, nor does the width.

In an embodiment, pitch division techniques are used to increase a line density In a first example, pitch halving can be implemented to double the line density of a fabricated grating structure. Figure 5A illustrates a cross-sectional view of a starting structure following deposition, but prior to patterning, of a hardmask material layer formed on an interlayer dielectric (TLD) layer. Figure 5B illustrates a cross-sectional view of the structure of Figure 5 A following patterning of the hardmask layer by pitch halving.

Referring to Figure 5A, a starting structure 500 has a hardmask material layer 504 formed on an interlayer dielectric (TLD) layer 502. A patterned mask 506 is disposed above the hardmask material layer 504. The patterned mask 506 has spacers 508 formed along sidewalls of features (lines) thereof, on the hardmask material layer 504.

Referring to Figure 5B, the hardmask material layer 504 is patterned in a pitch halving approach. Specifically, the patterned mask 506 is first removed. The resulting pattern of the spacers 508 has double the density, or half the pitch or the features of the mask 506. The pattern of the spacers 508 is transferred, e.g., by an etch process, to the hardmask material layer 504 to form a patterned hardmask 510, as is depicted in Figure 5B. In one such embodiment, the patterned hardmask 510 is formed with a grating pattern having unidirectional lines. The grating pattern of the patterned hardmask 510 may be a tight pitch grating structure. For example, the tight pitch may not be achievable directly through conventional lithography techniques. Even further, although not shown, the original pitch may be quartered by a second round of spacer mask patterning. Accordingly, the grating-like pattern of the patterned hardmask 510 of Figure 5B may have hardmask lines spaced at a constant pitch and having a constant width relative to one another. The dimensions achieved may be far smaller than the critical dimension of the lithographic technique employed. Accordingly, a blanket film may be patterned using lithography and etch processing which may involve, e.g., spacer-based-double-patterning (SBDP) or pitch halving, or spacer-based-quadruple-patterning (SBQP) or pitch quartering.

It is to be appreciated that other pitch division approaches may also be implemented. For example, Figure 6 illustrates cross-sectional views in a spacer-based-sextuple-patterning (SBSP) processing scheme which involves pitch division by a factor of six. Referring to Figure 6, at operation (a), a sacrificial pattern X is shown following litho, slim and etch processing. At operation (b), spacers A and B are shown following deposition and etching. At operation (c), the pattern of operation (b) is shown following spacer A removal. At operation (d), the pattern of operation (c) is shown following spacer C deposition. At operation (e), the pattern of operation (d) is shown following spacer C etch. At operation (f), a pitch/6 pattern is achieved following sacrificial pattern X removal and spacer B removal.

In an embodiment, lithographic operations are performed using 193nm immersion litho (i 193), EUV and/or EBDW lithography, or the like. A positive tone or a negative tone resist may be used. In one embodiment, a lithographic mask is a trilayer mask composed of a topographic masking portion, an anti-reflective coating (ARC) layer, and a photoresist layer. In a particular such embodiment, the topographic masking portion is a carbon hardmask (CHM) layer and the anti -reflective coating layer is a silicon ARC layer.

In another aspect, one or more embodiments described herein are directed to fabricating semiconductor devices, such as for PMOS and NMOS device fabrication. For example, approaches described herein may be implemented to fabricate a self-aligned gate contact used in a metal oxide semiconductor (MOS) device. As an example of a completed device, Figure 7A illustrates a cross-sectional view of a non-planar semiconductor device having a self-aligned gate contact, in accordance with an embodiment of the present disclosure. Figure 7B illustrates a plan view taken along the a-a' axis of the semiconductor device of Figure 7A, in accordance with an embodiment of the present disclosure.

Referring to Figure 7A, a semiconductor structure or device 700 includes a non-planar active region (e.g., a fin structure including protruding fin portion 704 and sub-fin region 705) formed from substrate 702, and within isolation region 706. A gate line 708 is disposed over the protruding portions 704 of the non-planar active region as well as over a portion of the isolation region 706. As shown, gate line 708 includes a gate electrode 750 and a gate dielectric layer 752. In one embodiment, gate line 708 may also include a dielectric cap layer 754. A gate contact 714, and overlying gate contact via 716 are also seen from this perspective, along with an overlying metal interconnect 760, all of which are disposed in inter-layer dielectric stacks or layers 770. Also seen from the perspective of Figure 7A, the gate contact 714 is, in one embodiment, disposed over isolation region 706, but not over the non-planar active regions. In accordance with an embodiment of the present disclosure, the dielectric cap layer 754 is a self- aligned or color hardmask layer, as described above. In one such embodiment, the dielectric cap layer 754 is formed on a passivating silicide layer 799, such as a material described above in association with passivating silicide layer 114, and the gate contact 714 is formed thereon, in an opening formed in the dielectric cap layer 754.

Referring to Figure 7B, the gate line 708 is shown as disposed over the protruding fin portions 704. Source and drain regions 704A and 704B of the protruding fin portions 704 can be seen from this perspective. In one embodiment, the source and drain regions 704A and 704B are doped portions of original material of the protruding fin portions 704. In another embodiment, the material of the protruding fin portions 704 is removed and replaced with another

semiconductor material, e.g., by epitaxial deposition. In either case, the source and drain regions 704A and 704B may extend below the height of dielectric layer 706, i.e., into the sub-fin region 705.

In an embodiment, the semiconductor structure or device 700 is a non-planar device such as, but not limited to, a fin-FET or a tri-gate device. In such an embodiment, a corresponding semiconducting channel region is composed of or is formed in a three-dimensional body. In one such embodiment, the gate electrode stacks of gate lines 708 surround at least a top surface and a pair of sidewalls of the three-dimensional body.

Substrate 702 may be composed of a semiconductor material that can withstand a manufacturing process and in which charge can migrate. In an embodiment, substrate 702 is a bulk substrate composed of a crystalline silicon, silicon/germanium or germanium layer doped with a charge carrier, such as but not limited to phosphorus, arsenic, boron or a combination thereof, to form active region 704. In one embodiment, the concentration of silicon atoms in bulk substrate 702 is greater than 97%. In another embodiment, bulk substrate 702 is composed of an epitaxial layer grown atop a distinct crystalline substrate, e.g. a silicon epitaxial layer grown atop a boron-doped bulk silicon mono-crystalline substrate. Bulk substrate 702 may alternatively be composed of a group ΙΠ-V material. In an embodiment, bulk substrate 702 is composed of a ΙΠ-V material such as, but not limited to, gallium nitride, gallium phosphide, gallium arsenide, indium phosphide, indium antimonide, indium gallium arsenide, aluminum gallium arsenide, indium gallium phosphide, or a combination thereof. In one embodiment, bulk substrate 702 is composed of a ΙΠ-V material and the charge-carrier dopant impurity atoms are ones such as, but not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium or tellurium.

Isolation region 706 may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, portions of a permanent gate structure from an underlying bulk substrate or isolate active regions formed within an underlying bulk substrate, such as isolating fin active regions. For example, in one embodiment, the isolation region 706 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon-doped silicon nitride.

Gate line 708 may be composed of a gate electrode stack which includes a gate dielectric layer 752 and a gate electrode layer 750. In an embodiment, the gate electrode 750 of the gate electrode stack is composed of a metal gate and the gate dielectric layer 752 is composed of a high-K material. For example, in one embodiment, the gate dielectric layer 752 is composed of a material such as, but not limited to, hafnium oxide, hafnium oxy-nitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof. Furthermore, a portion of gate dielectric layer may include a layer of native oxide formed from the top few layers of the substrate 702 In an embodiment, the gate dielectric layer is composed of a top high-k portion and a lower portion composed of an oxide of a semiconductor material. In one embodiment, the gate dielectric layer 752 is composed of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxy-nitride.

In an embodiment, the gate electrode layer 750 of gate line 708 is composed of a metal layer such as, but not limited to, metal nitrides, metal carbides, metal silicides, metal aluminides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides. In a specific embodiment, the gate electrode is composed of a non-workfunction-setting fill material formed above a metal workfunction-setting layer. The gate electrode layer may consist of a P-type workfunction metal or an N-type workfunction metal, depending on whether the transistor is to be a PMOS or an MOS transistor. In some implementations, the gate electrode layer may consist of a stack of two or more metal layers, where one or more metal layers are workfunction metal layers and at least one metal layer is a conductive fill layer For a PMOS transistor, metals that may be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide. A P-type metal layer will enable the formation of a PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV. For an NMOS transistor, metals that may be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals such as hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide. An N-type metal layer will enable the formation of an NMOS gate electrode with a workfunction that is between about 3.9 eV and about 4.2 eV. In some implementations, the gate electrode may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate. In another implementation, at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate. In further implementations of the disclosure, the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures. For example, the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers. In an embodiment, passivating silicide layer 799 is composed of a material such as described above in association with passivating silicide layer 114, and dielectric cap layer 754 is composed of a material such as described above in association with hardmask or etch stop components 1 18 or 120.

Spacers associated with the gate electrode stacks may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, a permanent gate structure from adjacent conductive contacts, such as self-aligned contacts. For example, in one embodiment, the spacers are composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon-doped silicon nitride.

Gate contact 714 and overlying gate contact via 716 may be composed of a conductive material. In an embodiment, one or more of the contacts or vias are composed of a metal species. The metal species may be a pure metal, such as tungsten, nickel, or cobalt, or may be an alloy such as a metal-metal alloy or a metal-semiconductor alloy (e.g., such as a silicide material). In accordance with another embodiment of the present disclosure, the gate contact 714 is a self- aligned gate contact.

In an embodiment (although not shown), providing structure 700 involves formation of a contact pattern which is essentially perfectly aligned to an existing gate pattern while eliminating the use of a lithographic step with exceedingly tight registration budget. In one such

embodiment, this approach enables the use of intrinsically highly selective wet etching (e.g., versus conventionally implemented dry or plasma etching) to generate contact openings. In an embodiment, a contact pattern is formed by utilizing an existing gate pattern in combination with a contact plug lithography operation. In one such embodiment, the approach enables elimination of the need for an otherwise critical lithography operation to generate a contact pattern, as used in conventional approaches. In an embodiment, a trench contact grid is not separately patterned, but is rather formed between poly (gate) lines. For example, in one such embodiment, a trench contact grid is formed subsequent to gate grating patterning but prior to gate grating cuts.

Furthermore, the gate stack structure 708 may be fabricated by a replacement gate process. In such a scheme, dummy gate material such as polysilicon or silicon nitride pillar material, may be removed and replaced with permanent gate electrode material. In one such embodiment, a permanent gate dielectric layer is also formed in this process, as opposed to being carried through from earlier processing. In an embodiment, dummy gates are removed by a dry etch or wet etch process. In one embodiment, dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed with a dry etch process including use of SF 6 . In another embodiment, dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed with a wet etch process including use of aqueous H4OH or

tetramethylammonium hydroxide. In one embodiment, dummy gates are composed of silicon nitride and are removed with a wet etch including aqueous phosphoric acid.

In an embodiment, one or more approaches described herein contemplate essentially a dummy and replacement gate process in combination with a dummy and replacement contact process to arrive at structure 700. In one such embodiment, the replacement contact process is performed after the replacement gate process to allow high temperature anneal of at least a portion of the permanent gate stack. For example, in a specific such embodiment, an anneal of at least a portion of the permanent gate structures, e.g., after a gate dielectric layer is formed, is performed at a temperature greater than approximately 600 degrees Celsius. The anneal is performed prior to formation of the permanent contacts.

Referring again to Figure 7A, the arrangement of semiconductor structure or device 700 places the gate contact over isolation regions. Such an arrangement may be viewed as inefficient use of layout space. In another embodiment, however, a semiconductor device has contact structures that contact portions of a gate electrode formed over an active region. In general, prior to (e.g., in addition to) forming a gate contact structure (such as a via) over an active portion of a gate and in a same layer as a trench contact via, one or more embodiments of the present disclosure include first using a gate aligned trench contact process. Such a process may be implemented to form trench contact structures for semiconductor structure fabrication, e.g., for integrated circuit fabrication. In an embodiment, a trench contact pattern is formed as aligned to an existing gate pattern. By contrast, conventional approaches typically involve an additional lithography process with tight registration of a lithographic contact pattern to an existing gate pattern in combination with selective contact etches. For example, a conventional process may include patterning of a poly (gate) grid with separate patterning of contact features.

It is to be appreciated that not all aspects of the processes described above need be practiced to fall within the spirit and scope of embodiments of the present disclosure. For example, in one embodiment, dummy gates need not ever be formed prior to fabricating gate contacts over active portions of the gate stacks. The gate stacks described above may actually be permanent gate stacks as initially formed. Also, the processes described herein may be used to fabricate one or a plurality of semiconductor devices. The semiconductor devices may be transistors or like devices. For example, in an embodiment, the semiconductor devices are a metal-oxide semiconductor (MOS) transistors for logic or memory, or are bipolar transistors. Also, in an embodiment, the semiconductor devices have a three-dimensional architecture, such as a trigate device, an independently accessed double gate device, or a FI -FET. One or more embodiments may be particularly useful for fabricating semiconductor devices at a 10 nanometer (10 nm) or smaller technology node.

Embodiments disclosed herein may be used to manufacture a wide variety of different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, micro-controllers, and the like. In other embodiments, semiconductor memory may be manufactured. Moreover, the integrated circuits or other microelectronic devices may be used in a wide variety of electronic devices known in the arts. For example, in computer systems (e.g., desktop, laptop, server), cellular phones, personal electronics, etc. The integrated circuits may be coupled with a bus and other components in the systems. For example, a processor may be coupled by one or more buses to a memory, a chipset, etc. Each of the processor, the memory, and the chipset, may potentially be manufactured using the approaches disclosed herein.

Figure 8 illustrates a computing device 800 in accordance with one implementation of the disclosure. The computing device 800 houses a board 802. The board 802 may include a number of components, including but not limited to a processor 804 and at least one

communication chip 806. The processor 804 is physically and electrically coupled to the board 802. In some implementations the at least one communication chip 806 is also physically and electrically coupled to the board 802. In further implementations, the communication chip 806 is part of the processor 804.

Depending on its applications, computing device 800 may include other components that may or may not be physically and electrically coupled to the board 802. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).

The communication chip 806 enables wireless communications for the transfer of data to and from the computing device 800. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non- solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 806 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.1 1 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 800 may include a plurality of communication chips 806. For instance, a first communication chip 806 may be dedicated to shorter range wireless

communications such as Wi-Fi and Bluetooth and a second communication chip 806 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.

The processor 804 of the computing device 800 includes an integrated circuit die packaged within the processor 804. In some implementations of the disclosure, the integrated circuit die of the processor includes one or more structures, such as passivating silicides and corresponding conductive vias, built in accordance with implementations of embodiments of the disclosure. The term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.

The communication chip 806 also includes an integrated circuit die packaged within the communication chip 806. In accordance with another implementation of the disclosure, the integrated circuit die of the communication chip includes one or more structures, such as passivating silicides and corresponding conductive vias, built in accordance with

implementations of embodiments of the disclosure.

In further implementations, another component housed within the computing device 800 may contain an integrated circuit die that includes one or more structures, such as passivating silicides and corresponding conductive vias, built in accordance with implementations of embodiments of the disclosure.

In various implementations, the computing device 800 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra- mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set- top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 800 may be any other electronic device that processes data.

Figure 9 illustrates an interposer 900 that includes one or more embodiments of the disclosure. The interposer 900 is an intervening substrate used to bridge a first substrate 902 to a second substrate 904. The first substrate 902 may be, for instance, an integrated circuit die. The second substrate 904 may be, for instance, a memory module, a computer motherboard, or another integrated circuit die. Generally, the purpose of an interposer 900 is to spread a connection to a wider pitch or to reroute a connection to a different connection. For example, an interposer 900 may couple an integrated circuit die to a ball grid array (BGA) 906 that can subsequently be coupled to the second substrate 904. In some embodiments, the first and second substrates 902/904 are attached to opposing sides of the interposer 900. In other embodiments, the first and second substrates 902/904 are attached to the same side of the interposer 900. And in further embodiments, three or more substrates are interconnected by way of the interposer 900.

The interposer 900 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In further implementations, the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group ΙΠ-V and group IV materials.

The interposer may include metal interconnects 908 and vias 910, including but not limited to through-silicon vias (TSVs) 912. The interposer 900 may further include embedded devices 914, including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may also be formed on the interposer 900. In accordance with embodiments of the disclosure, apparatuses or processes disclosed herein may be used in the fabrication of interposer 900.

Thus, embodiments of the present disclosure include passivating silicide-based approaches for conductive via fabrication, and the resulting structures.

Example embodiment 1 : An integrated circuit structure includes a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a substrate. Each of the plurality of conductive lines is recessed relative to an uppermost surface of the ILD layer. A metal silicide layer is on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines. A hardmask layer is on the metal silicide layer and on the uppermost surface of the ILD layer. The hardmask layer includes a first hardmask component on and aligned with a portion of the metal silicide layer on first alternating ones of the plurality of conductive lines, a second hardmask component on and aligned with a portion of the metal silicide layer on second alternating ones of the plurality of conductive lines, and a third hardmask component on an aligned with regions of the uppermost surface of the ILD layer, the first, second and third hardmask components differing in composition from one another. A conductive via is in an opening in the hardmask layer and on a portion of the metal silicide layer on one of the plurality of conductive lines. A portion of the conductive via is on a portion of the third hardmask component of the hardmask layer.

Example embodiment 2: The integrated circuit structure of example embodiment 1, wherein the metal silicide layer has an uppermost surface below the uppermost surface of the ILD layer. Example embodiment 3 : The integrated circuit structure of example embodiment 1 or 2, wherein the metal silicide layer comprises a metal species included on the plurality of conductive lines.

Example embodiment 4: The integrated circuit structure of example embodiment 1 or 2, wherein the metal silicide layer comprises a metal species not included on the plurality of conductive lines.

Example embodiment 5: The integrated circuit structure of example embodiment 1, 2, 3 or 4, wherein the metal silicide layer comprises a metal silicide selected from the group consisting of cobalt silicide, titanium silicide, and nickel silicide.

Example embodiment 6: The integrated circuit structure of example embodiment 1, 2, 3,

4 or 5, further including a second ILD layer above the hardmask layer, wherein the conductive via is further in an opening of the second ILD layer.

Example embodiment 7: The integrated circuit structure of example embodiment 1, 2, 3,

4, 5 or 6, wherein one of the plurality of conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure connected to an underlying metallization layer of the integrated circuit structure.

Example embodiment 8: An integrated circuit structure includes a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a substrate. Each of the plurality of conductive lines is recessed relative to an uppermost surface of the ILD layer. A metal silicide layer is on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines.

A hardmask layer is on the metal silicide layer and on the uppermost surface of the ILD layer.

The hardmask layer includes a first hardmask component on and aligned with the metal silicide layer, and a second hardmask component on an aligned with regions of the uppermost surface of the ILD layer. The first and second hardmask components differ in composition from one another. A conductive via is in an opening in the hardmask layer and on a portion of the metal silicide layer on one of the plurality of conductive lines. A portion of the conductive via is on a portion of the second hardmask component of the hardmask layer.

Example embodiment 9: The integrated circuit structure of example embodiment 8, wherein the metal silicide layer has an uppermost surface below the uppermost surface of the ILD layer.

Example embodiment 10: The integrated circuit structure of example embodiment 8 or 9, wherein the first hardmask component has an uppermost surface substantially co-planar with an uppermost surface of the second hardmask component.

Example embodiment 11 : The integrated circuit structure of example embodiment 8, 9 or 10, further including a second ILD layer above the hardmask layer, wherein the conductive via is further in an opening of the second ILD layer.

Example embodiment 12: The integrated circuit structure of example embodiment 11, wherein the opening of the second ILD layer has a width approximately equal to ¾ pitch of the plurality of conductive lines

Example embodiment 13 : The integrated circuit structure of example embodiment 8, 9,

10, 11 or 12, wherein one of the plurality of conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure connected to an underlying metallization layer of the integrated circuit structure.

Example embodiment 14: An integrated circuit structure includes a plurality of alternating first and second conductive lines along a same direction of a back end of line (BEOL) metallization layer in an inter-layer dielectric (ILD) layer above a substrate. Each of the plurality of alternating first and second conductive lines is recessed relative to an uppermost surface of the

ILD layer. A first metal silicide layer is on the first conductive lines, in recess regions above each of the first conductive lines. A second metal silicide layer is on the second conductive lines, in recess regions above each of the second conductive lines. The second metal silicide layer differs in composition from the first metal silicide layer. A hardmask layer is on the first metal silicide layer, on the second metal silicide layer and on the uppermost surface of the ILD layer.

The hardmask layer includes a first hardmask component on and aligned with the first metal silicide layer, and a second hardmask component on an aligned with the second metal silicide layer. The first and second hardmask components differ in composition from one another. A conductive via is in an opening in the hardmask layer and on a portion of the second metal silicide layer on one of the second conductive lines. A portion of the conductive via is on a portion of the first hardmask component of the hardmask layer.

Example embodiment 15: The integrated circuit structure of example embodiment 14, wherein the first metal silicide layer and the second metal silicide layer have an uppermost surface below the uppermost surface of the ILD layer.

Example embodiment 16: The integrated circuit structure of example embodiment 14 or

15, wherein the first hardmask component has an uppermost surface substantially co-planar with an uppermost surface of the second hardmask component.

Example embodiment 17: The integrated circuit structure of example embodiment 14, 15 or 16, further including a second ILD layer above the hardmask layer. The conductive via is further in an opening of the second ILD layer.

Example embodiment 18: The integrated circuit structure of example embodiment 17, wherein the opening of the second ILD layer has a width approximately equal to 1.5 pitch of the plurality of alternating first and second conductive lines. Example embodiment 19: The integrated circuit structure of example embodiment 14, 15, 16, 17 or 18, wherein one of the plurality of alternating first and second conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure connected to an underlying metallization layer of the integrated circuit structure.

Example embodiment 20: The integrated circuit structure of example embodiment 14,

15, 16, 17, 18 or 19, wherein a total composition of the first conductive lines is the same as a total composition of the second conductive lines.

Example embodiment 21 : The integrated circuit structure of example embodiment 14, 15, 16, 17, 18 or 19, wherein a total composition of the first conductive lines is different than a total composition of the second conductive lines.

Example embodiment 22: A method of fabricating a back end of line (BEOL) metallization layer includes forming a plurality of conductive lines in an inter-layer dielectric (ILD) layer above a substrate. The method also includes recessing the plurality of conductive lines relative to an uppermost surface of the ILD layer. The method also includes, subsequent to the recessing, forming a metal silicide layer on the plurality of conductive lines, in recess regions above each of the plurality of conductive lines. The method also includes forming a hardmask layer on the metal silicide layer and on the uppermost surface of the ILD layer. The hardmask layer includes a first hardmask component on and aligned with the metal silicide layer, and a second hardmask component on regions of the uppermost surface of the ILD layer. The first and second hardmask components differ in composition from one another. The method also includes forming an opening in the hardmask layer by removing a portion of the first hardmask component from over a portion of the metal silicide layer on one of the plurality of conductive lines. The method also includes forming a conductive via in the opening in the hardmask layer and on the portion of the metal silicide layer on the one of the plurality of conductive lines.

Example embodiment 23 : The method of example embodiment 22, wherein forming the metal silicide layer comprises depositing silicon on top surfaces of the plurality of conductive lines, and then treating the silicon with a plasma to form a silicide of a metal included in the plurality of conductive lines.

Example embodiment 24: The method of example embodiment 22, wherein forming the metal silicide layer comprises deposing the metal silicide layer on top surfaces of the plurality of conductive lines.

Example embodiment 25 : The method of example embodiment 22, 23 or 24, wherein forming the metal silicide layer comprises forming a metal silicide selected from the group consisting of cobalt silicide, titanium silicide, and nickel silicide.