Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
PLASMA TREATMENT PROCESS FOR IN-SITU CHAMBER CLEANING EFFICIENCY ENHANCEMENT IN PLASMA PROCESSING CHAMBER
Document Type and Number:
WIPO Patent Application WO/2017/192249
Kind Code:
A1
Abstract:
Embodiments of the disclosure include methods for in-situ chamber cleaning efficiency enhancement process for a plasma processing chamber utilized for a semiconductor substrate fabrication process. In one embodiment, a method for performing a plasma treatment process after cleaning a plasma process includes performing a cleaning process in a plasma processing chamber in absent of a substrate disposed thereon, subsequently supplying a plasma treatment gas mixture including at least a hydrogen containing gas and/or an oxygen containing gas into the plasma processing chamber, applying a RF source power to the processing chamber to form a plasma from the plasma treatment gas mixture, and plasma treating an interior surface of the processing chamber.

Inventors:
ZHANG LIN (US)
LU XUESONG (US)
LE ANDREW V (US)
OH JANG SEOK (US)
HAN XINHAI (US)
Application Number:
PCT/US2017/027020
Publication Date:
November 09, 2017
Filing Date:
April 11, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L21/02; H01J37/32; H01L21/3065; H01L21/67
Foreign References:
JP2001335937A2001-12-07
US20130087174A12013-04-11
US20120040536A12012-02-16
US20030010355A12003-01-16
US20040077511A12004-04-22
Attorney, Agent or Firm:
PATTERSON, B. Todd et al. (US)
Download PDF:
Claims:
Claims:

1 . A method for performing a plasma treatment process after cleaning a plasma process, comprising:

performing a cleaning process in a plasma processing chamber in absent of a substrate disposed therein;

subsequently supplying a plasma treatment gas mixture including at least a hydrogen containing gas and/or an oxygen containing gas into the plasma processing chamber;

applying a RF source power to the processing chamber to form a plasma from the plasma treatment gas mixture; and

plasma treating an interior surface of the processing chamber.

2. The method of claim 1 , further comprising:

performing a seasoning process after plasma treating the interior surface of the processing chamber.

3. The method of claim 1 , wherein the hydrogen containing gas supplied in the plasma treatment gas mixture includes H2, H20, NH3 or N2H2.

4. The method of claim 1 , wherein the oxygen containing gas is selected from a group consisting of 02, H20, N20, N02, O3, CO and CO2.

5. The method of claim 1 , wherein the hydrogen containing gas and the oxygen containing gas are supplied at a flow ratio of between about 1 : 1 and about 1 :20.

6. The method of claim 1 , wherein the hydrogen containing gas is NH3 or H2 and the oxygen containing gas is N2O.

7. The method of claim 1 , wherein supplying the plasma treatment gas mixture further comprises: alternatively supplying the hydrogen containing gas and the oxygen containing gas individually into the plasma processing chamber.

8. The method of claim 2, wherein performing the seasoning process further comprises:

forming a silicon containing seasoning film on the interior surface of the processing chamber.

9. The method of claim 1 , wherein performing the cleaning process further comprises:

supplying a fluorine containing gas to the processing chamber for cleaning.

10. The method of claim 2, wherein supplying the fluorine containing gas further comprises:

generating a remote plasma from the fluorine containing gas prior to delivering to the processing chamber.

1 1 . The method of claim 1 , wherein plasma treating the interior surface of the processing chamber further comprises:

reacting with metal containing contaminants with the hydrogen containing gas supplied from the plasma treatment gas mixture.

12. The method of claim 1 1 , wherein reacting with the metal containing contaminants with the hydrogen containing gas further comprises:

forming an metal oxide on the interior surface by the oxygen containing gas from the plasma treatment gas mixture of the processing chamber.

13. The method of claim 1 1 , wherein the metal containing contaminants is AIF.

14. The method of claim 12, wherein the metal oxide is AI2O3.

15. A method for performing a plasma treatment process after cleaning a plasma process, comprising:

supplying a cleaning gas mixture including a fluorine containing gas supplied form a remote plasma source in a plasma processing chamber;

supplying a plasma treatment gas mixture including oxygen containing gas and hydrogen containing gas to form a plasma from a RF source power generated in the plasma treatment gas mixture to remove metal contaminants from an interior surface of the processing chamber; and

supplying a seasoning film gas mixture to form a seasoning layer on the interior surface of the plasma processing chamber.

Description:
PLASMA TREATMENT PROCESS FOR IN-SITU CHAMBER CLEANING EFFICIENCY ENHANCEMENT IN PLASMA PROCESSING CHAMBER

BACKGROUND

Field

[0001] Embodiments of the present disclosure generally relate to methods and apparatus for in-situ enhancing cleaning efficiency of a plasma processing chamber. Particularly, embodiments of the present disclosure relate to methods and apparatus for a plasma treatment process performed to in-situ enhance cleaning efficiency of a plasma processing chamber after a plasma process.

Description of the Related Art

[0002] Semiconductor processing involves a number of different chemical and physical processes whereby minute integrated circuits are created on a substrate. Layers of materials which make up the integrated circuit are created by chemical vapor deposition, physical vapor deposition, epitaxial growth, chemical treatment, electrochemical process and the like. Some of the layers of material are patterned using photoresist masks and wet or dry etching techniques. The substrate utilized to form integrated circuits may be silicon, gallium arsenide, indium phosphide, glass, or other appropriate material.

[0003] A typical semiconductor processing chamber includes a chamber body defining a process zone, a gas distribution assembly adapted to supply a gas from a gas supply into the process zone, a gas energizer, e.g., a plasma generator, utilized to energize the process gas to process a substrate positioned on a substrate support assembly, and a gas exhaust. During plasma processing, the energized gas is often comprised of ions, radicals and highly reactive species which etches and erodes exposed portions of the processing chamber components, for example, an electrostatic chuck that holds the substrate during processing. Additionally, processing by-products are often deposited on chamber components which must be periodically cleaned typically with highly reactive fluorine. Accordingly, in order to maintain cleanliness of the processing chamber, a periodic cleaning process is performed to remove the by-products from the processing chamber. By-products deposited on chamber components or chamber inner walls are periodically cleaned typically with highly reactive chemicals. Attack from the reactive species during processing and cleaning reduces the lifespan of the chamber components and increase service frequency. Additionally, flakes, such as aluminum fluoride (AIF), from the eroded parts of the chamber component may become a source of particulate contamination during substrate processing. Furthermore, AIF 3 formed on a relatively high temperature component surface during cleaning process could sublimate but later deposit on a relatively low temperature chamber component surface, such as showerhead, after the cleaning process. This residual deposit can result in premature chamber component failure and frequent chamber maintenance. Therefore, promoting plasma resistance of chamber components and reducing damage to the chamber component during processing and cleaning are desirable to increase service life of the processing chamber, reduce chamber downtime, reduce maintenance frequency, and improve product yields.

[0004] Therefore, there is a need for an improved process for maintaining cleanliness of the processing chamber as well as the integrity of the chamber components to increase the lifetime of chamber components.

SUMMARY

[0005] Embodiments of the disclosure include methods for in-situ chamber cleaning efficiency enhancement process for a plasma processing chamber utilized for a semiconductor substrate fabrication process. In one embodiment, a method for performing a plasma treatment process after cleaning a plasma process includes performing a cleaning process in a plasma processing chamber in absent of a substrate disposed therein, subsequently supplying a plasma treatment gas mixture including at least a hydrogen containing gas and/or an oxygen containing gas into the plasma processing chamber, applying a RF source power to the processing chamber to form a plasma from the plasma treatment gas mixture, and plasma treating an interior surface of the processing chamber.

[0006] In another embodiment, a method for in-situ chamber cleaning includes performing a cleaning process in a plasma processing chamber in absent of a substrate disposed therein, in-situ performing a plasma treatment process in the processing chamber, and performing a seasoning process after the plasma treatment process in the processing chamber, wherein the cleaning process, plasma treatment process and the seasoning process are controlled by a single recipe integrated in the plasma processing chamber.

[0007] In yet embodiment, a method for performing a plasma treatment process after cleaning a plasma process includes supplying a cleaning gas mixture including a fluorine containing gas supplied form a remote plasma source in a plasma processing chamber, supplying a plasma treatment gas mixture including oxygen containing gas and hydrogen containing gas to form a plasma from a RF source power generated in the plasma treatment gas mixture to remove metal contaminants from an interior surface of the processing chamber, and supplying a seasoning film gas mixture to form a seasoning layer on the interior surface of the plasma processing chamber.

BRIEF DESCRIPTION OF THE DRAWINGS

[0008] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.

[0009] Figure 1 depicts a schematic diagram of a plasma processing chamber for according to one embodiment of the disclosure; and

[0010] Figure 2 depicts a flow chart of a method for performing a plasma treatment process after a cleaning process according to one embodiment of the disclosure.

[0011] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation. DETAILED DESCRIPTION

[0012] Embodiments of the present disclosure provide methods and apparatus for enhancing cleaning efficiency of an in-situ cleaning process performed in a plasma processing chamber. In one example, the cleaning efficiency of a cleaning process may be enhanced by performing a plasma treatment process after the chamber cleaning process to remove process byproduct residuals from the processing chamber. One example of a plasma treatment gas mixture utilized during the plasma treatment includes a hydrogen containing gas mixture, such as H 2 gas, and/or an oxygen containing gas mixture. After the plasma treatment process, a seasoning process may be then performed to coat a seasoning layer on an interior surface of the processing chamber.

[0013] Figure 1 is a cross sectional view of a plasma processing system 132 suitable for performing a plasma process that may be utilized as semiconductor interconnection structures for semiconductor devices manufacture. The processing system 132 may be a suitably adapted CENTURA ® , Producer ® SE or Producer ® GT or Producer ® XP processing system available from Applied Materials, Inc., of Santa Clara, California. It is contemplated that other processing systems, including those produced by other manufacturers, may benefit from embodiments described herein.

[0014] The processing system 132 includes a chamber body 151 . The chamber body 151 includes a lid 125, a sidewall 101 and a bottom wall 122 that define an interior volume 126.

[0015] A substrate support pedestal 150 is provided in the interior volume 126 of the chamber body 151. The pedestal 150 may be fabricated from aluminum, ceramic, aluminum nitride, and other suitable materials. In one embodiment, the pedestal 150 is fabricated by a ceramic material, such as aluminum nitride, which is a material suitable for use in a high temperature environment, such as a plasma process environment, without causing thermal damage to the pedestal 150. The pedestal 150 may be moved in a vertical direction inside the chamber body 151 using a lift mechanism (not shown).

[0016] The pedestal 150 may include an embedded heater element 170 suitable for controlling the temperature of a substrate 190 supported on the pedestal 150. In one embodiment, the pedestal 150 may be resistively heated by applying an electric current from a power supply 106 to the heater element 170. In one embodiment, the heater element 170 may be made of a nickel- chromium wire encapsulated in a nickel-iron-chromium alloy {e.g., INCOLOY ® ) sheath tube. The electric current supplied from the power supply 106 is regulated by the controller 1 10 to control the heat generated by the heater element 170, thereby maintaining the substrate 190 and the pedestal 150 at a substantially constant temperature during film deposition at any suitable temperature range. In another embodiment, the pedestal may be maintained at room temperature as needed. In yet another embodiment, the pedestal 150 may also include a chiller (not shown) as needed to cool the pedestal 150 at a range lower than room temperature as needed. The supplied electric current may be adjusted to selectively control the temperature of the pedestal 150 between about 100 degrees Celsius to about 700 degrees Celsius.

[0017] A temperature sensor 172, such as a thermocouple, may be embedded in the substrate support pedestal 150 to monitor the temperature of the pedestal 150 in a conventional manner. The measured temperature is used by the controller 1 10 to control the power supplied to the heater element 170 to maintain the substrate at a desired temperature.

[0018] The pedestal 150 generally includes a plurality of lift pins (not shown) disposed therethrough that are configured to lift the substrate 190 from the pedestal 150 and facilitate exchange of the substrate 190 with a robot (not shown) in a conventional manner.

[0019] The pedestal 150 comprises at least one electrode 192 for retaining the substrate 190 on the pedestal 150. The electrode 192 is driven by a chucking power source 108 to develop an electrostatic force that holds the substrate 190 to the pedestal surface, as is conventionally known. Alternatively, the substrate 190 may be retained to the pedestal 150 by clamping, vacuum or gravity.

[0020] In one embodiment, the pedestal 150 is configured as a cathode having the electrode 192 embedded therein coupled to at least one RF bias power source, shown in Figure 1A as two RF bias power sources 184, 186. Although the example depicted in Figure 1A shows two RF bias power sources, 184, 186, it is noted that the numbers of the RF bias power sources may be any number as needed. The RF bias power sources 184, 186 are coupled between the electrode 192 disposed in the pedestal 150 and another electrode, such as a gas distribution plate 142 or ceiling 125 of the processing system 132. The RF bias power source 184, 186 excites and sustains a plasma discharge formed from the gases disposed in the processing region of the processing system 132.

[0021] In the embodiment depicted in Figure 1 , the dual RF bias power sources 184, 186 are coupled to the electrode 192 disposed in the pedestal 150 through a matching circuit 104. The signal generated by the RF bias power source 184, 186 is delivered through matching circuit 104 to the pedestal 150 through a single feed to ionize the gas mixture provided in the plasma processing system 132, thereby providing ion energy necessary for performing a deposition or other plasma enhanced process. The RF bias power sources 184, 186 are generally capable of producing an RF signal having a frequency of from about 50 kHz to about 200 MHz and a power between about 0 Watts and about 5000 Watts.

[0022] A vacuum pump 102 is coupled to a port formed in the bottom 122 of the chamber body 151. The vacuum pump 102 is used to maintain a desired gas pressure in the chamber body 151 . The vacuum pump 102 also evacuates post-processing gases and by-products of the process from the chamber body 151.

[0023] The processing system 132 includes one or more gas delivery passages 144 coupled through the lid 125 of the processing system 132. The gas delivery passages 144 and the vacuum pump 102 are positioned at opposite ends of the processing system 132 to induce laminar flow within the interior volume 126 to minimize particulate contamination.

[0024] The gas delivery passage 144 is coupled to the gas panel 193 through a remote plasma source (RPS) 148 to provide a gas mixture into the interior volume 126. In one embodiment, the gas mixture supplied through the gas delivery passage 144 may be further delivered through a gas distribution plate 142 disposed below the gas delivery passage 144. In one example, the gas distribution plate 142 having a plurality of apertures 143 is coupled to the lid 125 of the chamber body 151 above the pedestal 150. The apertures 143 of the gas distribution plate 142 are utilized to introduce process gases from the gas panel 193 into the chamber body 151. The apertures 143 may have different sizes, number, distributions, shape, design, and diameters to facilitate the flow of the various process gases for different process requirements. A plasma is formed from the process gas mixture exiting the gas distribution plate 142 to enhance thermal decomposition of the process gases resulting in the deposition of material on the surface 191 of the substrate 190.

[0025] The gas distribution plate 142 and substrate support pedestal 150 may be formed a pair of spaced apart electrodes in the interior volume 126. One or more RF sources 147 provide a bias potential through a matching network 145 to the gas distribution plate 142 to facilitate generation of a plasma between the gas distribution plate 142 and the pedestal 150. Alternatively, the RF sources 147 and matching network 145 may be coupled to the gas distribution plate 142, substrate support pedestal 150, or coupled to both the gas distribution plate 142 and the substrate support pedestal 150, or coupled to an antenna (not shown) disposed exterior to the chamber body 151 . In one embodiment, the RF sources 147 may provide between about 10 Watts and about 3000 Watts at a frequency of about 30 kHz to about 13.6 MHz. Alternatively, the RF source 147 may be a microwave generator that provide microwave power to the gas distribution plate 142 that assists generation of the plasma in the interior volume 126.

[0026] Examples of gases that may be supplied from the gas panel 193 may include a silicon containing gas, fluorine continuing gas, oxygen containing gas, hydrogen containing gas inert gas and carrier gases. Suitable examples of the reacting gases includes a silicon containing gas, such as SiH 4 , Si 2 H 6 , SiF 4 , S1H2CI2, S14H10, S15H12, TEOS and the like. Suitable carrier gas includes nitrogen (N 2 ), argon (Ar), hydrogen (H 2 ), alkanes, alkenes, helium (He), oxygen (O2), ozone (O3), water vapor (H 2 O), and the like.

[0027] In one embodiment, the remote plasma source (RPS) 148 may be alternatively coupled to the gas delivery passages 144 to assist in forming a plasma from the gases supplied from the gas panel 193 into the in the interior volume 126. The remote plasma source 148 provides plasma formed from the gas mixture provided by the gas panel 193 to the processing system 132.

[0028] The controller 1 10 includes a central processing unit (CPU) 1 12, a memory 1 16, and a support circuit 1 14 utilized to control the process sequence and regulate the gas flows from the gas panel 193. The CPU 1 12 may be of any form of a general purpose computer processor that may be used in an industrial setting. The software routines can be stored in the memory 1 16, such as random access memory, read only memory, floppy, or hard disk drive, or other form of digital storage. The support circuit 1 14 is conventionally coupled to the CPU 1 12 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bi-directional communications between the controller 1 10 and the various components of the processing system 132 are handled through numerous signal cables collectively referred to as signal buses 1 18, some of which are illustrated in Figure 1 .

[0029] Figure 2 illustrates a method 200 for enhancing a cleaning efficiency after cleaning a plasma processing chamber, such as the plasma processing system 132 depicted in Figure 1. The method 200 includes an in-situ chamber cleaning process that may integrate the cleaning efficiency enhancement process in a single cleaning step {e.g., a single cleaning recipe) according to embodiments of the present disclosure.

[0030] The method 200 begins at operation 202 by performing a cleaning process in the plasma processing chamber. After the plasma processing system 132 may be idled for a period of time or after a plasma process (including a deposition, etching, sputtering, or any plasma associated process) is performed in the plasma processing system 132, a cleaning process may be performed to remove chamber residuals or other contaminants. As the interior of the plasma processing chamber, including chamber walls, substrate pedestal, or other components disposed in the plasma processing system 132, may have film accumulation, by-products or contamination present thereon left over from the previous plasma processes, or flakes that have fallen of chamber inner walls while idling or plasma processing, the cleaning process may be performed to clean the interior surfaces of the plasma processing chamber after a substrate is removed from the processing system 132, or prior to providing a substrate into the plasma processing chamber for subsequent processing.

[0031] The cleaning process removes contaminates and/or film accumulated from the interior of the plasma processing chamber, thus preventing unwanted particles from falling on to the substrate disposed on the substrate pedestal during the subsequent plasma processes. While performing the cleaning process at operation 202, no substrate is present in the plasma processing system 132, e.g., in absence of a substrate disposed therein. The cleaning process is primarily performed to clean chamber components or inner wall/structures in the plasma processing system 132. In some cases, a dummy substrate, such as a clean silicon substrate without film stack disposed thereon, may be disposed in the processing chamber to protect the surface of the substrate pedestal as needed.

[0032] In one example, the cleaning process is performed by supplying a cleaning gas mixture to the processing system 132 to clean the interior of the plasma processing chamber. The cleaning gas mixture includes at least a fluorine containing gas and an inert gas. In one embodiment, the fluorine containing gas as used in the cleaning gas mixture may be selected from a group consisting of NF 3 , SF 6 , HF, CF 4 , and the like. The inert gas may be He or Ar and the like. In one example, the fluorine containing gas supplied in the cleaning gas mixture is NF 3 gas and the inert gas is Ar.

[0033] During the cleaning process at operation 202, several process parameters may be controlled. In one embodiment, the remote plasma source (the RPS source 148 depicted in Figure 1 ) may be supplied to the plasma processing system 132 between about 5000 Watt and about 20000 Watt, such as about 10000 Watts. The RPS power may be may be applied to the processing chamber with or without RF source and bias power. The pressure of the processing chamber may be controlled at a pressure range less than 10 Torr, such as between about 0.1 Torr and about 10 Torr, such as about 4 Torr. It is believed that the low pressure control during the cleaning process may enable the spontaneity of cleaning reaction.

[0034] The fluorine containing gas supplied in the cleaning gas mixture may be supplied into the processing chamber at a flow rate between about 1 seem and about 12000 seem, for example about 2800 seem. The inert gas supplied in the cleaning gas mixture may be supplied into the processing chamber at a flow rate between about 1 seem to about 300 seem, for example about 500 seem.

[0035] At operation 204, after the cleaning process at operation 202, a plasma treatment process is then performed to remove residuals remained in the processing system 132 prior to another cycle of the plasma process performed in the processing chamber. As discussed above, unwanted residuals from the cleaning process, such as chamber flakes resulted from over-cleaning of the chamber components, may be generated or remained in the processing chamber. The plasma treatment process at operation 204 may be performed to assist removing such residuals, particularly aluminum fluoride (AIF 3 ), or other contaminants from the processing system 132 to enhance cleanliness of the processing system 132.

[0036] Experimental results indicated that the hydrogen and oxygen elements, particularly hydrogen element, from the plasma treatment gas mixture assist reacting with metal containing contaminates, such as aluminum fluoride (AIF 3 ), present in the processing chamber, so as to efficiently remove such metal containing contaminates from the interior of the plasma processing chamber.

[0037] A plasma formed from a plasma treatment gas mixture is used to plasma treat interior surfaces of the processing system 132 to efficiently react with aluminum fluoride (AIF 3 ) or other sources of contamination. The contaminants, such as AIF 3 , is energized into into an excited state, such as in radical forms, which may then easily react with plasma treatment gas mixture, forming volatile gas byproducts, such as AIH 3 or HF * which is readily pumped out of the processing system 132. In one example, the plasma treatment gas mixture may include at least one hydrogen containing gas and/or an oxygen containing gas. In another example, the plasma treatment gas mixture may include alternatively supplying a hydrogen containing gas and an oxygen containing gas for a number of cycles to perform the plasma treatment process. When the hydrogen containing gas and the oxygen containing gas are alternatively supplied in the plasma treatment gas mixture, the hydrogen and the oxygen containing gas may be separately and individually supplied with or without an inert gas, such as He or Ar.

[0038] Suitable examples of the hydrogen containing gas H 2 , H 2 0, NH 3 , N 2 H 2 , and the like. Suitable examples of the oxygen containing gas O2, H 2 0, O3, H2O2, N 2 0, NO2, CO, CO2 and the like. In one particular example, a carrier gas or an inert gas may also be supplied into the plasma treatment gas mixture. Suitable examples of the carrier gas include nitrogen (N 2 ), hydrogen (H 2 ), and the like and suitable examples of the inert gas include He or Ar.

[0039] In one particular example, the hydrogen containing gas used in the plasma treatment gas mixture is H 2 or NH 3 . The oxygen containing gas used in the plasma treatment gas mixture is N 2 O or O2. The carrier gas used in the plasma gas mixture is N 2 and the inert gas used in the plasma treatment gas mixture is Ar.

[0040] It is believed that hydrogen containing gas included in the plasma treatment gas mixture during the plasma treatment process provides a high amount of hydrogen elements that reacts with the fluorine elements in the metal containing contaminants, such as aluminum fluoride, forming volatile gas byproducts, such as AIH 3 or HF, which is readily pumped out of the processing system 132. Subsequently, volatile gas byproducts, such as AIH 3 , may further be decomposed as Α or and H 2 gas in the processing chamber. Furthermore, the oxygen elements from the oxygen containing gas may then react with the active metal contaminants, such as the aluminum active species (such as Α or ΑΓ), to form metal oxide, such as aluminum oxide (AI2O3), thus passivating a thin layer on the surfaces of the chamber components so as to prevent the surface of the chamber components from further damage or attack. Thus, by utilizing a plasma treatment gas mixture including at least a hydrogen containing gas and an oxygen containing gas, the interior surface of the processing chamber may be efficiently cleaned.

[0041] In some embodiments, an inert gas (such as Ar or He) or a carrier gas (such as N 2 or N 2 O) may be supplied in the plasma treatment gas mixture. It is believed that the inert gas supplied in the plasma treatment gas mixture may assist increasing the life time of the ions in the plasma formed from the plasma treatment gas mixture. Increased life time of the ions may assist with reacting and activating the aluminum fluoride (AIF 3 ) or other source of contaminants more thoroughly, thereby enhancing the removal of the aluminum fluoride (AIF 3 ) or other source of contaminants from the processing system 132.

[0042] During the plasma treatment process at operation 204, several process parameters may be controlled. In one embodiment, the RF source power, such as the power provided by RF source 147, may be supplied to the plasma processing system 132 between about 50 Watt and about 2500 Watt, such as about 750 Watts. The RF source power may be may be applied to the processing chamber with or without RPS power or RF source bias power. The pressure of the processing chamber may be controlled at a pressure range less than 10 Torr, such as between about 0.1 Torr and about 10 Torr, such as about 4.5 Torr.

[0043] The hydrogen containing gas supplied in the plasma treatment gas mixture may be supplied into the processing chamber at a flow rate between about 1 seem and about 5000 seem, for example about 700 seem. The inert gas, such as Ar gas, supplied in the plasma treatment gas mixture may be supplied into the processing chamber at a flow rate between about 100 seem to about 8000 seem, for example about 3600 seem. The carrier gas, such as N 2 gas, supplied in the plasma treatment gas mixture may be supplied into the processing chamber at a flow rate between about 100 seem to about 5000 seem, for example about 1500 seem. The oxygen containing gas, such as N 2 0 gas, supplied in the plasma treatment gas mixture may be supplied into the processing chamber at a flow rate between about 50 seem to about 50000 seem, for example about 1 1000 seem. In one or more embodiments, the gases added to provide the plasma treatment gas mixture having at least a 1 :30 ratio by flow volume of hydrogen containing gas to oxygen containing gas, such as the ratio between about 1 : 1 and 1 :20, for example about 1 :15.

[0044] It is noted that the amount of each gas introduced into the processing chamber may be varied and adjusted to accommodate, for example, the thickness or the amount of the chamber residuals to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body, as well as the capabilities of the vacuum system coupled to the chamber body. [0045] At operation 206, after the plasma treatment process at operation 204, a seasoning process may be performed. As discussed above, after one or more substrates have been processed in the processing system 132, typically, a cleaning process at operation 202 is performed to remove the deposition byproducts deposited and accumulated in the chamber walls. After the chamber walls has been sufficiently cleaned by the cleaning gases, the plasma treatment process at operation 204 is performed to remove after clean byproduct (AIF) or other containment from the processing chamber to enhance the cleaning efficiency. After the cleaning by-products have been exhausted out of the chamber, a seasoning process at operation 206 is performed in the process chamber. The seasoning process is performed to deposit a seasoning film onto components of the chamber to seal the cleaned or roughened surface of the processing chamber components so as to reduce the contamination that may generate or flake off from the chamber wall during process.

[0046] The seasoning process comprises coating a material, such as the seasoning film, on the interior surfaces of the chamber in accordance with the subsequent deposition process recipe. In other words, the material of the seasoning film may be selected to have similar compositions, or film properties of the film subsequently deposited on the substrate. In one embodiment described herein, seasoning film coated on the interior surfaces of the processing chamber is a silicon oxide layer.

[0047] In one embodiment, the seasoning film may be deposited on the chamber interior surface using a deposition gas mixture substantially identical to the gas mixtures used in the following deposition processes performed in the plasma processing system 132 after the seasoning process. The process parameters for coating the seasoning film may or may not be the same as the subsequent deposition process to meet different process requirements. During the seasoning process, a silicon precursor gas, an oxygen or a nitrogen containing gas and an inert gas may be flown into the plasma processing system 132 where the RF bias power sources 147, 184, 186 provide radio frequency energy to activate the precursor gas and enables a season film deposition process. [0048] In an exemplary embodiment wherein the deposition process is configured to deposit a silicon oxide film, a gas mixture including at least a silicon precursor, an oxygen containing gas and an inert gas, such as argon or a helium gas, may be supplied to the processing system 132 for seasoning film deposition. Silicon precursor as utilized may be SiH 4 gas or TEOS gas. Alternatively, in another exemplary embodiment wherein the deposition process is configured to deposition a silicon nitride film, a gas mixture including at least a silicon precursor, a nitrogen containing gas and an inert gas may be supplied to the processing system 132 for seasoning film deposition.

[0049] The RF power and gas flow rate may be adjusted to deposit the seasoning film with different silicon to oxide ratio, thereby providing a good adhesion to the subsequent to-be-deposited deposition film. Furthermore, the RF power and gas flow rate may be adjusted to control the deposition rate of the seasoning film, thereby efficiently depositing the seasoning film with a desired range of thickness to provide good protection and adhesion to the underlying chamber components, chamber parts and to-be-deposited. In one embodiment, the seasoning process may be performed for about 1 seconds to about 200 seconds to form a seasoning film having a thickness greater than 20000A.

[0050] Accordingly, methods and apparatus for performing an in-situ plasma treatment process after a cleaning process are provided to enhance cleaning efficiency of a plasma processing chamber without breaking vacuum. The methods includes a plasma treatment process utilizing a hydrogen containing gas and an oxygen containing gas to assist removing over-cleaning residuals or other sources of contaminants in the processing chamber and after a plasma cleaning process is performed but prior to a chamber seasoning process. The in-situ plasma treatment process may efficiently remove the residuals, including metal contaminants, such as AIF, from the interior of the plasma processing chamber, thereby maintaining the plasma processing chamber in a desired clean condition and producing high quality of semiconductor devices without particular pollution.

[0051] While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.