Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
RESIST FORTIFICATION FOR MAGNETIC MEDIA PATTERNING
Document Type and Number:
WIPO Patent Application WO/2012/015740
Kind Code:
A2
Abstract:
A method and apparatus for forming magnetic media substrates is provided. A patterned resist layer is formed on a substrate having a magnetically susceptible layer. A conformal protective layer is formed over the patterned resist layer to prevent degradation of the pattern during subsequent processing. The substrate is subjected to an energy treatment wherein energetic species penetrate portions of the patterned resist and conformal protective layer according to the pattern formed in the patterned resist, impacting the magnetically susceptible layer and modifying a magnetic property thereof. The patterned resist and conformal protective layers are then removed, leaving a magnetic substrate having a pattern of magnetic properties with a topography that is substantially unchanged.

Inventors:
BENCHER CHRISTOPHER D (US)
GOUK ROMAN (US)
VERHAVERBEKE STEVEN (US)
XIA LI-QUN (US)
LEE YONG-WON (US)
SCOTNEY-CASTLE MATTHEW D (US)
HILKENE MARTIN A (US)
PORSHNEV PETER I (US)
Application Number:
PCT/US2011/045190
Publication Date:
February 02, 2012
Filing Date:
July 25, 2011
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
BENCHER CHRISTOPHER D (US)
GOUK ROMAN (US)
VERHAVERBEKE STEVEN (US)
XIA LI-QUN (US)
LEE YONG-WON (US)
SCOTNEY-CASTLE MATTHEW D (US)
HILKENE MARTIN A (US)
PORSHNEV PETER I (US)
International Classes:
G11B5/84
Foreign References:
US20030104252A12003-06-05
US20090145879A12009-06-11
JP2009205777A2009-09-10
JP2009116949A2009-05-28
Attorney, Agent or Firm:
PATTERSON, B., Todd et al. (L.L.P.3040 Post Oak Blvd., Suite 150, Houston Texas, US)
Download PDF:
Claims:
What is claimed is:

1 . A method of forming a patterned magnetic substrate, comprising: forming a patterned resist having thick portions and thin portions on a magnetically active surface of a substrate; forming a stabilizing layer over the patterned resist; exposing portions of the magnetically active surface to directed energy through the stabilizing layer and the thin portions of the patterned resist; and modifying a magnetic property of the exposed portions of the magnetically active surface to form the patterned magnetic substrate.

2. The method of claim 1 , wherein forming the patterned resist comprises a physical patterning process.

3. The method of claim 1 , wherein forming the stabilizing layer comprises depositing a silicon containing layer over the patterned resist at a temperature below about 150°C.

4. The method of claim 3, wherein depositing the silicon containing layer over the patterned resist comprises: providing a silicon precursor and an oxidizing gas to a processing chamber; maintaining a temperature of the substrate below about 150°C; and activating the oxidizing gas..

5. The method of claim 4, wherein the processing chamber is a plasma immersion chamber.

6. The method of claim 5, wherein the processing chamber comprises remote plasma source.

7. The method of claim 1 , wherein the directed energy comprises fluorine containing ions.

8. The method of claim 1 , wherein exposing portions of the magnetically active surface to directed energy through the stabilizing layer and the thin portions comprises: providing a fluorine containing gas mixture to a processing chamber with an inductive plasma source; generating a plasma from the fluorine containing gas; and directing ions from the plasma toward the substrate at an energy selected to penetrate the thin portions of the resist but not the thick portions of the resist.

9. The method of claim 1 , wherein exposing portions of the magnetically active surface to directed energy through the stabilizing layer and the thin portions comprises: generating a fluorine containing ion beam; and directing the fluorine containing ion beam toward the substrate, wherein the ions have an average kinetic energy selected to penetrate the thin portions of the resist but not the thick portions of the resist.

10. The method of claim 1 , further comprising removing the stabilizing layer and the patterned resist by exposing the substrate to a fluorine containing gas.

1 1. A method of forming a patterned magnetic substrate, comprising: forming a magnetically active layer on a structural substrate; forming a pattern transfer layer on the magnetically active layer; patterning the pattern transfer layer by a physical patterning process; forming a conformal silicon-containing protective layer over the pattern transfer layer by an atomic layer deposition process; and modifying the magnetic properties of the magnetically active layer according to the pattern formed in the pattern transfer layer by exposing the substrate to energy selected to penetrate portions of the pattern transfer layer.

12. A substrate having a magnetically susceptible layer, the magnetically susceptible layer comprising: a first plurality of domains having a magnetic property with a first value; a second plurality of domains having a second value of the magnetic property; and a transition region between the first plurality of domains and the second plurality of domains, the transition region having a dimension less than about 2nm, wherein each of the first plurality of domains and the second plurality of domains has a dimension less than about 25 nm.

13. A magnetic media substrate formed by a process comprising: forming a magnetically active layer on a structural substrate; forming a patterned resist having thick portions and thin portions in contact with the magnetically active layer; forming a conformal stabilizing layer over the patterned resist; exposing portions of the magnetically active surface to directed energy through the stabilizing layer and the thin portions of the patterned resist; modifying a magnetic property of the exposed portions of the magnetically active surface to form a patterned magnetic substrate; and removing the patterned resist and the stabilizing layer.

14. An apparatus for processing a substrate, comprising: a substrate handing portion coupled to a substrate processing portion by one or more load-lock chambers, the substrate processing portion comprising a PEALD chamber and one or more plasma immersion chambers coupled to a transfer chamber, and the substrate handling portion comprising a loading portion, a transfer portion, a flipping portion, and an interface portion.

15. The apparatus. of claim 14, wherein the substrate processing portion further comprises a plasma cleaning chamber.

Description:
RESIST FORTIFICATION FOR MAGNETIC MEDIA PATTERNING

FIELD

[0001] "Embodiments described herein relate to methods of manufacturing magnetic media. More specifically, embodiments described herein relate to patterning of magnetic media by plasma exposure.

BACKGROUND

[0002] Magnetic media are used in various electronic devices such as hard disk drives and magnetoresistive random access memory (MRAM) devices. Hard-disk drives are the storage medium of choice for computers and related devices. They are found in most desktop and laptop computers, and may also be found in a number of consumer electronic devices, such as media recorders and players, and instruments for collecting and recording data. Hard-disk drives are also deployed in arrays for network storage. MRAM devices are used in various non-volatile memory devices, such as flash drives and dynamic random access memory (DRAM) devices.

[0003] Magnetic media devices store and retrieve information using magnetic fields. The disk in a hard-disk drive is configured with magnetic domains that are separately addressable by a magnetic head. The magnetic head moves into proximity with a magnetic domain and alters the magnetic properties of the domain to record information. To recover the recorded information, the magnetic head moves into proximity with the domain and detects the magnetic properties of the domain. The magnetic properties of the domain are generally interpreted as corresponding to one of two possible states, the "0" state and the "1 " state. In this way, digital information may be recorded on the magnetic medium and recovered thereafter.

[0004] Magnetic storage media typically comprise a non-magnetic glass, composite glass/ceramic, or metal substrate with a magnetically susceptible material between about 100 nm and about 1 μηη thick deposited thereon by a deposition process, commonly a PVD or CVD process. In one process, a layer comprising cobalt and platinum is sputter deposited on a structural substrate to form a magnetically active layer. The magnetically susceptible layer is generally either deposited to form a pattern, or is patterned after deposition, such that the surface of the device has areas of magnetic susceptibility interspersed with areas of magnetic inactivity denominated by orientation of their quantum spin. Where domains with different spin orientations meet, there is a region referred to as a Bloch wall in which the spin orientation goes through a transition from the first orientation to the second. The width of this transition region limits the areal density of information storage because the Bloch wall occupies an increasing portion of the total magnetic domain.

[0005] To overcome the limit due to Bloch wall width in continuous magnetic thin films, the domains can be physically separated by a non-magnetic region (which can be narrower than the width of a Bloch wall in a continuous magnetic thin film). Conventional approaches to creating discrete magnetic and non-magnetic areas on a medium have focused on forming single bit magnetic domains that are completely separate from each other, either by depositing the magnetic domains as separate islands or by removing material from a continuous magnetic film to physically separate the magnetic domains. A patterned mask may be applied to a nonmagnetic substrate, and a magnetic material deposited over exposed portions of the non-magnetic substrate, or the magnetic material may be deposited before masking and patterning, and then etched away in exposed portions. By one method, the non-magnetic substrate is topographically patterned by etching or scribing, and the magnetically susceptible material deposited by spin-coating or electroplating. The disk is then polished or planarized to expose the non-magnetic boundaries around the magnetic domains. In some cases, the magnetic material is deposited in a patterned way to form magnetic grains or dots separated by a non-magnetic area.

[0006] Such methods are expected to yield storage structures capable of supporting data density up to about 1 TB/in 2 , with individual domains having dimensions as small as 20 nm. All such methods typically result in significant surface roughness of the medium. Altering the topography of the substrate can become limiting because the read-write head of a typical hard-disk drive may fly as close as 2 nm from the surface of the disk. Thus, there is a need for a process or method of patterning magnetic media that has high resolution and does not alter the topography of the media, and an apparatus for performing the process or method efficiently for high volume manufacturing.

SUMMARY

[0007] Embodiments described herein provide a method of forming a patterned magnetic substrate by forming a patterned resist having thick portions and thin portions on a magnetically active surface of a substrate, forming a stabilizing layer over the patterned resist, exposing portions of the magnetically active surface to directed energy through the stabilizing layer and the thin portions of the patterned resist, and modifying a magnetic property of the exposed portions of the magnetically active surface to form the patterned magnetic substrate.

[0008] Other embodiments provide a method of forming a patterned magnetic substrate by forming a magnetically active layer on a structural substrate, forming a pattern transfer layer on the magnetically active layer, patterning the pattern transfer layer by a physical patterning process, forming a conformal protective layer over the pattern transfer layer, and modifying the magnetic properties of the magnetically active layer according to the pattern formed in the pattern transfer layer by exposing the substrate to energy selected to penetrate portions of the pattern transfer layer.

[0009] Other embodiments include a substrate having a magnetically susceptible layer, the magnetically susceptible layer having a first plurality of domains having a magnetic property with a first value, a second plurality of domains having a second value of the magnetic property, and a transition region between the first plurality of domains and the second plurality of domains, the transition region having a dimension less than about 2nm, wherein each of the first plurality of domains and the second plurality of domains has a dimension less than about 25 nm.

[0010] Other embodiments include a magnetic media substrate made by forming a magnetically active layer on a structural substrate, forming a patterned resist having thick portions and thin portions in contact with the magnetically active layer, forming a conformal stabilizing layer over the patterned resist, exposing portions of the magnetically active surface to directed energy through the stabilizing layer and the thin portions of the patterned resist, modifying a magnetic property of the exposed portions of the magnetically active surface to form a patterned magnetic substrate, and removing the patterned resist and the stabilizing layer.

[0011] Other embodiments provide an apparatus for processing a substrate, the apparatus having a substrate handing portion coupled to a substrate processing portion by one or more load-lock chambers, the substrate processing portion comprising a PEALD chamber and one or more plasma immersion chambers coupled to a transfer chamber, and the substrate handling portion comprising a loading portion, a transfer portion, and an interface portion.

BRIEF DESCRIPTION OF THE DRAWINGS

[0012] So that the manner in which the above-recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

[0013] Figure 1 is a flow diagram summarizing a method according to one embodiment.

[0014] Figure 2A is a schematic side view of a device according to another embodiment.

[0015] Figure 2B is a schematic side view of a device according to another embodiment.

[0016] Figure 2C is a graph illustrating a magnetic property of the device of Figure 2B

[0017] Figure 3 is a flow diagram summarizing a method according to another embodiment.

[0018] Figure 4 is a plan view of an apparatus according to another embodiment. [0019] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.

DETAILED DESCRIPTION

[0020] Embodiments described herein generally provide methods and apparatus for forming a patterned magnetic substrate that may be used for any purpose to which such substrates may be directed, including magnetic storage. Some embodiments form substrates for hard disk drives, while other embodiments may form static storage devices such as MRAM devices.

[0021] Figure 1 is a flow diagram summarizing a method 100 according to one embodiment. The method 100 of Figure 1 is used to form a substrate having a pattern of magnetic properties defined according to a pattern formed in a resist layer applied to the substrate and then subsequently removed. The pattern of magnetic properties results in a substrate with magnetic domains having a dimension less than about 25 nm with very smooth topography.

[0022] In Figure 1 , a patterned magnetic substrate is produced by forming a patterned resist layer on a substrate having a magnetically active layer, at 102. The substrate is a structural substrate having mechanical strength to support the overlying layers. Substrates used are generally metal, glass, or a carbon material such as a polymer or composite, and may be metal alloys or composite glass substances such as glass/ceramic blends. The substrate is generally magnetically impermeable with diamagnetic, or only very weak paramagnetic, properties. For example, in some embodiments, the magnetic susceptibility of the base layer is below about 10 "4 (the magnetic susceptibility of aluminum is about 1 .2x10 "5 ).

[0023] The substrates are generally coated with a magnetically susceptible material that provides a medium for magnetic patterning. The magnetically susceptible material may be formed in multiple layers, each layer having the same or different composition. In one embodiment, a first layer of soft magnetic material having weak magnetic properties, such as coercivity or susceptibility, is formed over the base substrate, and a second layer of hard magnetic material having stronger magnetic properties is formed over the first layer. In some embodiments, each layer comprises one or more elements selected from the group consisting of cobalt, platinum, nickel, molybdenum, chromium, tantalum, iron, terbium, and gadolinium. In one embodiment, the magnetically susceptible layer comprises a first layer of iron or iron/nickel alloy having a thickness between about 100 nm and about 1 ,000 nm (1 pm) and a second layer comprising two sub-layers, each having a thickness between about 30 nm and about 70 nm, such as about 50 nm, and each comprising chromium, cobalt, and platinum. These layers may be formed by any suitable method known to the art, such as physical vapor deposition, or sputtering, chemical vapor deposition, plasma-enhanced chemical vapor deposition, spin-coating, plating by electrochemical or electroless means, and the like.

[0024] The patterned resist layer is formed by applying a resist material to the substrate and patterning the resist layer by a physical or lithographic patterning process capable of producing features having a dimension of about 50 nm or less in some embodiments, 25 nm or less in some embodiments, and 10 nm or less in some embodiments. The resist material is a material that can be readily removed without affecting the underlying magnetically susceptible material, or a material that may be left in the finished device without adversely affecting its properties. For example, in many embodiments, the resist material is soluble in a solvent liquid, such as water or hydrocarbon. In some embodiments, the resist material is applied to the substrate as a curable liquid, patterned by physical imprint with a template, and cured by heating or UV exposure. In other embodiments, the resist material is applied to the template and at least partially cured before applying the coated template to the substrate to transfer the resist material to the substrate. The resist material is generally also resistant to degradation by incident energy or energetic ions. In some embodiments, the resist material is a curable material, such as an epoxy or thermoplastic polymer, that will flow prior to being cured and will provide some resistance to energetic processes after curing. [0025] The template is generally formed from a durable material that will retain its shape through multiple cycles of imprinting a mask material. In some embodiments, the template comprises aluminum. Features formed on the template may have dimension less than about 50 nm, such as less than about 25 nm, or even less than about 10 nm. In some embodiments, features having dimension between about 1 nm and about 10 nm may be formed in the template. The very small dimension features may be formed using any process suitable for forming such small features in a substrate. One example of such a process is electron beam writing. Ion beam or molecular beam writing may be used in some other embodiments.

[0026] The patterned resist material defines masked and unmasked portions of the magnetically susceptible layer. The pattern formed in the resist material generally results in portions of the magnetically susceptible layer covered by a thin layer of resist material, or no resist material, and other portions covered by a thick layer of resist material. The portions covered by a thin layer, or no layer, of resist correspond to the unmasked portions, and may be subsequently treated by exposure to a processing environment selected to penetrate the thin resist layer without penetrating the thick resist layer. In some embodiments, the thick resist layer may have a thickness between about 50 nm and about 150 nm, such as between about 60 nm and about 100 nm, for example about 80 nm. In some embodiments, the thin resist layer may have a thickness between about 0 nm and about 20 nm, such as between about 2 nm and about 10 nm, for example about 5 nm.

[0027] A suitable resist material for practicing embodiments described herein is the Monomat resist available from Molecular Imprints, Inc., of Austin, TX. The Monomat resist may be used in an imprinting process as described above implemented using one of the J-FIL™ imprinters, also available from Molecular Imprints, Inc.

[0028] In other embodiments, the resist material may be a photoresist material such as the Advanced Patterning Film amorphous carbon resist material applied using a CVD process implemented on the PRODUCER ® CVD system available from

Applied Materials, Inc., of Santa Clara, California. [0029] At 104, a protective layer is formed over the patterned resist. The protective layer reduces or prevents damage to the resist layer, and resulting pattern degradation, during subsequent processing. The protective layer is generally applied in a conformal manner to preserve the pattern of thick and thin coverage regions that defines the areas to be treated and the areas to be protected during treatment.

[0030] The protective layer, which may be a stabilization layer to stabilize the patterned resist during processing, is a silicon containing layer in some embodiments. In one aspect, the layer may protect the thick coverage regions of the patterned resist from excessive bombardment by energetic species during processing. The energetic species will change the shape and/or thickness of the thick coverage regions, changing or reducing the degree of protection afforded to the portions of the magnetically susceptible layer below the thick coverage regions, which may in turn degrade the pattern. In another aspect, the layer may stabilize the patterned resist during processing by providing a relatively hard boundary to contain the patterned resist layer during processing, preventing migration of resist material from the thick coverage regions to the thin coverage regions, which would also degrade the pattern.

[0031] The layer generally comprises silicon, and may comprise one or more elements from the group consisting of oxygen, nitrogen, carbon, or any mixture thereof. The layer may comprise silicon oxide, silicon carbide, silicon nitride, silicon oxycarbide, silicon oxynitride, or SiOCN. The layer may also contain hydrogen in some embodiments. In other embodiments, the protective layer may be a doped silicon layer or a doped carbon layer. For example, a silicon layer doped with carbon, oxygen, nitrogen, or a combination thereof, may be used, or a carbon layer doped with silicon may be used.

[0032] The protective layer is generally very thin. The patterned resist features openings having a dimension that defines the pattern pitch. In a pattern having a standard feature size, the pattern pitch is the standard feature size. The layer is usually deposited to a thickness that is less than ¼ the pattern pitch to preserve the openings defined by the pattern. In a pattern having different feature sizes, the layer will be deposited to a thickness that is less than ¼ the smallest feature size. In some embodiments, the layer has a thickness that is less than about 10 nm, such as between about 2nm and about 5 nm or less than about 2 nm, for example about 1 nm or about 3 nm.

[0033] A conformal protective layer may be formed using any process adapted to deposit thin conformal films, such as conformal CVD, cyclical CVD, pulsed CVD, or ALD. In-situ plasma is typically not used, but remote plasma may be used in some embodiments. A low temperature process is preferred to avoid thermal damage to the patterned resist layer or the magnetically susceptible layer. The conformal protective layer is generally formed at a temperature less than about 150°C, such as between about 20 ° C and about 100°C, or between about 30 ° C and about 80°C, for example about 50 ° C. In alternate embodiments, the layer may be formed at an ambient temperature, for example between about 10°C and about 30°C, such as room temperature. A conformal protective layer may be formed using a PRODUCER CVD or ALD chamber, or using a P3I™ chamber, also available from Applied Materials, Inc.

[0034] Suitable precursors are those that may be maintained in the vapor phase at the temperatures described above and at the low pressures characteristics of vapor deposition processes. Silicon containing precursors and oxygen or nitrogen containing precursors are used to form the conformal layer. Ozone is used as the oxygen containing precursor in many embodiments. Ozone may be activated by heating, either remotely or in the chamber by contact with heated chamber surfaces, such as chamber walls or gas distribution plenums. Additionally, suitable precursors are susceptible to being activated using a remote plasma generator operating at power levels between about 50 W and about 3,000 W. At least one silicon precursor is used to react with oxygen or nitrogen containing species to deposit the protective layer. The silicon precursor may also be a carbon source in some embodiments. In other embodiments, a separate carbon source may be provided. Suitable silicon source compounds for low temperature deposition of the type described above include bis-diethylamino silane. Chamber pressure is typically maintained between about 2 Torr and about 100 Torr, and may be adjusted to control conformality of the deposited layer.

[0035] In one exemplary atomic layer deposition process for forming a conformal protective layer, half-reactions are performed to deposit half-layers, as is known in the ALD art. A silicon containing precursor is provided to a chamber containing the substrate to be processed to form a silicon containing half-layer, and then an oxygen containing precursor is provided to complete the layer. The substrate has a magnetically susceptible layer, and a patterned resist layer formed on the magnetically susceptible layer, as described above. The silicon-containing precursor is a compound or gas mixture that may be maintained as a vapor at the processing temperatures used for the deposition process.

[0036] For a CVD process using active oxygen species, the silicon containing precursor may be selected from the group consisting of octamethylcyclotetrasiloxane (OMCTS), methyldiethoxysilane (MDEOS), bis(tertiary- butylamino)silane (BTBAS), bis(diethylamino)silane (BDEAS), tris(dimethylamino)silane (TDMAS), bis(dimethylamino)silane (BDMAS), bis(ethyl- methylamino)silane (BEMAS), tetramethyl orthosilicate (TMOS) timethylsilane (TMS) tetraethyl orthosilicate (TEOS), and combinations thereof. In one CVD embodiment, BDEAS is a preferred silicon-containing precursor. Gases that are optionally introduced into the chamber at the same time as the silicon-containing precursor include carrier gases, such as helium, nitrogen, oxygen, nitrous oxide, and argon. Ozone mixed with oxygen or active oxygen and/or nitrogen radicals generated by a remote plasma source are the preferred reactive gases. A remote plasma may be formed by providing oxygen and/or nitrogen gas to a remote plasma generator and coupling RF power between about 50 W and about 3,000 W, at a frequency of 3.56 MHz and/or 350 KHz, into the generator.

[0037] For an ALD process using active oxygen or nitrogen species, the silicon containing precursor may be selected from the group consisting of dichlorosilane (DCS), trichlorosilane (TCS), silicon tetrachloride, dibromosilane, silicon tetrabromide, BDEAS, OMCTS, trisilamine (TSA), silane, disilane, and combinations thereof. [0038] The silicon containing precursor may be introduced into the chamber at a flow rate of between about 5 seem and about 1000 seem. An optional carrier gas, e.g., helium, may be introduced into the chamber at a flow rate of between about 100 seem and about 20000 seem. The ratio of the flow rate of the silicon containing precursor, e.g., BDEAS, to the flow rate of the carrier gas, e.g., helium, into the chamber is about 1 :1 or greater, such as between about 1 :1 and about 1 :100. The chamber pressure may be greater than about 5 mTorr, such as between about 1.8 Torr and about 100 Torr, and the temperature of a substrate support in the chamber may be between about 10°C and about 100°C while the silicon-containing precursor is flowed into the chamber to deposit the layer. More particularly, the temperature is between about 30°C and about 80°C. The silicon-containing precursor may be flowed into the chamber for a period of time sufficient to deposit a layer having a thickness of between about 5 A and about 200 A. For example, the silicon- containing precursor may be flowed into the chamber for between about 0.1 seconds and about 60 seconds.

[0039] The silicon containing precursor deposits a silicon containing half-layer conformally on the substrate, covering the patterned resist in the masked areas and the unmasked areas, including vertical and horizontal surfaces of the patterned resist layer. If the unmasked areas are free of resist material, for example if the magnetically susceptible layer is exposed in the unmasked areas, the silicon containing half-layer covers the magnetically susceptible layer in the unmasked areas. The silicon containing half-layer may be a monolayer or an atomic layer of silicon or silicon species.

[0040] A reactive oxygen containing gas, such as ozone, an ozone/oxygen mixture, oxygen radicals, and the like, is introduced into the chamber and reacts with the silicon containing half-layer to produce a conformal silicon oxide layer. In one embodiment, a gas mixture of between about 0.5% and about 10% by volume of ozone in oxygen is introduced into the chamber at a flow rate of between about 100 seem and about 20000 seem. The ozone/oxygen mixture may be activated by contacting with a chamber surface, such as a chamber wall, gas distributor or showerhead, controlled at a temperature between about 70°C and about 300°C, for example between about 100°C and about 180 ° C. The chamber pressure may be between about 5 mTorr and about 100 Torr, and the temperature of a substrate support in the chamber may be between about 10°C and about 100°C, for example between about 30°C and about 80°C while the ozone/oxygen gas is flowed into the chamber.

[0041] In an ALD embodiment, the silicon precursor is provided to the chamber and allowed to deposit on the surface of the substrate until all deposition sites are consumed. Then an active oxygen or nitrogen species is provided to the chamber to react with the silicon precursor deposited on the substrate surface to form a conformal silicon oxide layer. The thickness of the conformal silicon oxide layer is determined, and if a higher thickness is desired, the process of exposure to the silicon containing precursor and the oxygen containing gas may be repeated until a target thickness is reached. The chamber is purged with a purge gas, substantially removing all oxygen containing species from the chamber, and the layer formation cycle repeated if desired. The conformal silicon oxide layer serves as a protective layer, which may have a thickness between about 10 A and about 200 A, such as between about 20 A and about 50 A. A thin conformal protective layer provides resistance against damage during subsequent processing while preserving the pattern formed in the resist layer.

[0042] Nitrogen and carbon precursors may also be used in a cyclical deposition process similar to that described above. Nitrogen source compounds such as ammonia (NH 3 ) or amines (R x H y N, x>0, x+y=3), hydrazine (H 2 N 2 ), substituted hydrazines (R x H y N 2 , x>0, x+y=2), or diamines (R[NH x R' y ] 2 , x+y=3), hydrazoic acid (HN 3 ) or azides (RN 3 ), and aminosilanes (SiH x R y [NH a R'b]z, x+y+z=4, a+b=3) may be used to provide nitrogen. Lower hydrocarbons such as methane (CH 4 ), ethane (C 2 H 6 ), propane (C 3 H 8 ), ethylene (C 2 H ), propylene (C 3 H 6 ), and acetylene (C 2 H 2 ) may serve as carbon sources. In addition, various organosilicon compounds, for example alkylsilanes and disilanes, may serve as carbon sources.

[0043] In some embodiments, dopants such as carbon and nitrogen may be used to control the density of the conformal protective layer, which, along with thickness of the layer, controls the extent of energetic species impacting the patterned resist layer during subsequent processing. A carbon source may be included with the process gas mixture for depositing the conformal protective layer to introduce carbon into the layer. In some embodiments, the conformal protective layer may be subjected to a post-processing step to remove dopants such as carbon from the layer.

[0044] In some embodiments, adhesion of the conformal protective layer to the patterned resist layer during plasma processing may also be enhanced by forming a transition layer comprising silicon and carbon between the patterned resist layer and the conformal protective layer. A carbon source may be added to the process gas mixture for a first duration, during which a silicon and carbon containing layer is deposited, and then the carbon source may be discontinued for a second duration to form a carbon-free layer. The silicon and carbon containing layer may improve adhesion to the carbon containing resist layer by material similarity.

[0045] The foregoing operations form a patterned resist layer over the magnetically susceptible layer, and a conformal protective layer over the patterned resist layer. The patterned resist and protective layer have thick areas and thin areas that define regions of the magnetically susceptible layer to be treated with energy. Regions of the magnetically susceptible layer adjacent to thin areas of the resist and protective layers are treated with energy to change a magnetic property of the magnetically susceptible layer in those regions.

[0046] At 106, energy is directed toward the surface of the substrate to modify a magnetic property of the magnetically susceptible layer in the unmasked zones.

The energy may be delivered as ions, as neutral particles, or as radiation. The ions may be small ions with low atom count, such as less than about 10 atoms each, for example molecular ions, or the ions may be large ions having about 0 atoms each or more, for example macromolecular ions or cluster ions. The neutral particles may be neutralized species of any of the types of ions described above, or may be radical species. The radiation may be laser or electron beam radiation. The energy type and mode of delivery is generally selected to penetrate the resist and protective layer in the unmasked areas of the substrate while not penetrating the resist and protective layer in the masked areas. As described above, dopants may be included in the protective layer to adjust the energy penetrating properties of the protective layer. Depending on thickness and density of the patterned resist layer thick and thin portions and the protective layer, energetic species having average kinetic energy between about 100 eV and about 10 keV may be used to modify a magnetic property of the substrate.

[0047] At 108, a magnetic property of selected regions of the magnetically active layer, as defined by the unmasked portions thereof resulting from the pattern of the resist layer, is modified by the directed energy. The energetic species penetrate into the magnetically active layer in the unmasked portions, disrupting alignment of atomic and/or molecular magnetic moments to change magnetic coercivity, susceptibility, or other magnetic properties in the unmasked portions. In some embodiments, the magnetically susceptible layer is demagnetized in the unmasked portions, resulting in no detectable residual magnetic field in the unmasked portions. In other embodiments, magnetization is reduced between about 50% and about 95%.

[0048] At 1 10, the protective layer and patterned resist layer are removed. Any process that removes the layers without altering or damaging the magnetic pattern formed in the magnetically susceptible layer may be used. In one instance, a fluorine-containing plasma may be used to strip the protective layer and the patterned resist in a single operation. Materials such as CF 4 , BF 3 , and SiF 4 , are provided to a plasma chamber containing the substrate, along with an oxidizing gas such as 0 2 , 0 3 , N0 3 , CO, or H 2 O, and a reducing gas such as H 2 or NH 3 . The gases may be activated remotely or in situ by applying dissociate energy such as RF energy to the gases. In one embodiment, RF energy is coupled into the gas mixture using an inductive plasma source. The fluorine-containing oxidizing/reducing mixture thus generated etches the silicon-containing protective layer and the patterned resist layer without etching the magnetically susceptible layer.

[0049] Figure 2A is a schematic side view of a device 200 according to another embodiment. The device 200 is a magnetic media device at an intermediate stage of processing, and may be formed using any of the embodiments described herein.

The device 200 has a magnetically susceptible layer 204 formed on a structural substrate 202. The magnetically susceptible layer 204 and the structural substrate 202 may comprise any of the materials or descriptions of such layers included herein. A patterned resist layer 206 having thick coverage portions 206A and thin coverage portions 206B is formed in contact with the magnetically susceptible layer 204. The pattern may be formed by any suitable process, including physical and lithographic patterning techniques, as described herein. The pattern has a pitch "d" corresponding to a minimum dimension of a thick or thin coverage region of the patterned resist. A conformal protective layer 208 is formed over the patterned resist layer 206 by processes described herein. The conformal protective layer 208 has a thickness "t" that is no more than 25% of the pattern pitch "d". In some embodiments, the thickness "t" of the conformal protective layer 208 is between about 1 % and about 25% of the pattern pitch "d", such as between about 5% and about 20%, for example about 15%. The thickness "t" being less than about 25% of the pattern pitch "d" preserves the function of the pattern, allowing energetic species to impact the magnetically susceptible layer 204 in areas covered by the thin coverage portions 206B and blocking the energetic species in areas covered by the thick coverage portions 206A.

[0050] Figure 2B is a schematic side view of a device 216 according to another embodiment. The device 216 is a magnetic media device that may be formed using the processes described herein, and which may be prepared from the intermediate stage device 200 of Figure 2A. The device 216 comprises the structural substrate 202 as in the device 200 of Figure 2A. A patterned magnetically susceptible layer 210 contacts the structural substrate 202, and comprises a pattern of magnetic properties. A first domain 21 OA of the magnetically susceptible layer 210 has a magnetic property with a first value, and a second domain 210B of the magnetically susceptible layer 210 has a second value of the magnetic property, detectably different from the first value by statistically significant measurement. The first domain 21 OA may also be implanted with dopants such as boron, fluorine, silicon, carbon, nitrogen, oxygen, and the like, while the second domain 210B may be substantially free of such dopants. The first domain 21 OA may be doped with any of the aforementioned dopants to a concentration between about 10 16 and about 10 22 atoms/cm 3 . [0051] A contact prevention layer 212 is formed over the patterned magnetically susceptible layer 210 to prevent the patterned magnetically susceptible layer 210 from contacting any operating equipment during read/write operations, and a lubricating layer 214 is formed over the contact prevention layer 212 to protect the read/write head from damage in the event of contact with the device 216. The contact prevention layer is typically deposited but may be formed by a coating method in some embodiments. The contact prevention layer is generally magnetically inactive, and may be a carbon containing layer, such as amorphous carbon, diamond-like carbon, or carbon nitride, in some embodiments. The lubricating layer may be a lubricious polymer, such as a fluoropolymer, and may be formed by any convenient method such as deposition or coating.

[0052] It should be noted that the device 216 may be made by subjecting the device 200 of Figure 2A to energetic species selected to penetrate the thin coverage portions 206B of the patterned resist layer 206 while not penetrating the thick coverage portions 206A, thus changing a magnetic property of the magnetically susceptible layer 204 covered by the thin coverage portions 206B, removing the patterned resist layer 206 and the conformal protective layer 208, and adding the contact prevention and lubrication layers 212 and 214 of Figure 2B.

[0053] Figure 2C is a graph illustrating a magnetic property of the magnetically susceptible layer 210 in the device 216 of Figure 2B. The axis 230 shows the value of a magnetic property, for example residual magnetism or magnetic coercivity. The axis 218 denotes a physical dimension parallel to the plane defined by the magnetically susceptible layer 210. The value of the magnetic property varies from a first value 220 to a second value 222 across the magnetically susceptible layer 210 according to the domains 21 OA and 210B formed by the energy treatment described above in connection with Figure 1 or below in connection with Figure 3. The distance 224 approximately coincides with a dimension of a domain such as the domains 21 OA and 210B.

[0054] The value of the magnetic property transitions from the first value 220 to the second value 222, or vice versa, in a transition region "x" that forms the interface between two magnetic domains. A detector detecting the magnetic property in the transition region "x" would detect a value for the magnetic property that is different from the first value 220 and the second value 222 by a statistically significant amount. The dimension 226 of the transition region "x" determines the maximum storage density of the substrate. If the dimension 226 is small, contrast between the magnetic domains is sharp and easily detected, which allows smaller domains. Because the conformal protective layer or stabilization layer formed over the patterned resist reduces pattern degradation during energy processing, devices made according to embodiments described herein may have transition regions between domains that have dimension less than about 2 nm, such as less than about 1 nm, for example between about 3 A and about 8 A.

[0055] Figure 3 is a flow diagram summarizing a method 300 according to another embodiment. At 302, a pattern of susceptible and non-susceptible locations is formed on a magnetic substrate using a patterned silicon-containing resist layer having a critical dimension less than about 50 nm, such as between about 1 nm and about 50 nm, or between about 5 nm and about 15 nm, for example about 10 nm. The magnetic substrate may be formed by depositing a magnetic layer over a structural substrate, forming a patterned resist layer having thick coverage portions and thin coverage portions on the magnetic layer, and forming a conformal protective layer on the patterned resist layer using any of the processes described herein.

[0056] At 304 energy is directed through portions of the patterned silicon- containing resist layer, using processes described herein, to demagnetize portions of the magnetic layer according to the pattern formed in the patterned silicon- containing resist layer. The energy penetrates the patterned silicon-containing resist layer in the thin coverage portions without penetrating in the thick coverage portions, demagnetizing the areas of the magnetic layer covered by the thin coverage portions, and creating a pattern of magnetic properties in the magnetic layer. The topography of the magnetic layer is substantially unchanged by the patterning process.

[0057] At 306, the patterned silicon-containing resist layer is removed using a process that does not damage or alter the pattern of magnetic properties. The plasma process described above involving a fluorine chemistry may be used to remove the patterned silicon-containing resist layer.

[0058] Figure 4 is a schematic plan view of an apparatus 400 that may be used to perform embodiments described herein. The apparatus 400 comprises a substrate handling portion 402 and a substrate processing portion 404. The substrate handling portion 402 comprises a loading station 406, a transfer station 408, and an interface station 410. Substrates are loaded into the apparatus 400 at the loading station 406. In some cases, the loading operation may comprise disposing one or more substrates on a carrier for transport through the apparatus 400. The transfer station 408 moves substrates from the loading station 406 to the interface station 410. The transfer station 408 may comprise substrate handling features, such as flippers, if desired. The interface station 408 provides substrates to an entry load-lock chamber 412 for entry to the substrate processing portion 404, which generally operates under vacuum. The substrate processing portion 404 comprises a plurality of substrate processing chambers 416 coupled to a transfer chamber 420 with a transfer robot 418 disposed therein. Each of the processing chambers 416 may be a CVD chamber, an ALD chamber, a PVD chamber, a PECVD chamber, a PEALD chamber, a plasma cleaning chamber, a cool-down chamber, or a plasma immersion chamber. In one embodiment, each of the chambers 416 is a plasma immersion chamber configured to form a conformal protective layer over a magnetic substrate having a patterned resist layer formed thereon, subject the substrate to energy to penetrate portions of the patterned resist and form a pattern of magnetic properties on the substrate, and remove the conformal protective layer and patterned resist layer, all in a single chamber. In other embodiments, functions of the chambers 416 may be divided such that one chamber, such as a PEALD chamber, forms a conformal protective layer, another chamber, such as a plasma immersion chamber, performs an energy treatment, and another chamber, such as a plasma immersion chamber, performs resist and protective layer removal. An exit load-lock chamber 414 receives processed substrates for transfer back to the substrate handling portion 402. [0059] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.