Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
ALUMINUM COMPATIBLE THIN-FILM RESISTOR (TFR) AND MANUFACTURING METHODS
Document Type and Number:
WIPO Patent Application WO/2019/241094
Kind Code:
A1
Abstract:
A method for manufacturing a thin film resistor (TFR) module in an integrated circuit (IC) structure may include forming a trench in a dielectric region; forming a TFR element in the trench, the TFR element including a laterally-extending TFR region and a TFR ridge extending upwardly from a laterally-extending TFR region; depositing at least one metal layer over the TFR element; and patterning the at least one metal layer and etching the at least one metal layer using a metal etch to define a pair of metal TFR heads over the TFR element, wherein the metal etch also removes at least a portion of the upwardly-extending TFR ridge. The method may also include forming at least one conductive TFR contact extending through the TFR element and in contact with a respective TFR head to thereby increase a conductive path between the respective TFR head and the TFR element.

Inventors:
SATO JUSTIN HIROKI (US)
LENG YAOJIAN (US)
STOM GREGORY ALLEN (US)
Application Number:
PCT/US2019/036242
Publication Date:
December 19, 2019
Filing Date:
June 10, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MICROCHIP TECH INC (US)
International Classes:
H01L49/02
Foreign References:
US20130093024A12013-04-18
CN104051614A2014-09-17
US20090065898A12009-03-12
US20140246730A12014-09-04
US20130168816A12013-07-04
US9679844B22017-06-13
US201862670880P2018-05-14
Attorney, Agent or Firm:
SLAYDEN, Bruce W., II (US)
Download PDF:
Claims:
CLAIMS

1. A method for manufacturing a thin film resistor (TFR) module in an integrated circuit (IC) structure, the method comprising:

forming a trench in a dielectric region;

forming a TFR element in the trench, the TFR element including a laterally-extending TFR region and a TFR ridge extending upwardly from a laterally-extending TFR region; depositing at least one metal layer over the TFR element;

patterning the at least one metal layer and etching the at least one metal layer using a metal etch to define a pair of metal TFR heads over the TFR element, wherein the metal etch also removes at least a portion of the upwardly-extending TFR ridge.

2. The method of Claim 1, wherein the method comprises only the following two masking steps:

a first masking step for forming the trench in the dielectric region; and

a second masking step for patterning the at least one metal layer for the metal etch.

3. The method of any of Claims 1-2, wherein the at least one metal layer over the TFR element comprises aluminum, such that that the pair of metal TFR heads comprise aluminum TFR heads.

4. The method of any of Claims 1-2, wherein the at least one metal layer over the TFR element comprises:

a first metal layer formed over the TFR element and comprising titanium (Ti) or titanium nitride (TiN); and

an aluminum layer formed over the first metal layer.

5. The method of any of Claims 1-4, further comprising:

prior to depositing the at least one metal layer over the TFR element, etching a contact opening and filling the contact opening with a conductive material to define a device contact; and

wherein the at least one metal layer is deposited over the TFR element and over the device contact; and wherein the metal etch defines a metal contact conductively coupled to the device contact.

6. The method of any of Claims 1-5, further comprising, prior to depositing the at least one metal layer over the TFR element, forming a conductive TFR contact extending through a thickness of the TFR element;

wherein a first one of the metal TFR heads is formed in contact with the conductive TFR contact to thereby define a conductive path between the first TFR head and the TFR element via the conductive TFR contact.

7. The method of Claim 0, wherein forming the at least one conductive TFR contact comprises:

etching at least one TFR contact opening extending through a lateral edge region of the TFR element; and

filling each TFR contact opening with a conductive material to define a respective conductive TFR contact having at least one side surface in contact with at least one side surface of the TFR element.

8. The method of Claim 0, wherein each conductive TFR contact comprises tungsten.

9. The method of Claim 0, wherein each conductive TFR contact extends below a bottom surface of the TFR element.

10. The method of Claim 0, comprising forming multiple discrete conductive TFR contacts at a first end of the TFR element and in contact with a top surface in contact with one of the metal TFR heads.

11. The method of any of Claims 1-10, further comprising forming at least one conductive TFR contact extending through a thickness of the TFR element,

wherein each conductive TFR contact includes: at least one side surface in contact with at least one side surface of the TFR element, and

a top surface in contact with one of the metal TFR heads,

wherein the at least one conductive TFR contact increases a conductivity between the TFR element at least one of the metal TFR heads.

12. The method of any of Claims 1-11, wherein, prior to the metal etch, the upwardly-extending TFR ridge extends around a circumference of the TFR laterally-extending TFR region.

13. The method of any of Claims 1-12, wherein the metal etch removes a partial height of the upwardly-extending TFR ridge.

14. The method of any of Claims 1-12, wherein the metal etch removes a full height of the upwardly-extending TFR ridge.

15. The method of any of Claims 1-14, wherein the TFR element comprises SiCr or SiCCr.

16. A thin film resistor (TFR) module, comprising:

a TFR element including a laterally-extending portion and a TFR ridge extending upwardly from a laterally-extending TFR region;

a conductive TFR contact extending through the TFR ridge;

a pair of TFR heads formed over the TFR element, wherein a first TFR head is formed in contact with the conductive TFR contact, to thereby define a conductive path from the TFR head to the TFR element via the TFR contact.

17. The TFR structure of Claim 16, wherein the TFR ridge has a reduced thickness resulting from a metal etch to form the pair of TFR heads.

18. The TFR structure of any of Claims 16-17, wherein the pair of TFR heads comprise aluminum.

19. The TFR structure of any of Claims 16-18, wherein the conductive TFR contacts located beneath the first TFR head.

20. A thin film resistor (TFR) module formed by any of the methods of Claims 1-

Description:
ALUMINUM COMPATIBLE THIN-FILM RESISTOR (TFR)

AND MANUFACTURING METHODS

RELATED PATENT APPLICATION

This application claims priority to commonly owned United States Provisional Patent Application No. 62/685,676 filed June 15, 2018, the entire contents of which are hereby incorporated by reference for all purposes.

TECHNICAL FIELD

The present disclosure relates to thin-film resistors (TFRs), in particular to TFR modules compatible with aluminum interconnects (e.g., aluminum TFR heads) and/or having increased area of metal -to-TFR connection, and methods for manufacturing such TFR modules. BACKGROUND

Semiconductor integrated circuits (IC) typically include metallization layers to connect various components of the IC, called interconnect, or back end of line (BEOL) elements. These metal layers are typically formed from copper or aluminum.

One known technique for forming copper interconnects on an IC is known as additive patterning, sometimes called a damascene process, which refers to traditional metal inlaying techniques. A so-called damascene process may include patterning dielectric materials, such as silicon dioxide, or fluorosilicate glass (FSG), or organo-silicate glass (OSG) with open trenches where the copper or other metal conductors should be. A copper diffusion barrier layer (typically Ta, TaN, or a bi-layer of both) is deposited, followed by a deposited copper seed layer, followed by a bulk Copper fill, e.g., using an electro-chemical plating process. A chemical-mechanical planarization (CMP) process may then be used to remove any excessive copper and barrier, and may thus be referred to as a copper CMP process. The copper remaining in the trench functions as a conductor. A dielectric barrier layer, e.g., SiN or SiC, is then typically deposited over the wafer to prevent copper corrosion and improve device reliability.

With more features being packed into individual semiconductor chips, there is an increased need to pack passive components, such as resistors, into the circuits. Some resistors can be created through ion implantation and diffusion, such as poly resistors. However, such resistors typically have high variations in resistance value, and may also have resistance values that change drastically as a function of temperature. A new way to construct integrated resistors, called Thin-Film Resistors (TFRs) has been introduced in the industry to improve integrated resistor performance. Known TFRs are typically formed from SiCr (silicon- chromium), SiCCr (silicon-silicon carbide-chromium), TaN (tantalum nitride), NiCr (nickel- chromium), AlNiCr (aluminum-doped nickel-chromium), or TiNiCr (titanium-nickel- chromium), for example

Most typical TFR construction methods utilize two or more additional photomasks, which adds cost to the manufacturing process. In addition, some TFRs are not compatible with interconnects formed from particular metals. For example, some TFRs or TFR manufacturing methods are not compatible with copper interconnects, while other TFRs or TFR manufacturing methods are not compatible with aluminum interconnects.

Figure 1 shows a cross-sectional view of two example TFRs 10A and 10B devices implemented using conventional processes, which typically require three added mask layers. A first added mask layer is used to create the TFR heads 12A and 12B. A second added mask layer is used to create the TFRs 14A and 14B. A third added mask layer is used to create TFR vias 16A and 16B. As shown, TFRs 12A and 12B are formed across the top and bottom of TFR heads 12A and 12B, respectively, but in each case three added mask layers are typically required.

Figure 2 shows a cross-sectional view of a known IC structure including an example TFR 30 formed in view of the teachings of U.S. Patent 9,679,844, wherein TFR 30 can be created using a single added mask layer and damascene process, for copper back-end-of-line (BEOL) connection. A TFR film 34, in this example a SiCCr film, may be deposited into trenches patterned into a previously processed semiconductor substrate. As shown, SiCCr film 34 is constructed as a resistor between conductive (e.g., copper) TFR heads 32, with an overlying dielectric region including a dielectric layer 36 (e.g., SiN or SiC) and a dielectric cap region 38 (e.g., Si02) formed over the SiCCr film 34. The IC structure including TFR 30 may be further processed for a typical Cu (copper) interconnect process (BEOL), e.g., next level of via and trench. TFR 30 may be connected with other parts of the circuit using typical copper vias 40 connected to the copper TFR heads 32 for example.

BRIEF DESCRIPTION OF THE DRAWINGS

Example aspects of the present disclosure are described below in conjunction with the figures, in which:

Figure 1 is a cross-sectional view of two example thin-film resistor (TFR) devices implemented using known processes; Figure 2 is a cross-sectional view of a known integrated circuit (IC) structure including an example TFR formed according to known techniques;

Figures 3 Al-3 A2 through 311-312 illustrate an example process for forming an example IC structure with an integrated TFR, according to one example embodiment; and

Figures 4A1-4A2 through 4J1-4J2 illustrate an example process for forming another example IC structure with an integrated TFR, according to another example embodiment.

SUMMARY

Embodiments of the present disclosure provide thin-film resistor (TFR) modules with aluminum TFR heads, i.e., aluminum back-end-of-line (BEOL) contact. Some embodiments provide methods for forming such TFR modules using one a single added mask layer.

In some embodiments, TFR element“ridges” that may negatively affect the TCR (temperature coefficient of resistance) or other performance characteristic of the TFR module may be partially or fully reduced or eliminated during a metal etch that forms the TFR heads (e.g., aluminum heads).

Some embodiments also provide conductive TFR contacts that increase the surface contact area between the TFR heads (e.g., aluminum heads) and the TFR element, to thereby increase the conductive path between the TFR heads via the TFR element, and thereby improve the performance of the TFR module, e.g., for high current applications.

DETAILED DESCRIPTION

Embodiments of the present disclosure provide thin-film resistor (TFR) modules providing various advantages with respect to existing TFR modules, as discussed herein.

Figures 3 Al-3 A2 through 311-312 illustrate an example process for forming an example IC structure with an integrated TFR with aluminum heads, according to one example embodiment. Each pair of Figures 3nl/3n2 (e.g., figure pair 3A1/3A2, figure pair 3B1/3B2, etc.) shows a top view and a cross-sectional side view, respectively, at a selected point in the example process. The process may begin by forming a single-damascene TFR structure as shown in Figures 3A-3C discussed below, e.g., using any of the techniques disclosed in ET.S. Patent 9,679,844, the entire contents of which are hereby incorporated by reference, followed by the formation of aluminum TFR heads over the TFR structure, as discussed below.

Figures 3A1 and 3A2 show the initial formation of an IC structure 100, by forming a dielectric layer 102 over an underlying structure 101, e.g., including a substrate, field oxide, metal layer(s), IC devices, etc. In one embodiment, dielectric layer 102 may comprise a pre- metal dielectric (PMD) layer or region. In one embodiment, the TFR may be formed in an IMD (inter-metal dielectric) after metal interconnects (metal lines) have been created. As shown, a TFR trench 104 may be formed by patterning and etching the dielectric layer 102.

As shown in Figures 3B 1 and 3B2, a layer of TFR material 110, also referred to as a “TFR film,” may be deposited over the structure and extending into the TFR trench 104, followed by a dielectric cap layer 112. In some embodiments, an anneal may be performed between the deposition of TFR layer 110 and dielectric cap layer 112, as discussed below.

TFR layer 110 may comprise SiCr, SiCCr, TaN, NiCr, AlNiCr, TiNiCr, or any other suitable TFR material. TFR layer 110 may be deposited in any suitable manner, e.g., using a PVD or sputter deposition process, with any suitable thickness, e.g., about 500A (e.g., 400A- 600A). In some embodiments, the TFR layer thickness may be selected based on a target sheet resistance, e.g., 500-1000 W/sq.

As mentioned above, in some embodiments, structure 100 including TFR layer 110 may then be annealed, e.g., at a temperature of about 500°C (e.g., 400°C-600°C or 450°C- 550°C) for about 30 minutes (e.g., 20-60 min) to achieve 0 ppm or near 0 ppm TCR (temperature coefficient of resistance) of the TFR layer 110 or the resulting TFR module 115 (discussed below). In some embodiments,“near 0” ppm TCR may include a TCR of 0 ± 400 ppm/°C, or a TCR of 0 ± 100 ppm/°C, or a TCR of 0 ± 50 ppm/°C, or a TCR of 0 ± 20 ppm/°C, or a TCR of 0 ± 10 ppm/°C, depending on the particular embodiment. In some particular embodiments, TFR layer 110 or TFR module 115 may have a TCR of about 40 ppm/°C, e.g., 40 ± 30 ppm/°C, or 40 ± 20 ppm/°C, or 40 ± 10 ppm/°C, e.g., as disclosed in co-pending U.S. provisional patent application No. 62/670,880 filed May 14, 2018 (see, e.g., Figure 10B and corresponding text), the entire contents of which application are hereby incorporated by reference.

Dielectric cap layer 112 may then be deposited on structure 100, to protect TFR film 110. In one embodiment, dielectric cap layer 112 may comprise a SiN layer with a thickness of about 500A (e.g., 400A-600A) or about 75qA (e.g., 600A-900A).

As shown in Figures 3C1 and 3C2, structure 100 may be further processed by performing a CMP stopped at or in the dielectric cap layer 112 to remove the upper portions (i.e., outside the TFR trench 104) of TFR layer 110 and dielectric cap layer 112, and thereby define the structure of a TFR module 114 having a formed TFR element 116. In some embodiments, the CMP is performed with a target remaining cap layer thickness (layer 112) of about 1000A (e.g., 50qA-150qA).

As a result of the damascene construction, the TFR element 116 may include ridges 118 at one or more edges of the TFR element 116, extending vertically upwardly from a horizontally-extending bottom region 119 of the element 116 (formed in the bottom of the TFR trench). These ridges 118 may provide unwanted effects, e.g., regarding the temperature coefficient of resistance (TCR) of the TFR module 115. Thus, as discussed below with reference to Figures 311, 312, one or more of these ridges 118 may be at least partially removed during a metal etch for forming the aluminum TFR heads, to improve the performance (e.g., improved TCR) of the TFR module. The height of TFR element ridges 118, indicated at“y”, may be defined by the depth of the TFR trench 104 and/or the depth of penetration of the CMP performed in this step.

As shown in Figures 3D1 and 3D2, a photomask 120 may be formed on the structure 100 and patterned to form at least one mask opening 122 for creating at least one conductive device contact in the underlying structure. Mask opening 122 may be aligned over or adjacent a conductive element 140, e.g., a metal contact or interconnect, in the underlying structure 101, such that the subsequently formed device contact is in contact with the conductive element 140.

As shown in Figures 3E1 and 3E2, an etch may be performed through the mask opening 132 to create a contact opening 132 extending through the dielectric layer 102 and landing on or adjacent conductive element 140, and photomask 120 may be removed.

As shown in Figures 3F1 and 3F2, the contact opening 132 may be filled with metal, e.g., tungsten, to define a device contact 152 in contact with conductive element 140 in the underlying structure 101.

As shown in Figures 3G1 and 3G2, a metal layer or stack 160 may be deposited over the TFR module 114 and device contact 153. Metal layer or stack 160 may define a metal-l or M-l layer. In some embodiments, metal layer or stack 160 may comprise aluminum. In the illustrated example, metal stack 160 includes a thin Ti or TiN layer deposited on the structure, followed by a thick aluminum layer 164. In some embodiments a further TiN layer may be deposited over the aluminum layer 164.

As shown in Figures 3H1 and 3H2, metal stack 160 may be patterned and etched using a suitable metal etch, to define (a) a pair of aluminum TFR heads 170A and 170B on opposing ends of the TFR module 114 and (b) an aluminum contact 172 coupled to device contact 152. As shown in Figure 3H2, aluminum TFR heads 170A and 170B may contact the vertically- extending ridges 118 of TFR element 116, to thereby define a conductive path between TFR heads 170A and 170B via TFR element 116.

Figure 3H2 also shows that the metal etch may remove a partial vertical thickness (or in another embodiment, a full thickness) of the TFR dielectric cap region 112.

Figures 311 and 312 show the structure at the same point in the process as Figures 3H1 and 3H2, but the cross-section shown in Figure 312 is taken through one of the TFR element ridges 118, as opposed to the cross-section shown in Figure 3H2 taken through an interior location of TFR element 116. As shown in Figure 312, the metal etch may also remove a partial or full thickness of the respective TFR element ridge 118 in the“y” direction, indicated at 118 A, along a partial or full length of the respective TFR element ridge 118 in the“x” direction. In the illustrated embodiment, the TFR element ridge 118 shown in cross-section I-I (as well as the matching TFR element ridge 118 on the opposite side of the TFR module 114) is reduced by the metal etch from a thickness yl to a reduced thickness y2, along the x-direction length of the ridge 118 except for the portions 118B covered by aluminum TFR heads l70A and 170B.

As discussed above, the TFR element ridges 118 may negatively affect the temperature coefficient of resistance (TCR) of the TFR module 115. Thus, the reduction of the TFR element ridges 118 may improve the TCR performance of TFR module 115.

Figures 4A1-4A2 through 4J1-4J2 illustrate an example process for forming an example IC structure with an integrated TFR with aluminum heads, and including TFR contacts for increasing the contact area between the aluminum TFR heads and the TFR element, according to one example embodiment. Each pair of Figures 4nl/4n2 (e.g., figure pair 4A1/4A2, figure pair 4B1/4B2, etc.) shows a top view and a cross-sectional side view, respectively, at a selected point in the example process. The process may begin similar to the process of Figures 3A-3I discussed above. Thus, Figures 4A1-4C2 correspond with Figures 3A1-3C2, wherein elements 4xx shown in Figures 4A1-4C2 correspond with elements 3xx shown in Figures 3A1-3C2.

Figures 4A1 and 4A2 show the initial formation of an IC structure 200, by forming a dielectric layer 202 over an underlying structure 201, e.g., including a substrate, field oxide, metal layer(s), IC devices, etc. Underlying structure 201 may include suitable structures for subsequently formed device contact(s) and/or TFR contact(s) to land on, e.g., as discussed below. In one embodiment, dielectric layer 202 may comprise a pre-metal dielectric (PMD) layer or region. In one embodiment, the TFR may be formed in an IMD (inter-metal dielectric) after metal interconnects (metal lines) have been created. As shown, a TFR trench 204 may be formed by patterning and etching the dielectric layer 302.

As shown in Figures 4B1 and 4B2, a layer of TFR material, or TFR film, 210 may be deposited over the structure and extending into the TFR trench 204, followed by a dielectric cap layer 212. TFR layer 210 may comprise SiCr, SiCCr, TaN, NiCr, AlNiCr, TiNiCr, or any other suitable TFR material. TFR layer 210 may be deposited in any suitable manner, e.g., using a PVD or sputter deposition process, with any suitable thickness, e.g., about 500A (e.g., 400A-600A). In some embodiments, the TFR layer thickness may be selected based on a target sheet resistance, e.g., 500-1000 W/sq. Dielectric cap layer 212 may deposited over TFR film 210 to protect TFR film 210. In one embodiment, dielectric cap layer 212 may comprise a SiN layer with a thickness of about 500A (e.g., 400A-600A) or about 75qA (e.g., 600A-900A).

In some embodiments, an anneal may be performed between the deposition of TFR layer 210 and dielectric cap layer 212, e.g., to achieve a desired TCR (temperature coefficient of resistance) characteristic of TFR layer 210, as discussed above regarding Figures 3B 1, 3B2.

As shown in Figures 4C1 and 4C2, structure 200 may be further processed by performing a CMP stopped at or in the dielectric cap layer 212 to remove the upper portions (i.e., outside the TFR trench 204) of TFR layer 210 and dielectric cap layer 212, and thereby define the structure of a TFR module 214 having a formed TFR element 216. In some embodiments, the CMP is performed with a target remaining cap layer thickness (layer 212) of about 1000A (e.g., 50qA-150qA).

As a result of the damascene construction, the TFR element 216 may include ridges 218 at one or more edges of the TFR element 216, extending vertically upwardly from a horizontally-extending bottom region 219 of the element 216 (formed in the bottom of the TFR trench). These ridges 218 may provide unwanted effects, e.g., regarding the temperature coefficient of resistance (TCR) of the TFR module 215. Thus, as discussed below with reference to Figures 411, 412, one or more of these ridges 218 may be at least partially removed during a metal etch for forming the aluminum TFR heads, to improve the performance (e.g., improved TCR) of the TFR module. The height of TFR element ridges 218, indicated at“y”, may be defined by the depth of the TFR trench 204 and/or the depth of penetration of the CMP performed in this step. After processing shown in Figures 4C1, 4C2, the method may depart from the method of Figures 3A-3I discussed above, in particular by the additional formation of TFR contacts to increase the contact area between TFR element 216 and subsequently formed TFR heads.

As shown in Figures 4D1 and 4D2, a photomask 220 may be formed on the structure 200 and patterned to form (a) at least one first mask opening 222 for creating at least one conductive device contact 252 (discussed below) in the underlying structure and (b) at least one second mask opening 224 for creating at least one TFR contact 254 (discussed below) for increasing the contact area between TFR element 216 and subsequently formed TFR heads. In this example, four second mask openings 224A-224D are formed for creating four TFR contacts 254A-254D as discussed below.

Each first mask opening 222 may be aligned over or adjacent a respective conductive element 240, e.g., a metal contact or interconnect, in the underlying structure 201, such that the subsequently formed device contact 252 is in contact with the conductive element 240. As shown in Figure 4D1, each second mask opening 224 (in this example, each opening 224A- 224D) may be aligned over a TFR element ridge 218, such that each subsequently formed TFR contact 254A-254D penetrates a respective TFR element ridge 218, as shown in subsequent figures and discussed below. In this example, mask opening 224 are located to form TFR contact 254 extending through the TFR element ridges 218 at opposing longitudinal ends of the TFR element 216. In other embodiments, one or more mask opening 224 may be located to form TFR contact 254 extending through any one, two, three, or all four of the TFR element ridges 218 extending around the perimeter of TFR element 216.

In addition, second mask openings 224 may be aligned over an inert or non-conductive region 242, e.g., an oxide or dummy poly layer or block, in the underlying structure 201, such that the subsequently formed TFR contacts 254 may land on an inert or non-conductive region 242. In one embodiment, the TFR contact 254 may land on a conductive device required to be connected to the TFR.

In some embodiments, each second mask opening 224 may have a circular shape; an elongated shape, e.g., an oval/ellipse (as shown in Figure 4E1) or an elongated rectangle; or any other suitable shape. ETsing an elongated shape of openings 224 may increase the resulting contact area between TFR contacts 254 and TFR element 216 (e.g., at TFR ridge(s) 218 and/or at TFR bottom region 291). As shown in Figures 4E1 and 4E2, an etch may be performed through the mask openings 232 and 234A-234D to create (a) a contact opening 232 extending through dielectric layer 202 and landing on or adjacent conductive element 240, and (b) TFR contact openings 234A-234D extending through dielectric layer 202 and landing on inert or non-conductive region 242. Photomask 220 may then be removed.

As shown in Figures 4F1 and 4F2, the contact opening 232 and 234A-234D may be filled with metal, e.g., tungsten, to define (a) a device contact 252 extending through dielectric layer 202 and contacting conductive element 240 in the underlying structure 201 and (b) TFR contacts 254A-254D extending through dielectric layer 202 and landing on inert or non- conductive region 242. In one embodiment, the TFR contact 254 may land on a conductive device required to be connected to the TFR.

As shown in Figures 4G1 and 4G2, a metal layer or stack 260 may be deposited over the TFR module 214, TFR contacts 254, and device contact 252. Metal layer or stack 260 may define a metal- 1 or M-l layer. In some embodiments, metal layer or stack 260 may comprise aluminum. In the illustrated example, metal stack 260 includes a thin Ti or TiN layer deposited on the structure, followed by a thick aluminum layer 264. In some embodiments a further TiN layer may be deposited over the aluminum layer 264.

As shown in Figures 4H1 and 4H2, metal stack 260 may be patterned and etched using a suitable metal etch, to define (a) a pair of aluminum TFR heads 270 A and 270B on opposing ends of the TFR module 214 and (b) an aluminum contact 272 coupled to device contact 252. As shown in Figure 4H2, a bottom surface of each aluminum TFR head 270A and 270B contacts at least one TFR element ridge 218 and a respective pair of TFR contacts 254, to thereby define a conductive path between TFR heads 270A and 270B via TFR contacts 254A- 254D and TFR element 216.

Figure 4H2 also shows that the metal etch may remove a partial vertical thickness (or in another embodiment, a full thickness) of the TFR dielectric cap region 212.

Figures 411 and 412 show the structure at the same point in the process as Figures 4H1 and 4H2, but the cross-section shown in Figure 412 is taken through one of the TFR element ridges 218, as opposed to the cross-section shown in Figure 4H2 taken through an interior location of TFR element 216. As shown in Figure 412, the metal etch may also remove a partial or full thickness of the respective TFR element ridge 218 in the“y” direction, indicated at 218A along a partial or full length of the respective TFR element ridge 218 in the“x” direction. In the illustrated embodiment, the TFR element ridge 218 shown in cross-section I-I (as well as the matching TFR element ridge 218 on the opposite side of the TFR module 214) is reduced by the metal etch from a thickness yl to a reduced thickness y2, along the x-direction length of the ridge 218 except for the portions 218B covered by aluminum TFR heads 270A and 270B.

Figures 4J1 and 4J2 show the structure at the same point in the process as Figures

4H1/4H2 and 411/412, but wherein the cross-section shown in Figure 4J2 is taken through line J-J extending through the TFR element ridge 218 at one longitudinal end of TFR element 216. As shown in the cross-sectional view, TFR contacts 254A and 254B penetrate through the TFR element ridge 218 to define areas of surface contact between (a) lateral surfaces of each TFR contact 254A and 254B and TFR element ridge 218, and also between (b) lateral surfaces of each TFR contact 254 A and 254B and horizontally-extending bottom region 219 of TFR element 216 (more clearly shown in Figure 4H2). These areas of contact between TFR contact 254 and TFR element 216 increase the conductive path between each TFR head 270A and 270B and TFR element 216, which may thereby improve the performance of TFR module 214, e.g., particularly in high current applications.