Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
APPARATUS, METHODS, AND SYSTEMS FOR INTEGRATED PERFORMANCE MONITORING IN A CONFIGURABLE SPATIAL ACCELERATOR
Document Type and Number:
WIPO Patent Application WO/2019/190687
Kind Code:
A1
Abstract:
Systems, methods, and apparatuses relating to integrated performance monitoring in a configurable spatial accelerator are described. In one embodiment, a configurable spatial accelerator includes a first performance monitoring circuit coupled to a first proper subset of processing elements by a network to receive at least one monitoring value from each of the first plurality of the processing elements, generate a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements, and send the first aggregated monitoring value to a performance manager circuit on a different network when a first threshold value is exceeded by the first aggregated monitoring value; and the performance manager circuit is to perform an action based on the first aggregated monitoring value.

Inventors:
FLEMING JR (US)
STEELY JR (US)
TANG JINJIE (US)
Application Number:
PCT/US2019/019965
Publication Date:
October 03, 2019
Filing Date:
February 28, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INTEL CORP (US)
International Classes:
G06F11/34; G06F11/30
Foreign References:
US20150100757A12015-04-09
US20050166038A12005-07-28
US20090182993A12009-07-16
US20110040822A12011-02-17
US6725364B12004-04-20
US20120054255A12012-03-01
EP3005139A12016-04-13
Other References:
STEVE SWANSON ET AL., THE WAVESCALAR ARCHTIECTURE
See also references of EP 3776216A4
Attorney, Agent or Firm:
SIMMONS, Scott A. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. An apparatus comprising:

a spatial array of processing elements comprising a first network to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the spatial array of processing elements with each node represented as a dataflow operator in the spatial array of processing elements, and the spatial array of processing elements is to perform an operation by a respective, incoming operand set arriving at each of the dataflow operators;

a first performance monitoring circuit coupled to a first plurality of the processing elements by a second network to receive at least one monitoring value from each of the first plurality of the processing elements, generate a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements, and send the first aggregated monitoring value to a performance manager circuit on a third network when a first threshold value is exceeded by the first aggregated monitoring value; and

the performance manager circuit is to perform an action based on the first aggregated

monitoring value.

2. The apparatus of claim 1, further comprising a second performance monitoring circuit coupled to a second, different plurality of the processing elements by a fourth network to receive at least one monitoring value from each of the second, different plurality of the processing elements, generate a second aggregated monitoring value based on the at least one monitoring value from each of the second, different plurality of the processing elements, and send the second aggregated monitoring value to the performance manager circuit on the third network when a second threshold value is exceeded by the second aggregated monitoring value, wherein the performance manager circuit is to perform an action based on the first aggregated monitoring value and the second aggregated monitoring value.

3. The apparatus of claim 1, wherein the first performance monitoring circuit comprises a match circuit coupled to the second network to provide a proper subset of a plurality of monitoring values from each of the first plurality of the processing elements, and generate the first aggregated monitoring value based on the proper subset of the plurality of monitoring values.

4. The apparatus of claim 3, wherein the first performance monitoring circuit comprises a register to store a control value for a switch coupled to each of the first plurality of the processing elements to cause the switch to select the proper subset based on the control value.

5. The apparatus of claim 1, wherein the first performance monitoring circuit comprises a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit sends the first aggregated monitoring value to the performance manager circuit.

6. The apparatus of claim 1, wherein the first performance monitoring circuit comprises a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit receives a flush value from the performance manager circuit.

7. The apparatus of claim 1, further comprising a request address file circuit coupled to the spatial array of processing elements and a cache memory, the request address file circuit to:

access data in the cache memory, via the third network, in response to a request for data access from the spatial array of processing elements, and

send the first aggregated monitoring value to the performance manager circuit on the third network in response to receipt of the first aggregated monitoring value from the first performance monitoring circuit.

8. The apparatus of claim 7, wherein the request address file circuit comprises an

exception manager circuit to mark the first aggregated monitoring value with a tag that indicates the first aggregated monitoring value is to be sent to the performance manager circuit.

9. A method comprising:

overlaying an input of a dataflow graph comprising a plurality of nodes into a spatial array of processing elements comprising a first network with each node represented as a dataflow operator in the spatial array of processing elements;

performing an operation, with the spatial array of processing elements, by a respective,

incoming operand set arriving at each of the dataflow operators;

sending at least one monitoring value from each of a first plurality of the processing elements on a second network to a first performance monitoring circuit;

generating a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements by the first performance monitoring circuit;

sending the first aggregated monitoring value to a performance manager circuit on a third network when a first threshold value is exceeded by the first aggregated monitoring value; and

performing an action based on the first aggregated monitoring value by the performance manager circuit.

10. The method of claim 9, further comprising:

sending at least one monitoring value from each of a second, different plurality of the

processing elements on a fourth network to a second performance monitoring circuit; generating a second aggregated monitoring value based on the at least one monitoring value from each of the second, different plurality of the processing elements by the second performance monitoring circuit;

sending the second aggregated monitoring value to the performance manager circuit on the third network when a second threshold value is exceeded by the second aggregated monitoring value; and

performing an action based on the first aggregated monitoring value and the second

aggregated monitoring value by the performance manager circuit.

11. The method of claim 9, further comprising:

providing a proper subset of a plurality of monitoring values from each of the first plurality of the processing elements by a match circuit of the first performance monitoring circuit, wherein the generating comprises generating the first aggregated monitoring value based on the proper subset of the plurality of monitoring values.

12. The method of claim 11, further comprising storing, in a register of the first performance monitoring circuit, a control value for a switch coupled to each of the first plurality of the processing elements to cause the switch to select the proper subset based on the control value.

13. The method of claim 9, further comprising sending a stall value from the first

performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit sends the first aggregated monitoring value to the performance manager circuit.

14. The method of claim 9, further comprising sending a stall value from the first

performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit receives a flush value from the performance manager circuit.

15. The method of claim 9, further comprising:

coupling a request address file circuit to the spatial array of processing elements and a cache memory;

accessing data in the cache memory with the request address file circuit, via the third network, in response to a request for data access from the spatial array of processing elements; and

sending the first aggregated monitoring value to the performance manager circuit on the third network by the request address file circuit in response to receipt of the first aggregated monitoring value from the first performance monitoring circuit.

16. The method of claim 15, further comprising marking the first aggregated monitoring value with a tag that indicates the first aggregated monitoring value is to be sent to the performance manager circuit by an exception manager circuit of the request address file circuit.

17. A non-transitory machine readable medium that stores code that when executed by a machine causes the machine to perform a method comprising:

overlaying an input of a dataflow graph comprising a plurality of nodes into a spatial array of processing elements comprising a first network with each node represented as a dataflow operator in the spatial array of processing elements;

performing an operation, with the spatial array of processing elements, by a respective, incoming operand set arriving at each of the dataflow operators;

sending at least one monitoring value from each of a first plurality of the processing elements on a second network to a first performance monitoring circuit;

generating a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements by the first performance monitoring circuit;

sending the first aggregated monitoring value to a performance manager circuit on a third network when a first threshold value is exceeded by the first aggregated monitoring value; and

performing an action based on the first aggregated monitoring value by the performance manager circuit.

18. The non-transitory machine readable medium of claim 17, wherein the method further comprises:

sending at least one monitoring value from each of a second, different plurality of the

processing elements on a fourth network to a second performance monitoring circuit; generating a second aggregated monitoring value based on the at least one monitoring value from each of the second, different plurality of the processing elements by the second performance monitoring circuit;

sending the second aggregated monitoring value to the performance manager circuit on the third network when a second threshold value is exceeded by the second aggregated monitoring value; and

performing an action based on the first aggregated monitoring value and the second

aggregated monitoring value by the performance manager circuit.

19. The non-transitory machine readable medium of claim 17, wherein the method further comprises:

providing a proper subset of a plurality of monitoring values from each of the first plurality of the processing elements by a match circuit of the first performance monitoring circuit, wherein the generating comprises generating the first aggregated monitoring value based on the proper subset of the plurality of monitoring values.

20. The non-transitory machine readable medium of claim 19, wherein the method further comprises storing, in a register of the first performance monitoring circuit, a control value for a switch coupled to each of the first plurality of the processing elements to cause the switch to select the proper subset based on the control value.

21. The non-transitory machine readable medium of claim 17 wherein the method further comprises sending a stall value from the first performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit sends the first aggregated monitoring value to the performance manager circuit.

22. The non-transitory machine readable medium of claim 17, wherein the method further comprises sending a stall value from the first performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit receives a flush value from the performance manager circuit.

23. The non-transitory machine readable medium of claim 17, wherein the method further comprises:

coupling a request address file circuit to the spatial array of processing elements and a cache memory;

accessing data in the cache memory with the request address file circuit, via the third network, in response to a request for data access from the spatial array of processing elements; and sending the first aggregated monitoring value to the performance manager circuit on the third network by the request address file circuit in response to receipt of the first aggregated monitoring value from the first performance monitoring circuit.

24. The non-transitory machine readable medium of claim 23, wherein the method further comprises marking the first aggregated monitoring value with a tag that indicates the first aggregated monitoring value is to be sent to the performance manager circuit by an exception manager circuit of the request address file circuit.

Description:
APPARATUS, METHODS, AND SYSTEMS FOR INTEGRATED PERFORMANCE MONITORING IN A CONFIGURABLE SPATIAL ACCELERATOR

STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH AND DEVELOPMENT

[0001] This invention was made with Government support under contract number H98230-13-D-0124 awarded by the Department of Defense. The Government has certain rights in this invention

TECHNICAL FIELD

[0002] The disclosure relates generally to electronics, and, more specifically, an embodiment of the disclosure relates to an integrated performance monitoring circuit in a configurable spatial accelerator.

BACKGROUND

[0003] A processor, or set of processors, executes instructions from an instruction set, e.g., the instruction set architecture (ISA). The instruction set is the part of the computer architecture related to programming, and generally includes the native data types, instructions, register architecture, addressing modes, memory architecture, interrupt and exception handling, and external input and output (I/O). It should be noted that the term instruction herein may refer to a macro-instruction, e.g., an instruction that is provided to the processor for execution, or to a micro-instruction, e.g., an instruction that results from a processor’s decoder decoding macro-instructions.

BRIEF DESCRIPTION OF THE DRAWINGS

[0004] The present disclosure is illustrated by way of example and not limitation in the figures of the accompanying drawings, in which like references indicate similar elements and in which:

[0005] Figure 1 illustrates an accelerator tile according to embodiments of the disclosure.

[0006] Figure 2 illustrates a hardware processor coupled to a memory according to embodiments of the disclosure.

[0007] Figure 3A illustrates a program source according to embodiments of the disclosure. [0008] Figure 3B illustrates a dataflow graph for the program source of Figure 3A according to embodiments of the disclosure.

[0009] Figure 3C illustrates an accelerator with a plurality of processing elements configured to execute the dataflow graph of Figure 3B according to embodiments of the disclosure.

[0010] Figure 4 illustrates an example execution of a dataflow graph according to embodiments of the disclosure.

[0011] Figure 5 illustrates a program source according to embodiments of the disclosure.

[0012] Figure 6 illustrates an accelerator tile comprising an array of processing elements according to embodiments of the disclosure.

[0013] Figure 7A illustrates a configurable data path network according to embodiments of the disclosure.

[0014] Figure 7B illustrates a configurable flow control path network according to embodiments of the disclosure.

[0015] Figure 8 illustrates a hardware processor tile comprising an accelerator according to embodiments of the disclosure.

[0016] Figure 9 illustrates a processing element according to embodiments of the disclosure.

[0017] Figure 10 illustrates a request address file (RAF) circuit according to embodiments of the disclosure.

[0018] Figure 11 illustrates a plurality of request address file (RAF) circuits coupled between a plurality of accelerator tiles and a plurality of cache banks according to embodiments of the disclosure.

[0019] Figure 12 illustrates a system with a spatial array of processing elements including a plurality of performance monitoring circuits according to embodiments of the disclosure.

[0020] Figure 13 illustrates a processing element according to embodiments of the disclosure.

[0021] Figure 14 illustrates a performance monitoring circuit according to embodiments of the disclosure.

[0022] Figure 15 illustrates a performance monitoring circuit coupled to a performance manager circuit in an accelerator according to embodiments of the disclosure. [0023] Figure 16 illustrates a system with a performance monitoring circuit of a spatial array of processing elements propagating an aggregated monitoring value to a performance manager circuit according to embodiments of the disclosure

[0024] Figure 17 illustrates a flow diagram according to embodiments of the disclosure.

[0025] Figure 18 illustrates a data flow graph of a pseudocode function call according to embodiments of the disclosure.

[0026] Figure 19 illustrates a spatial array of processing elements with a plurality of network dataflow endpoint circuits according to embodiments of the disclosure.

[0027] Figure 20 illustrates a network dataflow endpoint circuit according to

embodiments of the disclosure.

[0028] Figure 21 illustrates data formats for a send operation and a receive operation according to embodiments of the disclosure.

[0029] Figure 22 illustrates another data format for a send operation according to embodiments of the disclosure.

[0030] Figure 23 illustrates to configure a circuit element (e.g., network dataflow endpoint circuit) data formats to configure a circuit element (e.g., network dataflow endpoint circuit) for a send (e.g., switch) operation and a receive (e.g., pick) operation according to embodiments of the disclosure.

[0031] Figure 24 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a send operation with its input, output, and control data annotated on a circuit according to embodiments of the disclosure.

[0032] Figure 25 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a selected operation with its input, output, and control data annotated on a circuit according to embodiments of the disclosure.

[0033] Figure 26 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a Switch operation with its input, output, and control data annotated on a circuit according to embodiments of the disclosure.

[0034] Figure 27 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a SwitchAny operation with its input, output, and control data annotated on a circuit according to embodiments of the disclosure.

[0035] Figure 28 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a Pick operation with its input, output, and control data annotated on a circuit according to embodiments of the disclosure. [0036] Figure 29 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a PickAny operation with its input, output, and control data annotated on a circuit according to embodiments of the disclosure.

[0037] Figure 30 illustrates selection of an operation by a network dataflow endpoint circuit for performance according to embodiments of the disclosure.

[0038] Figure 31 illustrates a network dataflow endpoint circuit according to

embodiments of the disclosure.

[0039] Figure 32 illustrates a network dataflow endpoint circuit receiving input zero (0) while performing a pick operation according to embodiments of the disclosure.

[0040] Figure 33 illustrates a network dataflow endpoint circuit receiving input one (1) while performing a pick operation according to embodiments of the disclosure.

[0041] Figure 34 illustrates a network dataflow endpoint circuit outputting the selected input while performing a pick operation according to embodiments of the disclosure.

[0042] Figure 35 illustrates a flow diagram according to embodiments of the disclosure.

[0043] Figure 36 illustrates a floating point multiplier partitioned into three regions (the result region, three potential carry regions, and the gated region) according to embodiments of the disclosure.

[0044] Figure 37 illustrates an in-flight configuration of an accelerator with a plurality of processing elements according to embodiments of the disclosure.

[0045] Figure 38 illustrates a snapshot of an in-flight, pipelined extraction according to embodiments of the disclosure.

[0046] Figure 39 illustrates a compilation toolchain for an accelerator according to embodiments of the disclosure.

[0047] Figure 40 illustrates a compiler for an accelerator according to embodiments of the disclosure.

[0048] Figure 41A illustrates sequential assembly code according to embodiments of the disclosure.

[0049] Figure 41B illustrates dataflow assembly code for the sequential assembly code of Figure 41 A according to embodiments of the disclosure.

[0050] Figure 41C illustrates a dataflow graph for the dataflow assembly code of Figure 41B for an accelerator according to embodiments of the disclosure.

[0051] Figure 42A illustrates C source code according to embodiments of the disclosure. [0052] Figure 42B illustrates dataflow assembly code for the C source code of Figure 42A according to embodiments of the disclosure.

[0053] Figure 42C illustrates a dataflow graph for the dataflow assembly code of Figure 42B for an accelerator according to embodiments of the disclosure.

[0054] Figure 43A illustrates C source code according to embodiments of the disclosure.

[0055] Figure 43B illustrates dataflow assembly code for the C source code of Figure 43A according to embodiments of the disclosure.

[0056] Figure 43C illustrates a dataflow graph for the dataflow assembly code of Figure 43B for an accelerator according to embodiments of the disclosure.

[0057] Figure 44A illustrates a flow diagram according to embodiments of the disclosure.

[0058] Figure 44B illustrates a flow diagram according to embodiments of the disclosure.

[0059] Figure 45 illustrates a throughput versus energy per operation graph according to embodiments of the disclosure.

[0060] Figure 46 illustrates an accelerator tile comprising an array of processing elements and a local configuration controller according to embodiments of the disclosure.

[0061] Figures 47A-47C illustrate a local configuration controller configuring a data path network according to embodiments of the disclosure.

[0062] Figure 48 illustrates a configuration controller according to embodiments of the disclosure.

[0063] Figure 49 illustrates an accelerator tile comprising an array of processing elements, a configuration cache, and a local configuration controller according to

embodiments of the disclosure.

[0064] Figure 50 illustrates an accelerator tile comprising an array of processing elements and a configuration and exception handling controller with a reconfiguration circuit according to embodiments of the disclosure.

[0065] Figure 51 illustrates a reconfiguration circuit according to embodiments of the disclosure.

[0066] Figure 52 illustrates an accelerator tile comprising an array of processing elements and a configuration and exception handling controller with a reconfiguration circuit according to embodiments of the disclosure.

[0067] Figure 53 illustrates an accelerator tile comprising an array of processing elements and a mezzanine exception aggregator coupled to a tile-level exception aggregator according to embodiments of the disclosure. [0068] Figure 54 illustrates a processing element with an exception generator according to embodiments of the disclosure.

[0069] Figure 55 illustrates an accelerator tile comprising an array of processing elements and a local extraction controller according to embodiments of the disclosure.

[0070] Figures 56A-56C illustrate a local extraction controller configuring a data path network according to embodiments of the disclosure.

[0071] Figure 57 illustrates an extraction controller according to embodiments of the disclosure.

[0072] Figure 58 illustrates a flow diagram according to embodiments of the disclosure.

[0073] Figure 59 illustrates a flow diagram according to embodiments of the disclosure.

[0074] Figure 60A is a block diagram of a system that employs a memory ordering circuit interposed between a memory subsystem and acceleration hardware according to embodiments of the disclosure.

[0075] Figure 60B is a block diagram of the system of Figure 60A, but which employs multiple memory ordering circuits according to embodiments of the disclosure.

[0076] Figure 61 is a block diagram illustrating general functioning of memory operations into and out of acceleration hardware according to embodiments of the disclosure.

[0077] Figure 62 is a block diagram illustrating a spatial dependency flow for a store operation according to embodiments of the disclosure.

[0078] Figure 63 is a detailed block diagram of the memory ordering circuit of Figure 60 according to embodiments of the disclosure.

[0079] Figure 64 is a flow diagram of a microarchitecture of the memory ordering circuit of Figure 60 according to embodiments of the disclosure.

[0080] Figure 65 is a block diagram of an executable determiner circuit according to embodiments of the disclosure.

[0081] Figure 66 is a block diagram of a priority encoder according to embodiments of the disclosure.

[0082] Figure 67 is a block diagram of an exemplary load operation, both logical and in binary according to embodiments of the disclosure.

[0083] Figure 68A is flow diagram illustrating logical execution of an example code according to embodiments of the disclosure. [0084] Figure 68B is the flow diagram of Figure 68A, illustrating memory-level parallelism in an unfolded version of the example code according to embodiments of the disclosure.

[0085] Figure 69A is a block diagram of exemplary memory arguments for a load operation and for a store operation according to embodiments of the disclosure.

[0086] Figure 69B is a block diagram illustrating flow of load operations and the store operations, such as those of Figure 69A, through the microarchitecture of the memory ordering circuit of Figure 64 according to embodiments of the disclosure.

[0087] Figures 70A, 70B, 70C, 70D, 70E, 70F, 70G, and 70H are block diagrams illustrating functional flow of load operations and store operations for an exemplary program through queues of the microarchitecture of Figure 70B according to embodiments of the disclosure.

[0088] Figure 71 is a flow chart of a method for ordering memory operations between a acceleration hardware and an out-of-order memory subsystem according to embodiments of the disclosure.

[0089] Figure 72A is a block diagram illustrating a generic vector friendly instruction format and class A instruction templates thereof according to embodiments of the disclosure.

[0090] Figure 72B is a block diagram illustrating the generic vector friendly instmction format and class B instruction templates thereof according to embodiments of the disclosure.

[0091] Figure 73A is a block diagram illustrating fields for the generic vector friendly instmction formats in Figures 72A and 72B according to embodiments of the disclosure.

[0092] Figure 73B is a block diagram illustrating the fields of the specific vector friendly instmction format in Figure 73 A that make up a full opcode field according to one embodiment of the disclosure.

[0093] Figure 73C is a block diagram illustrating the fields of the specific vector friendly instmction format in Figure 73 A that make up a register index field according to one embodiment of the disclosure.

[0094] Figure 73D is a block diagram illustrating the fields of the specific vector friendly instmction format in Figure 73A that make up the augmentation operation field 7250 according to one embodiment of the disclosure.

[0095] Figure 74 is a block diagram of a register architecture according to one embodiment of the disclosure [0096] Figure 75A is a block diagram illustrating both an exemplary in-order pipeline and an exemplary register renaming, out-of-order issue/execution pipeline according to embodiments of the disclosure.

[0097] Figure 75B is a block diagram illustrating both an exemplary embodiment of an in-order architecture core and an exemplary register renaming, out-of-order issue/execution architecture core to be included in a processor according to embodiments of the disclosure.

[0098] Figure 76A is a block diagram of a single processor core, along with its connection to the on-die interconnect network and with its local proper subset of the Level 2 (L2) cache, according to embodiments of the disclosure.

[0099] Figure 76B is an expanded view of part of the processor core in Figure 76A according to embodiments of the disclosure.

[00100] Figure 77 is a block diagram of a processor that may have more than one core, may have an integrated memory controller, and may have integrated graphics according to embodiments of the disclosure.

[00101] Figure 78 is a block diagram of a system in accordance with one embodiment of the present disclosure.

[00102] Figure 79 is a block diagram of a more specific exemplary system in accordance with an embodiment of the present disclosure.

[00103] Figure 80, shown is a block diagram of a second more specific exemplary system in accordance with an embodiment of the present disclosure.

[00104] Figure 81, shown is a block diagram of a system on a chip (SoC) in accordance with an embodiment of the present disclosure.

[00105] Figure 82 is a block diagram contrasting the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set according to embodiments of the disclosure.

DETAILED DESCRIPTION

[0106] In the following description, numerous specific details are set forth. However, it is understood that embodiments of the disclosure may be practiced without these specific details. In other instances, well-known circuits, structures and techniques have not been shown in detail in order not to obscure the understanding of this description.

[0107] References in the specification to“one embodiment,”“an embodiment,”“an example embodiment,” etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

[0108] A processor (e.g., having one or more cores) may execute instructions (e.g., a thread of instructions) to operate on data, for example, to perform arithmetic, logic, or other functions. For example, software may request an operation and a hardware processor (e.g., a core or cores thereof) may perform the operation in response to the request. One non- limiting example of an operation is a blend operation to input a plurality of vectors elements and output a vector with a blended plurality of elements. In certain embodiments, multiple operations are accomplished with the execution of a single instruction.

[0109] Exascale performance, e.g., as defined by the Department of Energy, may require system- level floating point performance to exceed 10 L 18 floating point operations per second (exaFLOPs) or more within a given (e.g., 20MW) power budget. Certain embodiments herein are directed to a spatial array of processing elements (e.g., a configurable spatial accelerator (CSA)) that targets high performance computing (HPC), for example, of a processor. Certain embodiments herein of a spatial array of processing elements (e.g., a CSA) target the direct execution of a dataflow graph to yield a computationally dense yet energy-efficient spatial microarchitecture which far exceeds conventional roadmap architectures. Certain embodiments herein overlay (e.g., high-radix) dataflow operations on a communications network, e.g., in addition to the communications network’s routing of data between the processing elements, memory, etc. and/or the communications network performing other communications (e.g., not data processing) operations. Certain

embodiments herein are directed to a communications network (e.g., a packet switched network) of a (e.g., coupled to) spatial array of processing elements (e.g., a CSA) to perform certain dataflow operations, e.g., in addition to the communications network routing data between the processing elements, memory, etc. or the communications network performing other communications operations. Certain embodiments herein are directed to network dataflow endpoint circuits that (e.g., each) perform (e.g., a portion or all) a dataflow operation or operations, for example, a pick or switch dataflow operation, e.g., of a dataflow graph. Certain embodiments herein include augmented network endpoints (e.g., network dataflow endpoint circuits) to support the control for (e.g., a plurality of or a proper subset of) dataflow operation(s), e.g., utilizing the network endpoints to perform a (e.g., dataflow) operation instead of a processing element (e.g., core) or arithmetic-logic unit (e.g. to perform arithmetic and logic operations) performing that (e.g., dataflow) operation. In one embodiment, a network dataflow endpoint circuit is separate from a spatial array (e.g. an interconnect or fabric thereof) and/or processing elements.

[0110] Below also includes a description of the architectural philosophy of embodiments of a spatial array of processing elements (e.g., a CSA) and certain features thereof. As with any revolutionary architecture, programmability may be a risk. To mitigate this issue, embodiments of the CSA architecture have been co-designed with a compilation tool chain, which is also discussed below.

INTRODUCTION

[0111] Exascale computing goals may require enormous system-level floating point performance (e.g., 1 ExaFLOPs) within an aggressive power budget (e.g., 20 MW). However, simultaneously improving the performance and energy efficiency of program execution with classical von Neumann architectures has become difficult: out-of-order scheduling, simultaneous multi-threading, complex register files, and other structures provide

performance, but at high energy cost. Certain embodiments herein achieve performance and energy requirements simultaneously. Exascale computing power-performance targets may demand both high throughput and low energy consumption per operation. Certain embodiments herein provide this by providing for large numbers of low-complexity, energy- efficient processing (e.g., computational) elements which largely eliminate the control overheads of previous processor designs. Guided by this observation, certain embodiments herein include a spatial array of processing elements, for example, a configurable spatial accelerator (CSA), e.g., comprising an array of processing elements (PEs) connected by a set of light-weight, back-pressured (e.g., communication) networks. One example of a CSA tile is depicted in Figure 1. Certain embodiments of processing (e.g., compute) elements are dataflow operators, e.g., multiple of a dataflow operator that only processes input data when both (i) the input data has arrived at the dataflow operator and (ii) there is space available for storing the output data, e.g., otherwise no processing is occurring. Certain embodiments (e.g., of an accelerator or CSA) do not utilize a triggered instruction. [0112] Figure 1 illustrates an accelerator tile 100 embodiment of a spatial array of processing elements according to embodiments of the disclosure. Accelerator tile 100 may be a portion of a larger tile. Accelerator tile 100 executes a dataflow graph or graphs. A dataflow graph may generally refer to an explicitly parallel program description which arises in the compilation of sequential codes. Certain embodiments herein (e.g., CSAs) allow dataflow graphs to be directly configured onto the CSA array, for example, rather than being transformed into sequential instruction streams. Certain embodiments herein allow a first (e.g., type of) dataflow operation to be performed by one or more processing elements (PEs) of the spatial array and, additionally or alternatively, a second (e.g., different, type of) dataflow operation to be performed by one or more of the network communication circuits (e.g., endpoints) of the spatial array.

[0113] The derivation of a dataflow graph from a sequential compilation flow allows embodiments of a CSA to support familiar programming models and to directly (e.g., without using a table of work) execute existing high performance computing (HPC) code. CSA processing elements (PEs) may be energy efficient. In Figure 1, memory interface 102 may couple to a memory (e.g., memory 202 in Figure 2) to allow accelerator tile 100 to access (e.g., load and/store) data to the (e.g., off die) memory. Depicted accelerator tile 100 is a heterogeneous array comprised of several kinds of PEs coupled together via an interconnect network 104. Accelerator tile 100 may include one or more of integer arithmetic PEs, floating point arithmetic PEs, communication circuitry (e.g., network dataflow endpoint circuits), and in-fabric storage, e.g., as part of spatial array of processing elements 101.

Dataflow graphs (e.g., compiled dataflow graphs) may be overlaid on the accelerator tile 100 for execution. In one embodiment, for a particular dataflow graph, each PE handles only one or two (e.g., dataflow) operations of the graph. The array of PEs may be heterogeneous, e.g., such that no PE supports the full CSA dataflow architecture and/or one or more PEs are programmed (e.g., customized) to perform only a few, but highly efficient operations.

Certain embodiments herein thus yield a processor or accelerator having an array of processing elements that is computationally dense compared to roadmap architectures and yet achieves approximately an order-of-magnitude gain in energy efficiency and performance relative to existing HPC offerings.

[0114] Certain embodiments herein provide for performance increases from parallel execution within a (e.g., dense) spatial array of processing elements (e.g., CSA) where each PE and/or network dataflow endpoint circuit utilized may perform its operations simultaneously, e.g., if input data is available. Efficiency increases may result from the efficiency of each PE and/or network dataflow endpoint circuit, e.g., where each PE’s operation (e.g., behavior) is fixed once per configuration (e.g., mapping) step and execution occurs on local data arrival at the PE, e.g., without considering other fabric activity, and/or where each network dataflow endpoint circuit’s operation (e.g., behavior) is variable (e.g., not fixed) when configured (e.g., mapped). In certain embodiments, a PE and/or network dataflow endpoint circuit is (e.g., each a single) dataflow operator, for example, a dataflow operator that only operates on input data when both (i) the input data has arrived at the dataflow operator and (ii) there is space available for storing the output data, e.g., otherwise no operation is occurring.

[0115] Certain embodiments herein include a spatial array of processing elements as an energy-efficient and high-performance way of accelerating user applications. In one embodiment, applications are mapped in an extremely parallel manner. For example, inner loops may be unrolled multiple times to improve parallelism. This approach may provide high performance, e.g., when the occupancy (e.g., use) of the unrolled code is high.

However, if there are less used code paths in the loop body unrolled (for example, an exceptional code path like floating point de-normalized mode) then (e.g., fabric area of) the spatial array of processing elements may be wasted and throughput consequently lost.

[0116] One embodiment herein to reduce pressure on (e.g., fabric area of) the spatial array of processing elements (e.g., in the case of underutilized code segments) is time multiplexing. In this mode, a single instance of the less used (e.g., colder) code may be shared among several loop bodies, for example, analogous to a function call in a shared library. In one embodiment, spatial arrays (e.g., of processing elements) support the direct implementation of multiplexed codes. However, e.g., when multiplexing or demultiplexing in a spatial array involves choosing among many and distant targets (e.g., sharers), a direct implementation using dataflow operators (e.g., using the processing elements) may be inefficient in terms of latency, throughput, implementation area, and/or energy. Certain embodiments herein describe hardware mechanisms (e.g., network circuitry) supporting (e.g., high-radix) multiplexing or demultiplexing. Certain embodiments herein (e.g., of network dataflow endpoint circuits) permit the aggregation of many targets (e.g., sharers) with little hardware overhead or performance impact. Certain embodiments herein allow for compiling of (e.g., legacy) sequential codes to parallel architectures in a spatial array. [0117] In one embodiment, a plurality of network dataflow endpoint circuits combine as a single dataflow operator, for example, as discussed in reference to Figure 19 below. As non-limiting examples, certain (for example, high (e.g., 4-6) radix) dataflow operators are listed below.

[0118] An embodiment of a“Pick” dataflow operator is to select data (e.g., a token) from a plurality of input channels and provide that data as its (e.g., single) output according to control data. Control data for a Pick may include an input selector value. In one

embodiment, the selected input channel is to have its data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation). In one embodiment, additionally, those non-selected input channels are also to have their data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation).

[0119] An embodiment of a“PickS ingleLeg” dataflow operator is to select data (e.g., a token) from a plurality of input channels and provide that data as its (e.g., single) output according to control data, but in certain embodiments, the non-selected input channels are ignored, e.g., those non-selected input channels are not to have their data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation). Control data for a PickSingleLeg may include an input selector value. In one embodiment, the selected input channel is also to have its data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation).

[0120] An embodiment of a“PickAny” dataflow operator is to select the first available (e.g., to the circuit performing the operation) data (e.g., a token) from a plurality of input channels and provide that data as its (e.g., single) output. In one embodiment, PickSingleLeg is also to output the index (e.g., indicating which of the plurality of input channels) had its data selected. In one embodiment, the selected input channel is to have its data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation). In certain embodiments, the non-selected input channels (e.g., with or without input data) are ignored, e.g., those non-selected input channels are not to have their data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation). Control data for a PickAny may include a value corresponding to the PickAny, e.g., without an input selector value. [0121] An embodiment of a“Switch” dataflow operator is to steer (e.g., single) input data (e.g., a token) so as to provide that input data to one or a plurality of (e.g., less than all) outputs according to control data. Control data for a Switch may include an output(s) selector value or values. In one embodiment, the input data (e.g., from an input channel) is to have its data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation).

[0122] An embodiment of a“SwitchAny” dataflow operator is to steer (e.g., single) input data (e.g., a token) so as to provide that input data to one or a plurality of (e.g., less than all) outputs that may receive that data, e.g., according to control data. In one embodiment, SwitchAny may provide the input data to any coupled output channel that has availability (e.g., available storage space) in its ingress buffer, e.g., network ingress buffer in Figure 20. Control data for a SwitchAny may include a value corresponding to the SwitchAny, e.g., without an output(s) selector value or values. In one embodiment, the input data (e.g., from an input channel) is to have its data (e.g., token) removed (e.g., discarded), for example, to complete the performance of that dataflow operation (or its portion of a dataflow operation). In one embodiment, SwitchAny is also to output the index (e.g., indicating which of the plurality of output channels) that it provided (e.g., sent) the input data to. SwitchAny may be utilized to manage replicated sub-graphs in a spatial array, for example, an unrolled loop.

[0123] Certain embodiments herein thus provide paradigm-shifting levels of performance and tremendous improvements in energy efficiency across a broad class of existing single stream and parallel programs, e.g., all while preserving familiar HPC programming models. Certain embodiments herein may target HPC such that floating point energy efficiency is extremely important. Certain embodiments herein not only deliver compelling improvements in performance and reductions in energy, they also deliver these gains to existing HPC programs written in mainstream HPC languages and for mainstream HPC frameworks.

Certain embodiments of the architecture herein (e.g., with compilation in mind) provide several extensions in direct support of the control-dataflow internal representations generated by modern compilers. Certain embodiments herein are direct to a CSA dataflow compiler, e.g., which can accept C, C++, and Fortran programming languages, to target a CSA architecture.

[0124] Figure 2 illustrates a hardware processor 200 coupled to (e.g., connected to) a memory 202 according to embodiments of the disclosure. In one embodiment, hardware processor 200 and memory 202 are a computing system 201. In certain embodiments, one or more of accelerators is a CSA according to this disclosure. In certain embodiments, one or more of the cores in a processor are those cores disclosed herein. Hardware processor 200 (e.g., each core thereof) may include a hardware decoder (e.g., decode unit) and a hardware execution unit. Hardware processor 200 may include registers. Note that the figures herein may not depict all data communication couplings (e.g., connections). One of ordinary skill in the art will appreciate that this is to not obscure certain details in the figures. Note that a double headed arrow in the figures may not require two-way communication, for example, it may indicate one-way communication (e.g., to or from that component or device). Any or all combinations of communications paths may be utilized in certain embodiments herein.

Depicted hardware processor 200 includes a plurality of cores (O to N, where N may be 1 or more) and hardware accelerators (O to M, where M may be 1 or more) according to embodiments of the disclosure. Hardware processor 200 (e.g., accelerator(s) and/or core(s) thereof) may be coupled to memory 202 (e.g., data storage device). Hardware decoder (e.g., of core) may receive an (e.g., single) instruction (e.g., macro-instruction) and decode the instruction, e.g., into micro-instructions and/or micro-operations. Hardware execution unit (e.g., of core) may execute the decoded instruction (e.g., macro-instruction) to perform an operation or operations.

[0125] Section 1 below discloses embodiments of CSA architecture. In particular, novel embodiments of integrating memory within the dataflow execution model are disclosed. Section 2 delves into the microarchitectural details of embodiments of a CSA. In one embodiment, the main goal of a CSA is to support compiler produced programs. Section 3 below examines embodiments of a CSA compilation tool chain. The advantages of embodiments of a CSA are compared to other architectures in the execution of compiled codes in Section 4. Finally the performance of embodiments of a CSA microarchitecture is discussed in Section 5, further CSA details are discussed in Section 6, and a summary is provided in Section 7.

1. CSA ARCHITECTURE

[0126] The goal of certain embodiments of a CSA is to rapidly and efficiently execute programs, e.g., programs produced by compilers. Certain embodiments of the CSA architecture provide programming abstractions that support the needs of compiler technologies and programming paradigms. Embodiments of the CSA execute dataflow graphs, e.g., a program manifestation that closely resembles the compiler’s own internal representation (IR) of compiled programs. In this model, a program is represented as a dataflow graph comprised of nodes (e.g., vertices) drawn from a set of architecturally-defined dataflow operators (e.g., that encompass both computation and control operations) and edges which represent the transfer of data between dataflow operators. Execution may proceed by injecting dataflow tokens (e.g., that are or represent data values) into the dataflow graph. Tokens may flow between and be transformed at each node (e.g., vertex), for example, forming a complete computation. A sample dataflow graph and its derivation from high-level source code is shown in Figures 3A-3C, and Figure 5 shows an example of the execution of a dataflow graph.

[0127] Embodiments of the CSA are configured for dataflow graph execution by providing exactly those dataflow-graph-execution supports required by compilers. In one embodiment, the CSA is an accelerator (e.g., an accelerator in Figure 2) and it does not seek to provide some of the necessary but infrequently used mechanisms available on general purpose processing cores (e.g., a core in Figure 2), such as system calls. Therefore, in this embodiment, the CSA can execute many codes, but not all codes. In exchange, the CSA gains significant performance and energy advantages. To enable the acceleration of code written in commonly used sequential languages, embodiments herein also introduce several novel architectural features to assist the compiler. One particular novelty is CSA’s treatment of memory, a subject which has been ignored or poorly addressed previously. Embodiments of the CSA are also unique in the use of dataflow operators, e.g., as opposed to lookup tables (LUTs), as their fundamental architectural interface.

[0128] Turning to embodiments of the CSA, dataflow operators are discussed next.

1.1 Dataflow Operators

[0129] The key architectural interface of embodiments of the accelerator (e.g., CSA) is the dataflow operator, e.g., as a direct representation of a node in a dataflow graph. From an operational perspective, dataflow operators behave in a streaming or data-driven fashion. Dataflow operators may execute as soon as their incoming operands become available. CSA dataflow execution may depend (e.g., only) on highly localized status, for example, resulting in a highly scalable architecture with a distributed, asynchronous execution model. Dataflow operators may include arithmetic dataflow operators, for example, one or more of floating point addition and multiplication, integer addition, subtraction, and multiplication, various forms of comparison, logical operators, and shift. However, embodiments of the CSA may also include a rich set of control operators which assist in the management of dataflow tokens in the program graph. Examples of these include a“pick” operator, e.g., which multiplexes two or more logical input channels into a single output channel, and a“switch” operator, e.g., which operates as a channel demultiplexor (e.g., outputting a single channel from two or more logical input channels). These operators may enable a compiler to implement control paradigms such as conditional expressions. Certain embodiments of a CSA may include a limited dataflow operator set (e.g., to relatively small number of operations) to yield dense and energy efficient PE microarchitectures. Certain embodiments may include dataflow operators for complex operations that are common in HPC code. The CSA dataflow operator architecture is highly amenable to deployment-specific extensions. For example, more complex mathematical dataflow operators, e.g., trigonometry functions, may be included in certain embodiments to accelerate certain mathematics -intensive HPC workloads. Similarly, a neural-network tuned extension may include dataflow operators for vectorized, low precision arithmetic.

[0130] Figure 3A illustrates a program source according to embodiments of the disclosure. Program source code includes a multiplication function (func). Figure 3B illustrates a dataflow graph 300 for the program source of Figure 3A according to

embodiments of the disclosure. Dataflow graph 300 includes a pick node 304, switch node 306, and multiplication node 308. A buffer may optionally be included along one or more of the communication paths. Depicted dataflow graph 300 may perform an operation of selecting input X with pick node 304, multiplying X by Y (e.g., multiplication node 308), and then outputting the result from the left output of the switch node 306. Figure 3C illustrates an accelerator (e.g., CSA) with a plurality of processing elements 301 configured to execute the dataflow graph of Figure 3B according to embodiments of the disclosure. More particularly, the dataflow graph 300 is overlaid into the array of processing elements 301 (e.g., and the (e.g., interconnect) network(s) therebetween), for example, such that each node of the dataflow graph 300 is represented as a dataflow operator in the array of processing elements 301. For example, certain dataflow operations may be achieved with a processing element and/or certain dataflow operations may be achieved with a communications network (e.g., a network dataflow endpoint circuit thereof). For example, a Pick, PickSingleLeg, PickAny, Switch, and/or SwitchAny operation may be achieved with one or more components of a communications network (e.g., a network dataflow endpoint circuit thereof), e.g., in contrast to a processing element. [0131] In one embodiment, one or more of the processing elements in the array of processing elements 301 is to access memory through memory interface 302. In one embodiment, pick node 304 of dataflow graph 300 thus corresponds (e.g., is represented by) to pick operator 304 A, switch node 306 of dataflow graph 300 thus corresponds (e.g., is represented by) to switch operator 306A, and multiplier node 308 of dataflow graph 300 thus corresponds (e.g., is represented by) to multiplier operator 308A. Another processing element and/or a flow control path network may provide the control signals (e.g., control tokens) to the pick operator 304A and switch operator 306A to perform the operation in Figure 3 A. In one embodiment, array of processing elements 301 is configured to execute the dataflow graph 300 of Figure 3B before execution begins. In one embodiment, compiler performs the conversion from Figure 3A-3B. In one embodiment, the input of the dataflow graph nodes into the array of processing elements logically embeds the dataflow graph into the array of processing elements, e.g., as discussed further below, such that the input/output paths are configured to produce the desired result.

1.2 Latency Insensitive Channels

[0132] Communications arcs are the second major component of the dataflow graph. Certain embodiments of a CSA describes these arcs as latency insensitive channels, for example, in-order, back-pressured (e.g., not producing or sending output until there is a place to store the output), point-to-point communications channels. As with dataflow operators, latency insensitive channels are fundamentally asynchronous, giving the freedom to compose many types of networks to implement the channels of a particular graph. Latency insensitive channels may have arbitrarily long latencies and still faithfully implement the CSA architecture. However, in certain embodiments there is strong incentive in terms of performance and energy to make latencies as small as possible. Section 2.2 herein discloses a network microarchitecture in which dataflow graph channels are implemented in a pipelined fashion with no more than one cycle of latency. Embodiments of latency-insensitive channels provide a critical abstraction layer which may be leveraged with the CSA architecture to provide a number of runtime services to the applications programmer. For example, a CSA may leverage latency-insensitive channels in the implementation of the CSA configuration (the loading of a program onto the CSA array).

[0133] Figure 4 illustrates an example execution of a dataflow graph 400 according to embodiments of the disclosure. At step 1, input values (e.g., 1 for X in Figure 3B and 2 for Y in Figure 3B) may be loaded in dataflow graph 400 to perform a 1 * 2 multiplication operation. One or more of the data input values may be static (e.g., constant) in the operation (e.g., 1 for X and 2 for Y in reference to Figure 3B) or updated during the operation. At step 2, a processing element (e.g., on a flow control path network) or other circuit outputs a zero to control input (e.g., multiplexer control signal) of pick node 404 (e.g., to source a one from port“0” to its output) and outputs a zero to control input (e.g., multiplexer control signal) of switch node 406 (e.g., to provide its input out of port“0” to a destination (e.g., a downstream processing element). At step 3, the data value of 1 is output from pick node 404 (e.g., and consumes its control signal“0” at the pick node 404) to multiplier node 408 to be multiplied with the data value of 2 at step 4. At step 4, the output of multiplier node 408 arrives at switch node 406, e.g., which causes switch node 406 to consume a control signal“0” to output the value of 2 from port“0” of switch node 406 at step 5. The operation is then complete. A CSA may thus be programmed accordingly such that a corresponding dataflow operator for each node performs the operations in Figure 4. Although execution is serialized in this example, in principle all dataflow operations may execute in parallel. Steps are used in Figure 4 to differentiate dataflow execution from any physical microarchitectural manifestation. In one embodiment a downstream processing element is to send a signal (or not send a ready signal) (for example, on a flow control path network) to the switch 406 to stall the output from the switch 406, e.g., until the downstream processing element is ready (e.g., has storage room) for the output.

1.3 Memory

[0134] Dataflow architectures generally focus on communication and data manipulation with less attention paid to state. However, enabling real software, especially programs written in legacy sequential languages, requires significant attention to interfacing with memory. Certain embodiments of a CSA use architectural memory operations as their primary interface to (e.g., large) stateful storage. From the perspective of the dataflow graph, memory operations are similar to other dataflow operations, except that they have the side effect of updating a shared store. In particular, memory operations of certain embodiments herein have the same semantics as every other dataflow operator, for example, they“execute” when their operands, e.g., an address, are available and, after some latency, a response is produced. Certain embodiments herein explicitly decouple the operand input and result output such that memory operators are naturally pipelined and have the potential to produce many simultaneous outstanding requests, e.g., making them exceptionally well suited to the latency and bandwidth characteristics of a memory subsystem. Embodiments of a CSA provide basic memory operations such as load, which takes an address channel and populates a response channel with the values corresponding to the addresses, and a store. Embodiments of a CSA may also provide more advanced operations such as in-memory atomics and consistency operators. These operations may have similar semantics to their von Neumann counterparts. Embodiments of a CSA may accelerate existing programs described using sequential languages such as C and Fortran. A consequence of supporting these language models is addressing program memory order, e.g., the serial ordering of memory operations typically prescribed by these languages.

[0135] Figure 5 illustrates a program source (e.g., C code) 500 according to

embodiments of the disclosure. According to the memory semantics of the C programming language, memory copy (memcpy) should be serialized. However, memcpy may be parallelized with an embodiment of the CSA if arrays A and B are known to be disjoint. Figure 5 further illustrates the problem of program order. In general, compilers cannot prove that array A is different from array B, e.g., either for the same value of index or different values of index across loop bodies. This is known as pointer or memory aliasing. Since compilers are to generate statically correct code, they are usually forced to serialize memory accesses. Typically, compilers targeting sequential von Neumann architectures use instruction ordering as a natural means of enforcing program order. However, embodiments of the CSA have no notion of instruction or instruction-based program ordering as defined by a program counter. In certain embodiments, incoming dependency tokens, e.g., which contain no architecturally visible information, are like all other dataflow tokens and memory operations may not execute until they have received a dependency token. In certain embodiments, memory operations produce an outgoing dependency token once their operation is visible to all logically subsequent, dependent memory operations. In certain embodiments, dependency tokens are similar to other dataflow tokens in a dataflow graph. For example, since memory operations occur in conditional contexts, dependency tokens may also be manipulated using control operators described in Section 1.1, e.g., like any other tokens. Dependency tokens may have the effect of serializing memory accesses, e.g., providing the compiler a means of architecturally defining the order of memory accesses.

1.4 Runtime Services

[0136] A primary architectural considerations of embodiments of the CSA involve the actual execution of user-level programs, but it may also be desirable to provide several support mechanisms which underpin this execution. Chief among these are configuration (in which a dataflow graph is loaded into the CSA), extraction (in which the state of an executing graph is moved to memory), and exceptions (in which mathematical, soft, and other types of errors in the fabric are detected and handled, possibly by an external entity). Section 2.9 below discusses the properties of a latency-insensitive dataflow architecture of an

embodiment of a CSA to yield efficient, largely pipelined implementations of these functions. Conceptually, configuration may load the state of a dataflow graph into the interconnect (and/or communications network (e.g., a network dataflow endpoint circuit thereof)) and processing elements (e.g., fabric), e.g., generally from memory. During this step, all structures in the CSA may be loaded with a new dataflow graph and any dataflow tokens live in that graph, for example, as a consequence of a context switch. The latency-insensitive semantics of a CSA may permit a distributed, asynchronous initialization of the fabric, e.g., as soon as PEs are configured, they may begin execution immediately. Unconfigured PEs may backpressure their channels until they are configured, e.g., preventing communications between configured and unconfigured elements. The CSA configuration may be partitioned into privileged and user-level state. Such a two-level partitioning may enable primary configuration of the fabric to occur without invoking the operating system. During one embodiment of extraction, a logical view of the dataflow graph is captured and committed into memory, e.g., including all live control and dataflow tokens and state in the graph.

[0137] Extraction may also play a role in providing reliability guarantees through the creation of fabric checkpoints. Exceptions in a CSA may generally be caused by the same events that cause exceptions in processors, such as illegal operator arguments or reliability, availability, and serviceability (RAS) events. In certain embodiments, exceptions are detected at the level of dataflow operators, for example, checking argument values or through modular arithmetic schemes. Upon detecting an exception, a dataflow operator (e.g., circuit) may halt and emit an exception message, e.g., which contains both an operation identifier and some details of the nature of the problem that has occurred. In one embodiment, the dataflow operator will remain halted until it has been reconfigured. The exception message may then be communicated to an associated processor (e.g., core) for service, e.g., which may include extracting the graph for software analysis.

1.5 Tile-level Architecture

[0138] Embodiments of the CSA computer architectures (e.g., targeting HPC and datacenter uses) are tiled. Figures 6 and 8 show tile-level deployments of a CSA. Figure 8 shows a full-tile implementation of a CSA, e.g., which may be an accelerator of a processor with a core. A main advantage of this architecture is may be reduced design risk, e.g., such that the CSA and core are completely decoupled in manufacturing. In addition to allowing better component reuse, this may allow the design of components like the CSA Cache to consider only the CSA, e.g., rather than needing to incorporate the stricter latency requirements of the core. Finally, separate tiles may allow for the integration of CSA with small or large cores. One embodiment of the CSA captures most vector-parallel workloads such that most vector-style workloads ran directly on the CSA, but in certain embodiments vector-style instructions in the core may be included, e.g., to support legacy binaries.

2. MICROARCHITECTURE

[0139] In one embodiment, the goal of the CSA microarchitecture is to provide a high quality implementation of each dataflow operator specified by the CSA architecture.

Embodiments of the CSA microarchitecture provide that each processing element (and/or communications network (e.g., a network dataflow endpoint circuit thereof)) of the microarchitecture corresponds to approximately one node (e.g., entity) in the architectural dataflow graph. In one embodiment, a node in the dataflow graph is distributed in multiple network dataflow endpoint circuits. In certain embodiments, this results in microarchitectural elements that are not only compact, resulting in a dense computation array, but also energy efficient, for example, where processing elements (PEs) are both simple and largely unmultiplexed, e.g., executing a single dataflow operator for a configuration (e.g., programming) of the CSA. To further reduce energy and implementation area, a CSA may include a configurable, heterogeneous fabric style in which each PE thereof implements only a proper subset of dataflow operators (e.g., with a separate proper subset of dataflow operators implemented with network dataflow endpoint circuit(s)). Peripheral and support subsystems, such as the CSA cache, may be provisioned to support the distributed parallelism incumbent in the main CSA processing fabric itself. Implementation of CSA

microarchitectures may utilize dataflow and latency-insensitive communications abstractions present in the architecture. In certain embodiments, there is (e.g., substantially) a one-to-one correspondence between nodes in the compiler generated graph and the dataflow operators (e.g., dataflow operator compute elements) in a CSA.

[0140] Below is a discussion of an example CSA, followed by a more detailed discussion of the microarchitecture. Certain embodiments herein provide a CSA that allows for easy compilation, e.g., in contrast to an existing FPGA compilers that handle a small proper subset of a programming language (e.g., C or C++) and require many hours to compile even small programs.

[0141] Certain embodiments of a CSA architecture admits of heterogeneous coarse grained operations, like double precision floating point. Programs may be expressed in fewer coarse grained operations, e.g., such that the disclosed compiler runs faster than traditional spatial compilers. Certain embodiments include a fabric with new processing elements to support sequential concepts like program ordered memory accesses. Certain embodiments implement hardware to support coarse-grained dataflow-style communication channels. This communication model is abstract, and very close to the control-dataflow representation used by the compiler. Certain embodiments herein include a network implementation that supports single-cycle latency communications, e.g., utilizing (e.g., small) PEs which support single control-dataflow operations. In certain embodiments, not only does this improve energy efficiency and performance, it simplifies compilation because the compiler makes a one-to-one mapping between high-level dataflow constructs and the fabric. Certain embodiments herein thus simplify the task of compiling existing (e.g., C, C++, or Fortran) programs to a CSA (e.g., fabric).

[0142] Energy efficiency may be a first order concern in modem computer systems. Certain embodiments herein provide a new schema of energy-efficient spatial architectures.

In certain embodiments, these architectures form a fabric with a unique composition of a heterogeneous mix of small, energy-efficient, data-flow oriented processing elements (PEs) (and/or a packet switched communications network (e.g., a network dataflow endpoint circuit thereof)) with a lightweight circuit switched communications network (e.g., interconnect), e.g., with hardened support for flow control. Due to the energy advantages of each, the combination of these components may form a spatial accelerator (e.g., as part of a computer) suitable for executing compiler-generated parallel programs in an extremely energy efficient manner. Since this fabric is heterogeneous, certain embodiments may be customized for different application domains by introducing new domain-specific PEs. For example, a fabric for high-performance computing might include some customization for double-precision, fused multiply-add, while a fabric targeting deep neural networks might include low- precision floating point operations.

[0143] An embodiment of a spatial architecture schema, e.g., as exemplified in Figure 6, is the composition of light-weight processing elements (PE) connected by an inter- PE network. Generally, PEs may comprise dataflow operators, e.g., where once (e.g., all) input operands arrive at the dataflow operator, some operation (e.g., micro-instruction or set of micro-instructions) is executed, and the results are forwarded to downstream operators. Control, scheduling, and data storage may therefore be distributed amongst the PEs, e.g., removing the overhead of the centralized structures that dominate classical processors.

[0144] Programs may be converted to dataflow graphs that are mapped onto the architecture by configuring PEs and the network to express the control-dataflow graph of the program. Communication channels may be flow-controlled and fully back-pressured, e.g., such that PEs will stall if either source communication channels have no data or destination communication channels are full. In one embodiment, at runtime, data flow through the PEs and channels that have been configured to implement the operation (e.g., an accelerated algorithm). For example, data may be streamed in from memory, through the fabric, and then back out to memory.

[0145] Embodiments of such an architecture may achieve remarkable performance efficiency relative to traditional multicore processors: compute (e.g., in the form of PEs) may be simpler, more energy efficient, and more plentiful than in larger cores, and

communications may be direct and mostly short-haul, e.g., as opposed to occurring over a wide, full-chip network as in typical multicore processors. Moreover, because embodiments of the architecture are extremely parallel, a number of powerful circuit and device level optimizations are possible without seriously impacting throughput, e.g., low leakage devices and low operating voltage. These lower-level optimizations may enable even greater performance advantages relative to traditional cores. The combination of efficiency at the architectural, circuit, and device levels yields of these embodiments are compelling.

Embodiments of this architecture may enable larger active areas as transistor density continues to increase.

[0146] Embodiments herein offer a unique combination of dataflow support and circuit switching to enable the fabric to be smaller, more energy-efficient, and provide higher aggregate performance as compared to previous architectures. FPGAs are generally tuned towards fine-grained bit manipulation, whereas embodiments herein are tuned toward the double-precision floating point operations found in HPC applications. Certain embodiments herein may include a FPGA in addition to a CSA according to this disclosure.

[0147] Certain embodiments herein combine a light-weight network with energy efficient dataflow processing elements (and/or communications network (e.g., a network dataflow endpoint circuit thereof)) to form a high-throughput, low-latency, energy-efficient HPC fabric. This low-latency network may enable the building of processing elements (and/or communications network (e.g., a network dataflow endpoint circuit thereof)) with fewer functionalities, for example, only one or two instructions and perhaps one architecturally visible register, since it is efficient to gang multiple PEs together to form a complete program.

[0148] Relative to a processor core, CSA embodiments herein may provide for more computational density and energy efficiency. For example, when PEs are very small (e.g., compared to a core), the CSA may perform many more operations and have much more computational parallelism than a core, e.g., perhaps as many as 16 times the number of FMAs as a vector processing unit (VPU). To utilize all of these computational elements, the energy per operation is very low in certain embodiments.

[0149] The energy advantages our embodiments of this dataflow architecture are many. Parallelism is explicit in dataflow graphs and embodiments of the CSA architecture spend no or minimal energy to extract it, e.g., unlike out-of-order processors which must re-discover parallelism each time an instruction is executed. Since each PE is responsible for a single operation in one embodiment, the register files and ports counts may be small, e.g., often only one, and therefore use less energy than their counterparts in core. Certain CSAs include many PEs, each of which holds live program values, giving the aggregate effect of a huge register file in a traditional architecture, which dramatically reduces memory accesses. In embodiments where the memory is multi-ported and distributed, a CSA may sustain many more outstanding memory requests and utilize more bandwidth than a core. These advantages may combine to yield an energy level per watt that is only a small percentage over the cost of the bare arithmetic circuitry. For example, in the case of an integer multiply, a CSA may consume no more than 25% more energy than the underlying multiplication circuit. Relative to one embodiment of a core, an integer operation in that CSA fabric consumes less than l/30th of the energy per integer operation.

[0150] From a programming perspective, the application-specific malleability of embodiments of the CSA architecture yields significant advantages over a vector processing unit (VPU). In traditional, inflexible architectures, the number of functional units, like floating divide or the various transcendental mathematical functions, must be chosen at design time based on some expected use case. In embodiments of the CSA architecture, such functions may be configured (e.g., by a user and not a manufacturer) into the fabric based on the requirement of each application. Application throughput may thereby be further increased. Simultaneously, the compute density of embodiments of the CSA improves by avoiding hardening such functions, and instead provision more instances of primitive functions like floating multiplication. These advantages may be significant in HPC workloads, some of which spend 75% of floating execution time in transcendental functions.

[0151] Certain embodiments of the CSA represents a significant advance as a dataflow- oriented spatial architectures, e.g., the PEs of this disclosure may be smaller, but also more energy-efficient. These improvements may directly result from the combination of dataflow- oriented PEs with a lightweight, circuit switched interconnect, for example, which has single cycle latency, e.g., in contrast to a packet switched network (e.g., with, at a minimum, a 300% higher latency). Certain embodiments of PEs support 32-bit or 64-bit operation. Certain embodiments herein permit the introduction of new application-specific PEs, for example, for machine learning or security, and not merely a homogeneous combination. Certain embodiments herein combine lightweight dataflow-oriented processing elements with a lightweight, low-latency network to form an energy efficient computational fabric.

[0152] In order for certain spatial architectures to be successful, programmers are to configure them with relatively little effort, e.g., while obtaining significant power and performance superiority over sequential cores. Certain embodiments herein provide for a CSA (e.g., spatial fabric) that is easily programmed (e.g., by a compiler), power efficient, and highly parallel. Certain embodiments herein provide for a (e.g., interconnect) network that achieves these three goals. From a programmability perspective, certain embodiments of the network provide flow controlled channels, e.g., which correspond to the control-dataflow graph (CDFG) model of execution used in compilers. Certain network embodiments utilize dedicated, circuit switched links, such that program performance is easier to reason about, both by a human and a compiler, because performance is predictable. Certain network embodiments offer both high bandwidth and low latency. Certain network embodiments (e.g., static, circuit switching) provides a latency of 0 to 1 cycle (e.g., depending on the transmission distance.) Certain network embodiments provide for a high bandwidth by laying out several networks in parallel, e.g., and in low-level metals. Certain network embodiments communicate in low-level metals and over short distances, and thus are very power efficient.

[0153] Certain embodiments of networks include architectural support for flow control. For example, in spatial accelerators composed of small processing elements (PEs), communications latency and bandwidth may be critical to overall program performance. Certain embodiments herein provide for a light-weight, circuit switched network which facilitates communication between PEs in spatial processing arrays, such as the spatial array shown in Figure 6, and the micro-architectural control features necessary to support this network. Certain embodiments of a network enable the construction of point-to-point, flow controlled communications channels which support the communications of the dataflow oriented processing elements (PEs). In addition to point-to-point communications, certain networks herein also support multicast communications. Communications channels may be formed by statically configuring the network to from virtual circuits between PEs. Circuit switching techniques herein may decrease communications latency and commensurately minimize network buffering, e.g., resulting in both high performance and high energy efficiency. In certain embodiments of a network, inter-PE latency may be as low as a zero cycles, meaning that the downstream PE may operate on data in the cycle after it is produced. To obtain even higher bandwidth, and to admit more programs, multiple networks may be laid out in parallel, e.g., as shown in Figure 6.

[0154] Spatial architectures, such as the one shown in Figure 6, may be the composition of lightweight processing elements connected by an inter-PE network (and/or

communications network (e.g., a network dataflow endpoint circuit thereof)). Programs, viewed as dataflow graphs, may be mapped onto the architecture by configuring PEs and the network. Generally, PEs may be configured as dataflow operators, and once (e.g., all) input operands arrive at the PE, some operation may then occur, and the result are forwarded to the desired downstream PEs. PEs may communicate over dedicated virtual circuits which are formed by statically configuring a circuit switched communications network. These virtual circuits may be flow controlled and fully back-pressured, e.g., such that PEs will stall if either the source has no data or the destination is full. At runtime, data may flow through the PEs implementing the mapped algorithm. For example, data may be streamed in from memory, through the fabric, and then back out to memory. Embodiments of this architecture may achieve remarkable performance efficiency relative to traditional multicore processors: for example, where compute, in the form of PEs, is simpler and more numerous than larger cores and communication are direct, e.g., as opposed to an extension of the memory system.

[0155] Figure 6 illustrates an accelerator tile 600 comprising an array of processing elements (PEs) according to embodiments of the disclosure. The interconnect network is depicted as circuit switched, statically configured communications channels. For example, a set of channels coupled together by a switch (e.g., switch 610 in a first network and switch 611 in a second network). The first network and second network may be separate or coupled together. For example, switch 610 may couple one or more of the four data paths (612, 614, 616, 618) together, e.g., as configured to perform an operation according to a dataflow graph. In one embodiment, the number of data paths is any plurality. Processing element (e.g., processing element 604) may be as disclosed herein, for example, as in Figure 9. Accelerator tile 600 includes a memory/cache hierarchy interface 602, e.g., to interface the accelerator tile 600 with a memory and/or cache. A data path (e.g., 618) may extend to another tile or terminate, e.g., at the edge of a tile. A processing element may include an input buffer (e.g., buffer 606) and an output buffer (e.g., buffer 608).

[0156] Operations may be executed based on the availability of their inputs and the status of the PE. A PE may obtain operands from input channels and write results to output channels, although internal register state may also be used. Certain embodiments herein include a configurable dataflow-friendly PE. Figure 9 shows a detailed block diagram of one such PE: the integer PE. This PE consists of several I/O buffers, an ALU, a storage register, some instruction registers, and a scheduler. Each cycle, the scheduler may select an instruction for execution based on the availability of the input and output buffers and the status of the PE. The result of the operation may then be written to either an output buffer or to a (e.g., local to the PE) register. Data written to an output buffer may be transported to a downstream PE for further processing. This style of PE may be extremely energy efficient, for example, rather than reading data from a complex, multi-ported register file, a PE reads the data from a register. Similarly, instructions may be stored directly in a register, rather than in a virtualized instruction cache.

[0157] Instruction registers may be set during a special configuration step. During this step, auxiliary control wires and state, in addition to the inter-PE network, may be used to stream in configuration across the several PEs comprising the fabric. As result of parallelism, certain embodiments of such a network may provide for rapid reconfiguration, e.g., a tile sized fabric may be configured in less than about 10 microseconds.

[0158] Figure 9 represents one example configuration of a processing element, e.g., in which all architectural elements are minimally sized. In other embodiments, each of the components of a processing element is independently scaled to produce new PEs. For example, to handle more complicated programs, a larger number of instructions that are executable by a PE may be introduced. A second dimension of configurability is in the function of the PE arithmetic logic unit (ALU). In Figure 9, an integer PE is depicted which may support addition, subtraction, and various logic operations. Other kinds of PEs may be created by substituting different kinds of functional units into the PE. An integer

multiplication PE, for example, might have no registers, a single instruction, and a single output buffer. Certain embodiments of a PE decompose a fused multiply add (FMA) into separate, but tightly coupled floating multiply and floating add units to improve support for multiply- add-heavy workloads. PEs are discussed further below.

[0159] Figure 7A illustrates a configurable data path network 700 (e.g., of network one or network two discussed in reference to Figure 6) according to embodiments of the disclosure. Network 700 includes a plurality of multiplexers (e.g., multiplexers 702, 704, 706) that may be configured (e.g., via their respective control signals) to connect one or more data paths (e.g., from PEs) together. Figure 7B illustrates a configurable flow control path network 701 (e.g., network one or network two discussed in reference to Figure 6) according to embodiments of the disclosure. A network may be a light-weight PE-to-PE network. Certain embodiments of a network may be thought of as a set of composable primitives for the construction of distributed, point-to-point data channels. Figure 7A shows a network that has two channels enabled, the bold black line and the dotted black line. The bold black line channel is multicast, e.g., a single input is sent to two outputs. Note that channels may cross at some points within a single network, even though dedicated circuit switched paths are formed between channel endpoints. Furthermore, this crossing may not introduce a structural hazard between the two channels, so that each operates independently and at full bandwidth.

[0160] Implementing distributed data channels may include two paths, illustrated in Figures 7A-7B. The forward, or data path, carries data from a producer to a consumer.

Multiplexors may be configured to steer data and valid bits from the producer to the consumer, e.g., as in Figure 7 A. In the case of multicast, the data will be steered to multiple consumer endpoints. The second portion of this embodiment of a network is the flow control or backpressure path, which flows in reverse of the forward data path, e.g., as in Figure 7B. Consumer endpoints may assert when they are ready to accept new data. These signals may then be steered back to the producer using configurable logical conjunctions, labelled as (e.g., backflow) flowcontrol function in Figure 7B. In one embodiment, each flowcontrol function circuit may be a plurality of switches (e.g., muxes), for example, similar to Figure 7A. The flow control path may handle returning control data from consumer to producer.

Conjunctions may enable multicast, e.g., where each consumer is ready to receive data before the producer assumes that it has been received. In one embodiment, a PE is a PE that has a dataflow operator as its architectural interface. Additionally or alternatively, in one embodiment a PE may be any kind of PE (e.g., in the fabric), for example, but not limited to, a PE that has an instruction pointer, triggered instruction, or state machine based architectural interface.

[0161] The network may be statically configured, e.g., in addition to PEs being statically configured. During the configuration step, configuration bits may be set at each network component. These bits control, for example, the multiplexer selections and flow control functions. A network may comprise a plurality of networks, e.g., a data path network and a flow control path network. A network or plurality of networks may utilize paths of different widths (e.g., a first width, and a narrower or wider width). In one embodiment, a data path network has a wider (e.g., bit transport) width than the width of a flow control path network. In one embodiment, each of a first network and a second network includes their own data path network and flow control path network, e.g., data path network A and flow control path network A and wider data path network B and flow control path network B.

[0162] Certain embodiments of a network are bufferless, and data is to move between producer and consumer in a single cycle. Certain embodiments of a network are also boundless, that is, the network spans the entire fabric. In one embodiment, one PE is to communicate with any other PE in a single cycle. In one embodiment, to improve routing bandwidth, several networks may be laid out in parallel between rows of PEs.

[0163] Relative to FPGAs, certain embodiments of networks herein have three advantages: area, frequency, and program expression. Certain embodiments of networks herein operate at a coarse grain, e.g., which reduces the number configuration bits, and thereby the area of the network. Certain embodiments of networks also obtain area reduction by implementing flow control logic directly in circuitry (e.g., silicon). Certain embodiments of hardened network implementations also enjoys a frequency advantage over FPGA.

Because of an area and frequency advantage, a power advantage may exist where a lower voltage is used at throughput parity. Finally, certain embodiments of networks provide better high-level semantics than FPGA wires, especially with respect to variable timing, and thus those certain embodiments are more easily targeted by compilers. Certain embodiments of networks herein may be thought of as a set of composable primitives for the construction of distributed, point-to-point data channels. [0164] In certain embodiments, a multicast source may not assert its data valid unless it receives a ready signal from each sink. Therefore, an extra conjunction and control bit may be utilized in the multicast case.

[0165] Like certain PEs, the network may be statically configured. During this step, configuration bits are set at each network component. These bits control, for example, the multiplexer selection and flow control function. The forward path of our network requires some bits to swing its muxes. In the example shown in Figure 7 A, four bits per hop are required: the east and west muxes utilize one bit each, while the southbound multiplexer utilize two bits. In this embodiment, four bits may be utilized for the data path, but 7 bits may be utilized for the flow control function (e.g., in the flow control path network). Other embodiments may utilize more bits, for example, if a CSA further utilizes a north-south direction. The flow control function may utilize a control bit for each direction from which flow control can come. This may enables the setting of the sensitivity of the flow control function statically. The table 1 below summarizes the Boolean algebraic implementation of the flow control function for the network in Figure 7B, with configuration bits capitalized. In this example, seven bits are utilized.

Table 1: Flow Implementation

For the third flow control box from the left in Figure 7B, EAST_WEST_SENSITIVE and NORTH_SOUTH_SENSITIVE are depicted as set to implement the flow control for the bold line and dotted line channels, respectively.

[0166] Figure 8 illustrates a hardware processor tile 800 comprising an accelerator 802 according to embodiments of the disclosure. Accelerator 802 may be a CSA according to this disclosure. Tile 800 includes a plurality of cache banks (e.g., cache bank 808). Request address file (RAF) circuits 810 may be included, e.g., as discussed below in Section 2.2. ODI may refer to an On Die Interconnect, e.g., an interconnect stretching across an entire die connecting up all the tiles. OTI may refer to an On Tile Interconnect, for example, stretching across a tile, e.g., connecting cache banks on the tile together. 2.1 Processing Elements

[0167] In certain embodiments, a CSA includes an array of heterogeneous PEs, in which the fabric is composed of several types of PEs each of which implement only a proper subset of the dataflow operators. By way of example, Figure 9 shows a provisional implementation of a PE capable of implementing a broad set of the integer and control operations. Other PEs, including those supporting floating point addition, floating point multiplication, buffering, and certain control operations may have a similar implementation style, e.g., with the appropriate (dataflow operator) circuitry substituted for the ALU. PEs (e.g., dataflow operators) of a CSA may be configured (e.g., programmed) before the beginning of execution to implement a particular dataflow operation from among the set that the PE supports. A configuration may include one or two control words which specify an opcode controlling the ALU, steer the various multiplexors within the PE, and actuate dataflow into and out of the PE channels. Dataflow operators may be implemented by microcoding these configurations bits. The depicted integer PE 900 in Figure 9 is organized as a single-stage logical pipeline flowing from top to bottom. Data enters PE 900 from one of set of local networks, where it is registered in an input buffer for subsequent operation. Each PE may support a number of wide, data-oriented and narrow, control-oriented channels. The number of provisioned channels may vary based on PE functionality, but one embodiment of an integer-oriented PE has 2 wide and 1-2 narrow input and output channels. Although the integer PE is

implemented as a single-cycle pipeline, other pipelining choices may be utilized. For example, multiplication PEs may have multiple pipeline stages.

[0168] PE execution may proceed in a dataflow style. Based on the configuration microcode, the scheduler may examine the status of the PE ingress and egress buffers, and, when all the inputs for the configured operation have arrived and the egress buffer of the operation is available, orchestrates the actual execution of the operation by a dataflow operator (e.g., on the ALU). The resulting value may be placed in the configured egress buffer. Transfers between the egress buffer of one PE and the ingress buffer of another PE may occur asynchronously as buffering becomes available. In certain embodiments, PEs are provisioned such that at least one dataflow operation completes per cycle. Section 2 discussed dataflow operator encompassing primitive operations, such as add, xor, or pick. Certain embodiments may provide advantages in energy, area, performance, and latency. In one embodiment, with an extension to a PE control path, more fused combinations may be enabled. In one embodiment, the width of the processing elements is 64 bits, e.g., for the heavy utilization of double-precision floating point computation in HPC and to support 64-bit memory addressing.

2.2 Communications Networks

[0169] Embodiments of the CSA microarchitecture provide a hierarchy of networks which together provide an implementation of the architectural abstraction of latency- insensitive channels across multiple communications scales. The lowest level of CSA communications hierarchy may be the local network. The local network may be statically circuit switched, e.g., using configuration registers to swing multiplexor(s) in the local network data-path to form fixed electrical paths between communicating PEs. In one embodiment, the configuration of the local network is set once per dataflow graph, e.g., at the same time as the PE configuration. In one embodiment, static, circuit switching optimizes for energy, e.g., where a large majority (perhaps greater than 95%) of CSA communications traffic will cross the local network. A program may include terms which are used in multiple expressions. To optimize for this case, embodiments herein provide for hardware support for multicast within the local network. Several local networks may be ganged together to form routing channels, e.g., which are interspersed (as a grid) between rows and columns of PEs. As an optimization, several local networks may be included to carry control tokens. In comparison to a FPGA interconnect, a CSA local network may be routed at the granularity of the data-path, and another difference may be a CSA’s treatment of control. One embodiment of a CSA local network is explicitly flow controlled (e.g., back-pressured). For example, for each forward data-path and multiplexor set, a CSA is to provide a backward-flowing flow control path that is physically paired with the forward data-path. The combination of the two microarchitectural paths may provide a low-latency, low-energy, low-area, point-to-point implementation of the latency-insensitive channel abstraction. In one embodiment, a CSA’s flow control lines are not visible to the user program, but they may be manipulated by the architecture in service of the user program. For example, the exception handling mechanisms described in Section 1.2 may be achieved by pulling flow control lines to a“not present” state upon the detection of an exceptional condition. This action may not only gracefully stalls those parts of the pipeline which are involved in the offending computation, but may also preserve the machine state leading up the exception, e.g., for diagnostic analysis. The second network layer, e.g., the mezzanine network, may be a shared, packet switched network. Mezzanine network may include a plurality of distributed network controllers, network dataflow endpoint circuits. The mezzanine network (e.g., the network schematically indicated by the dotted box in Figure 46) may provide more general, long range

communications, e.g., at the cost of latency, bandwidth, and energy. In some programs, most communications may occur on the local network, and thus mezzanine network provisioning will be considerably reduced in comparison, for example, each PE may connects to multiple local networks, but the CSA will provision only one mezzanine endpoint per logical neighborhood of PEs. Since the mezzanine is effectively a shared network, each mezzanine network may carry multiple logically independent channels, e.g., and be provisioned with multiple virtual channels. In one embodiment, the main function of the mezzanine network is to provide wide-range communications in-between PEs and between PEs and memory. In addition to this capability, the mezzanine may also include network dataflow endpoint circuit(s), for example, to perform certain dataflow operations. In addition to this capability, the mezzanine may also operate as a runtime support network, e.g., by which various services may access the complete fabric in a user-program-transparent manner. In this capacity, the mezzanine endpoint may function as a controller for its local neighborhood, for example, during CSA configuration. To form channels spanning a CSA tile, three subchannels and two local network channels (which carry traffic to and from a single channel in the mezzanine network) may be utilized. In one embodiment, one mezzanine channel is utilized, e.g., one mezzanine and two local = 3 total network hops.

[0170] The composability of channels across network layers may be extended to higher level network layers at the inter-tile, inter-die, and fabric granularities.

[0171] Figure 9 illustrates a processing element 900 according to embodiments of the disclosure. In one embodiment, operation configuration register 919 is loaded during configuration (e.g., mapping) and specifies the particular operation (or operations) this processing (e.g., compute) element is to perform. Register 920 activity may be controlled by that operation (an output of multiplexer 916, e.g., controlled by the scheduler 914).

Scheduler 914 may schedule an operation or operations of processing element 900, for example, when input data and control input arrives. Control input buffer 922 is connected to local network 902 (e.g., and local network 902 may include a data path network as in Figure 7A and a flow control path network as in Figure 7B) and is loaded with a value when it arrives (e.g., the network has a data bit(s) and valid bit(s)). Control output buffer 932, data output buffer 934, and/or data output buffer 936 may receive an output of processing element 900, e.g., as controlled by the operation (an output of multiplexer 916). Status register 938 may be loaded whenever the ALU 918 executes (also controlled by output of multiplexer 916). Data in control input buffer 922 and control output buffer 932 may be a single bit. Multiplexer 921 (e.g., operand A) and multiplexer 923 (e.g., operand B) may source inputs.

[0172] For example, suppose the operation of this processing (e.g., compute) element is (or includes) what is called call a pick in Figure 3B. The processing element 900 then is to select data from either data input buffer 924 or data input buffer 926, e.g., to go to data output buffer 934 (e.g., default) or data output buffer 936. The control bit in 922 may thus indicate a 0 if selecting from data input buffer 924 or a 1 if selecting from data input buffer 926.

[0173] For example, suppose the operation of this processing (e.g., compute) element is (or includes) what is called call a switch in Figure 3B. The processing element 900 is to output data to data output buffer 934 or data output buffer 936, e.g., from data input buffer 924 (e.g., default) or data input buffer 926. The control bit in 922 may thus indicate a 0 if outputting to data output buffer 934 or a 1 if outputting to data output buffer 936.

[0174] Multiple networks (e.g., interconnects) may be connected to a processing element, e.g., (input) networks 902, 904, 906 and (output) networks 908, 910, 912. The connections may be switches, e.g., as discussed in reference to Figures 7 A and 7B. In one embodiment, each network includes two sub-networks (or two channels on the network), e.g., one for the data path network in Figure 7A and one for the flow control (e.g., backpressure) path network in Figure 7B. As one example, local network 902 (e.g., set up as a control interconnect) is depicted as being switched (e.g., connected) to control input buffer 922. In this embodiment, a data path (e.g., network as in Figure 7A) may carry the control input value (e.g., bit or bits) (e.g., a control token) and the flow control path (e.g., network) may carry the backpressure signal (e.g., backpressure or no-backpressure token) from control input buffer 922, e.g., to indicate to the upstream producer (e.g., PE) that a new control input value is not to be loaded into (e.g., sent to) control input buffer 922 until the backpressure signal indicates there is room in the control input buffer 922 for the new control input value (e.g., from a control output buffer of the upstream producer). In one embodiment, the new control input value may not enter control input buffer 922 until both (i) the upstream producer receives the “space available” backpressure signal from“control input” buffer 922 and (ii) the new control input value is sent from the upstream producer, e.g., and this may stall the processing element 900 until that happens (and space in the target, output buffer(s) is available).

[0175] Data input buffer 924 and data input buffer 926 may perform similarly, e.g., local network 904 (e.g., set up as a data (as opposed to control) interconnect) is depicted as being switched (e.g., connected) to data input buffer 924. In this embodiment, a data path (e.g., network as in Figure 7A) may carry the data input value (e.g., bit or bits) (e.g., a dataflow token) and the flow control path (e.g., network) may carry the backpressure signal (e.g., backpressure or no-backpressure token) from data input buffer 924, e.g., to indicate to the upstream producer (e.g., PE) that a new data input value is not to be loaded into (e.g., sent to) data input buffer 924 until the backpressure signal indicates there is room in the data input buffer 924 for the new data input value (e.g., from a data output buffer of the upstream producer). In one embodiment, the new data input value may not enter data input buffer 924 until both (i) the upstream producer receives the“space available” backpressure signal from “data input” buffer 924 and (ii) the new data input value is sent from the upstream producer, e.g., and this may stall the processing element 900 until that happens (and space in the target, output buffer(s) is available). A control output value and/or data output value may be stalled in their respective output buffers (e.g., 932, 934, 936) until a backpressure signal indicates there is available space in the input buffer for the downstream processing element(s).

[0176] A processing element 900 may be stalled from execution until its operands (e.g., a control input value and its corresponding data input value or values) are received and/or until there is room in the output buffer(s) of the processing element 900 for the data that is to be produced by the execution of the operation on those operands.

2.3 Memory Interface

[0177] The request address file (RAF) circuit, a simplified version of which is shown in Figure 10, may be responsible for executing memory operations and serves as an

intermediary between the CSA fabric and the memory hierarchy. As such, the main microarchitectural task of the RAF may be to rationalize the out-of-order memory subsystem with the in-order semantics of CSA fabric. In this capacity, the RAF circuit may be provisioned with completion buffers, e.g., queue-like structures that re-order memory responses and return them to the fabric in the request order. The second major functionality of the RAF circuit may be to provide support in the form of address translation and a page walker. Incoming virtual addresses may be translated to physical addresses using a channel- associative translation lookaside buffer (TLB). To provide ample memory bandwidth, each CSA tile may include multiple RAF circuits. Like the various PEs of the fabric, the RAF circuits may operate in a dataflow- style by checking for the availability of input arguments and output buffering, if required, before selecting a memory operation to execute. Unlike some PEs, however, the RAF circuit is multiplexed among several co-located memory operations. A multiplexed RAF circuit may be used to minimize the area overhead of its various subcomponents, e.g., to share the Accelerator cache interconnect (ACI) network (described in more detail in Section 2.4), shared virtual memory (SVM) support hardware, mezzanine network interface, and other hardware management facilities. However, there are some program characteristics that may also motivate this choice. In one embodiment, a (e.g., valid) dataflow graph is to poll memory in a shared virtual memory system. Memory- latency-bound programs, like graph traversals, may utilize many separate memory operations to saturate memory bandwidth due to memory-dependent control flow. Although each RAF may be multiplexed, a CSA may include multiple (e.g., between 8 and 32) RAFs at a tile granularity to ensure adequate cache bandwidth. RAFs may communicate with the rest of the fabric via both the local network and the mezzanine network. Where RAFs are multiplexed, each RAF may be provisioned with several ports into the local network. These ports may serve as a minimum-latency, highly-deterministic path to memory for use by latency- sensitive or high-bandwidth memory operations. In addition, a RAF may be provisioned with a mezzanine network endpoint, e.g., which provides memory access to runtime services and distant user-level memory accessors.

[0178] Figure 10 illustrates a request address file (RAF) circuit 1000 according to embodiments of the disclosure. In one embodiment, at configuration time, the memory load and store operations that were in a dataflow graph are specified in registers 1010. The arcs to those memory operations in the dataflow graphs may then be connected to the input queues 1022, 1024, and 1026. The arcs from those memory operations are thus to leave completion buffers 1028, 1030, or 1032. Dependency tokens (which may be single bits) arrive into queues 1018 and 1020. Dependency tokens are to leave from queue 1016. Dependency token counter 1014 may be a compact representation of a queue and track a number of dependency tokens used for any given input queue. If the dependency token counters 1014 saturate, no additional dependency tokens may be generated for new memory operations. Accordingly, a memory ordering circuit (e.g., a RAF in Figure 11) may stall scheduling new memory operations until the dependency token counters 1014 becomes unsaturated.

[0179] As an example for a load, an address arrives into queue 1022 which the scheduler 1012 matches up with a load in 1010. A completion buffer slot for this load is assigned in the order the address arrived. Assuming this particular load in the graph has no dependencies specified, the address and completion buffer slot are sent off to the memory system by the scheduler (e.g., via memory command 1042). When the result returns to multiplexer 1040 (shown schematically), it is stored into the completion buffer slot it specifies (e.g., as it carried the target slot all along though the memory system). The completion buffer sends results back into local network (e.g., local network 1002, 1004, 1006, or 1008) in the order the addresses arrived.

[0180] Stores may be similar except both address and data have to arrive before any operation is sent off to the memory system.

2.4 Cache

[0181] Dataflow graphs may be capable of generating a profusion of (e.g., word granularity) requests in parallel. Thus, certain embodiments of the CSA provide a cache subsystem with sufficient bandwidth to service the CSA. A heavily banked cache microarchitecture, e.g., as shown in Figure 11 may be utilized. Figure 11 illustrates a circuit 1100 with a plurality of request address file (RAF) circuits (e.g., RAF circuit (1)) coupled between a plurality of accelerator tiles (1108, 1110, 1112, 1114) and a plurality of cache banks (e.g., cache bank 1102) according to embodiments of the disclosure. In one embodiment, the number of RAFs and cache banks may be in a ratio of either 1:1 or 1:2. Cache banks may contain full cache lines (e.g., as opposed to sharding by word), with each line having exactly one home in the cache. Cache lines may be mapped to cache banks via a pseudo-random function. The CSA may adopt the shared virtual memory (SVM) model to integrate with other tiled architectures. Certain embodiments include an Accelerator cache interconnect (ACI) network connecting the RAFs to the cache banks. This network may carry address and data between the RAFs and the cache. The topology of the ACI may be a cascaded crossbar, e.g., as a compromise between latency and implementation complexity. Depicted accelerator cache interconnect is also coupled to a cache home agent (CHA) and/or next level cache 1116. In one embodiment, cache home agent serves as the local coherence and cache controller (e.g., caching agent) and/or also serves as the global coherence and memory controller interface (e.g., home agent). Request address file circuit may be as discussed herein, e.g., in Section 2.2.

2.5 Integrated Performance Monitoring Circuitry

[0182] Certain embodiments herein include a spatial array of processing elements as an energy-efficient and high-performance way of accelerating user applications. One of the main goals for a spatial architecture may be to deliver (e.g., very) high performance for highly complex applications and those may include multiple iterations of performance tuning to decide the optimal data flow operator binding, placement, routing, data placement in the memory/cache hierarchy, data representation/layout, dynamic power/frequency work point selection, etc. in order to extract the full performance potential of the spatial architecture. In certain embodiments of highly complex performance tuning exercises, a challenge may be deciding what to measure and invest in the necessary hardware to measure those performance metrics. A software only instrumentation approach may introduce too much overhead and/or too much perturbation to the system for the performance monitoring data to be fully relied on as accurate. In one embodiment, unused (e.g., for a particular dataflow graph) (e.g., integer) performance elements (PEs) may be utilized to construct a performance monitor (e.g., logic). However, there may not be enough unused (e.g., integer) PEs to provide performance monitoring and/or not enough local network resources to route the data from those previously unused (e.g., integer) PEs, for example, those PEs essentially become unreachable for constructing a performance monitor. In one embodiment where the (e.g., all of) data flow operators are placed-and-routed again to make those previously unused (e.g., integer PEs) reachable, it runs the risk of perturbing the dataflow graph too much to trust the data from those performance monitors.

[0183] Certain embodiments herein provide a cost-effective approach to performance monitoring in a spatial array. Certain embodiments herein provide a cost-effective approach that does not require the user to find unused (e.g., for a dataflow graph) (e.g., integer) PEs. Certain embodiments herein avoid a graph perturbation issue due to the need to place-and- route the various data flow operators again in order to accommodate previously unused PEs that are re-purposed as a performance monitor. Certain embodiments achieve one or more of the above by injecting light weight performance monitoring circuits into the spatial array, for example, and tie these into an in- fabric network(s).

[0184] Certain embodiments herein provide for a spatial array (e.g., CSA) that includes performance monitoring circuits. In one embodiment, performance monitoring circuits are light weight, purpose-built, flexible circuits that are disposed (e.g., built into) the spatial fabric. In one embodiment, performance monitoring circuits are programmed (e.g., configured) to monitor (e.g., nearby and/or active) processing elements or other circuits to provide key insight into the performance characteristics of the application (e.g., dataflow graph) that is mapped onto the given spatial fabric. Certain embodiments of performance monitoring circuits include (e.g., small) counters (e.g., each including about 8 bits to about 16 bits) which track the activity of the associated PEs. In certain embodiments, on a threshold value being exceeded (e.g., or equaled) (e.g., overflow) or a flush, counter information is forwarded to a performance manager circuit. In one embodiment, a (e.g., single) performance manager circuit includes and maintain higher resolution counters and/or interfaces to external (e.g., separate from a dataflow graph) software. Certain embodiments herein provide for performance tuning, e.g., which may be critical for unleashing the performance capability of a spatial array (e.g., spatial fabric). Certain embodiments herein allow the flexibility to monitor many hardware events throughout the spatial array (e.g.,

CSA) at a very low cost with no to little perturbation and energy cost in a distributed fashion. Certain embodiments herein provide for data values to indicate how optimal the dataflow operator mapping (e.g., placement and routing) is for an application. Certain embodiments thus greatly improve spatial fabric compiler technology and place and/or route software technology.

[0185] In certain embodiments, a plurality of performance monitoring circuits (e.g., as separate components from processing elements of the spatial array) spread in a spatial array of processing elements (e.g., a CSA) allows programs or applications that are mapped onto the spatial fabric (e.g., programs, viewed as dataflow graphs, mapped onto the architecture by configuring PEs and/or the network) to not utilize processing resources (e.g., PEs) to perform or to take into account performance monitoring, e.g., but instead make use of the dedicated performance monitoring resources. In certain embodiments, there is (e.g., virtually) no disturbance to the graph execution so the performance monitoring data it gathers is trustworthy. In certain embodiments, the high fidelity of the performance data gives (e.g., the user) the confidence and motivation to not just go after various performance enhancement ideas, for example, in print functions (e.g., printfs), simulation, emulation, software-based performance data instrumentation, or other subtle or more complex performance

enhancement ideas to fully optimize the application. In one embodiment, unlike simulation, emulation, or software based performance data instrumentation, the spatial fabric including one or more performance monitoring circuits operates at or near full speed and allows many iterations of performance data gathering and tuning, for example, in a short amount of time (e.g., as fast as every minute performing a new experiment and measuring the data). In certain embodiments, by distributing a plurality of performance monitoring circuits throughout the spatial fabric, the number of raw values (e.g., one value from each PE) for each performance monitoring circuit to monitor is fairly small and close by (e.g., all PEs for a single performance monitoring circuit). Certain embodiments herein provide for a performance monitoring circuit(s) that is light weight in terms of its control circuitry and storage requirements. Certain embodiments herein provide for a performance monitoring circuit(s) that minimizes the energy that is used to transmit the raw performance data values from the monitored (e.g., operating) PEs to the performance monitoring circuit and the associated wiring and timing overhead. In certain embodiments, including higher-resolution counters and/or software interface outside of the spatial (e.g., in a performance manager circuit) avoids utilizing (e.g., any) physical area (e.g., in silicon) within the spatial (e.g., PE) array.

[0186] Figure 12 illustrates a system 1200 with a spatial array of processing elements 1202 including a plurality of performance monitoring circuits 1204 according to

embodiments of the disclosure. In certain embodiments, a spatial array is any spatial array, e.g., any CSA discussed herein. In certain embodiments, a processing element (PE) is any PE, e.g., any PE discussed herein. System 1200 (e.g., circuit) includes a plurality of request address file (RAF) circuits (e.g., RAF circuit (1) 1208) coupled between a spatial array of processing elements 1202 (e.g., system 1200 formed as a single accelerator tile) and a plurality of cache banks (e.g., cache bank (1) 1210) according to embodiments of the disclosure. In one embodiment, the number of RAFs and cache banks may be in a ratio of either 1:1 or 1:2. Cache banks may contain full cache lines (e.g., as opposed to sharding by word), with each line having exactly one home in the cache. Cache lines may be mapped to cache banks via a pseudo-random function. The CSA may adopt the shared virtual memory (SVM) model to integrate with other tiled architectures. Certain embodiments include an accelerator cache interconnect 1212 (e.g., an Accelerator Cache Interconnect (ACI) network as discussed herein) connecting the RAFs to the cache banks. The accelerator cache interconnect 1212 may carry address and data between the RAFs and the cache. The topology of the accelerator cache interconnect 1212 may be a cascaded crossbar, e.g., as a compromise between latency and implementation complexity. Depicted accelerator cache interconnect 1212 is also coupled to a cache home agent (CHA) and/or next level cache 1216. In one embodiment, cache home agent serves as the local coherence and cache controller (e.g., caching agent) and/or also serves as the global coherence and memory controller interface (e.g., home agent). Request address file circuit may be as discussed herein, e.g., in Section 2.2.

[0187] Depicted system 1200 includes plurality of performance monitoring circuits 1204 disposed throughout the spatial array of processing elements 1202. In one embodiment, a single (e.g., each) performance monitoring circuit is to receive a monitoring value from a plurality of processing elements (PEs). For example, performance monitoring circuit 1204 may receive a monitoring value or values from each of the (e.g., eight) adjacent PEs. In one embodiment, a (e.g., each) performance monitoring circuits is receive a monitoring value or values from each of 2, 3, 4, 5, 6, 7, 8, 9, 10, 20, 30, 32, 40, etc. PEs. In one embodiment, a (e.g., each) performance monitoring circuit is to receive a monitoring value or values from one or more (e.g., all) of the PEs that abut the performance monitoring circuit, for example, as shown in Figure 12. A PE may be the PE in Figure 13. A performance monitoring circuit may be the performance monitoring circuit in Figure 14. A performance monitoring circuit may aggregate performance monitoring messages (e.g., including performance monitoring values) into an aggregated performance monitoring message (e.g., an aggregated monitoring value). Performance monitoring circuit may send an aggregated performance monitoring message (e.g., an aggregated monitoring value) to the performance manager circuit, e.g., directly or via a RAF and ACI 1212.

[0188] A (e.g., each) RAF may optionally include an exception manager circuit, for example, depicted RAF 1208 includes exception manager circuit 1214. An exception manager circuit may tag (e.g., by adding a steering bit or bits) exception messages received from the performance monitoring circuits and forward them onward (e.g., via the ACI 1212) to the (e.g., central) performance manager circuit 1206. In one embodiment, this allows an exception manager circuit to forward the data immediately to a counter manager, e.g., to the performance manager circuit. Optionally, the exception manager circuit aggregates performance monitoring messages (e.g., including performance monitoring values) into an aggregated performance monitoring message (e.g., an aggregated monitoring value) to reduce traffic to the counter manager, e.g., where a (e.g., each) exception manager circuit is provisioned with circuitry (e.g., a counter) for this.

[0189] Performance manager circuit 1206 may receive an (e.g., aggregated) performance monitoring message (e.g., including monitoring value or values) from one or more (e.g., a proper subset of) performance monitoring circuits 1204. Performance manager circuit 1206 may perform various actions based on (e.g., receipt of) the (e.g., aggregated) performance monitoring message (e.g., including monitoring value or values). In one embodiment, performance manager circuit is to take a corrective action based on the (e.g., aggregated) performance monitoring message (e.g., including monitoring value or values). Corrective action may be increasing voltage and/or frequency to a PE that is executing slower than other PEs, e.g., PEs that are executing a part of a same dataflow graph. [0190] Performance manager circuit 1206 may aggregate the messages in a counter. Performance manager circuit 1206 may be set (e.g., programmed) to trigger an exception (e.g., send a message to the CSA device driver) indicating that an event has occurred or a threshold has been crossed or met. In certain embodiments, a performance monitoring circuit is set (e.g., programmed) to issue a message when a single event was observed.

[0191] Figure 13 illustrates a processing element 1300 according to embodiments of the disclosure. Processing element 1300 may include one or more (e.g., any) of the PE components discussed herein. Processing element 1300 may include one or more (e.g., any) of the monitoring paths (e.g., wires). In Figure 13, certain paths are shown as dotted lines.

In certain embodiments, monitoring paths are to send monitoring values from a connected component to a destination circuit, for example, to a performance monitoring circuit. In one embodiment, a monitoring path is a single wire to carry a single monitoring value (for example, a high value or low value, e.g., a binary one or binary zero, respectively), for example, a monitoring value from a single component of a PE.

[0192] In one embodiment, operation configuration register 1319 is loaded during configuration (e.g., mapping) and specifies the particular operation (or operations) this processing (e.g., compute) element is to perform. Register 1320 activity may be controlled by that operation (an output of multiplexer 1316, e.g., controlled by the scheduler 1314). Scheduler 1314 may schedule an operation or operations of processing element 1300, for example, when input data and control input arrives. Control input buffer 1322 is connected to local network 1302 (e.g., and local network 1302 may include a data path network as in Figure 7A and a flow control path network as in Figure 7B) and is loaded with a value when it arrives (e.g., the network has a data bit(s) and valid bit(s)). Control output buffer 1332, data output buffer 1334, and/or data output buffer 1336 may receive an output of processing element 1300, e.g., as controlled by the operation (an output of multiplexer 1316). Status register 1338 may be loaded whenever the ALU 1318 executes (also controlled by output of multiplexer 1316). Data in control input buffer 1322 and control output buffer 1332 may be a single bit. Multiplexer 1321 (e.g., operand A) and multiplexer 1323 (e.g., operand B) may source inputs.

[0193] For example, suppose the operation of this processing (e.g., compute) element is (or includes) what is called call a pick in Figure 3B. The processing element 1300 then is to select data from either data input buffer 1324 or data input buffer 1326, e.g., to go to data output buffer 1334 (e.g., default) or data output buffer 1336. The control bit in 1322 may thus indicate a 0 if selecting from data input buffer 1324 or a 1 if selecting from data input buffer 1326.

[0194] For example, suppose the operation of this processing (e.g., compute) element is (or includes) what is called call a switch in Figure 3B. The processing element 1300 is to output data to data output buffer 1334 or data output buffer 1336, e.g., from data input buffer 1324 (e.g., default) or data input buffer 1326. The control bit in 1322 may thus indicate a 0 if outputting to data output buffer 1334 or a 1 if outputting to data output buffer 1336.

[0195] Multiple networks (e.g., interconnects) may be connected to a processing element, e.g., (input) networks 1302, 1304, 1306 and (output) networks 1308, 1310, 1312. The connections may be switches, e.g., as discussed in reference to Figures 7A and 7B. In one embodiment, each network includes two sub-networks (or two channels on the network), e.g., one for the data path network in Figure 7 A and one for the flow control (e.g., backpressure) path network in Figure 7B. As one example, local network 1302 (e.g., set up as a control interconnect) is depicted as being switched (e.g., connected) to control input buffer 1322. In this embodiment, a data path (e.g., network as in Figure 7A) may carry the control input value (e.g., bit or bits) (e.g., a control token) and the flow control path (e.g., network) may carry the backpressure signal (e.g., backpressure or no-backpressure token) from control input buffer 1322, e.g., to indicate to the upstream producer (e.g., PE) that a new control input value is not to be loaded into (e.g., sent to) control input buffer 1322 until the backpressure signal indicates there is room in the control input buffer 1322 for the new control input value (e.g., from a control output buffer of the upstream producer). In one embodiment, the new control input value may not enter control input buffer 1322 until both (i) the upstream producer receives the“space available” backpressure signal from“control input” buffer 1322 and (ii) the new control input value is sent from the upstream producer, e.g., and this may stall the processing element 1300 until that happens (and space in the target, output buffer(s) is available).

[0196] Data input buffer 1324 and data input buffer 1326 may perform similarly, e.g., local network 1304 (e.g., set up as a data (as opposed to control) interconnect) is depicted as being switched (e.g., connected) to data input buffer 1324. In this embodiment, a data path (e.g., network as in Figure 7A) may carry the data input value (e.g., bit or bits) (e.g., a dataflow token) and the flow control path (e.g., network) may carry the backpressure signal (e.g., backpressure or no-backpressure token) from data input buffer 1324, e.g., to indicate to the upstream producer (e.g., PE) that a new data input value is not to be loaded into (e.g., sent to) data input buffer 1324 until the backpressure signal indicates there is room in the data input buffer 1324 for the new data input value (e.g., from a data output buffer of the upstream producer). In one embodiment, the new data input value may not enter data input buffer 1324 until both (i) the upstream producer receives the“space available” backpressure signal from “data input” buffer 1324 and (ii) the new data input value is sent from the upstream producer, e.g., and this may stall the processing element 1300 until that happens (and space in the target, output buffer(s) is available). A control output value and/or data output value may be stalled in their respective output buffers (e.g., 1332, 1334, 1336) until a backpressure signal indicates there is available space in the input buffer for the downstream processing element(s).

[0197] A processing element 1300 may be stalled from execution until its operands (e.g., a control input value and its corresponding data input value or values) are received and/or until there is room in the output buffer(s) of the processing element 1300 for the data that is to be produced by the execution of the operation on those operands.

[0198] Processing element may include one or more (e.g., any combination) of:

monitoring path 1322A from control input buffer 1322 (for example, to provide a first value (e.g., one) when the control input buffer 1322 is not empty and/or a second value (e.g., zero) when the control input buffer 1322 is empty), monitoring path 1324 A from data input buffer 1324 (for example, to provide a first value (e.g., one) when the data input buffer 1324 is not empty and/or a second value (e.g., zero) when the data input buffer 1324 is empty), monitoring path 1326A from data input buffer 1326 (for example, to provide a first value (e.g., one) when the data input buffer 1326 is not empty and/or a second value (e.g., zero) when the data input buffer 1326 is empty), monitoring path 1332A from control output buffer 1332 (for example, to provide a first value (e.g., one) when the control output buffer 1332 is not empty and/or a second value (e.g., zero) when the control output buffer 1332 is empty), monitoring path 1334 A from data output buffer 1334 (for example, to provide a first value (e.g., one) when the data output buffer 1334 is not empty and/or a second value (e.g., zero) when the data output buffer 1334 is empty), monitoring path 1336 A from data output buffer 1336 (for example, to provide a first value (e.g., one) when the data output buffer 1336 is not empty and/or a second value (e.g., zero) when the data output buffer 1336 is empty), monitoring path 1338 A from status register 1338 (for example, where the status register is loaded with a value to indicate that the processing element 1300 (e.g., ALU 1318) executes, and the monitoring path 1338A is to provide a first value (e.g., one) when the processing element 1300 executes (or executed in the immediately previous cycle) and to provide a second value (e.g., zero) when the processing element 1300 does not execute (or did not execute in the immediately previous cycle), monitoring path 1316A from multiplexer 1316 (for example, where the processing element 1300 is to perform multiple, different operations (e.g., as indicated in the elements of operation configuration register 1319), and the monitoring path 1316A is to provide a first value (e.g., one) when the operation that is executed (or was executed in the immediately previous cycle) is a first operation and/or a second value (e.g., zero) when the operation that is executed (or was executed in the immediately previous cycle) is a second, different operation), or monitoring path 1314A from scheduler 1314 (for example, scheduler 1314 may schedule an operation or operations of processing element 1300 (e.g., when input data and control input arrives), and the monitoring path 1314A is to (i) provide a first value (e.g., one) when an operation is completed by the processing element 1300 and/or provide a second value (e.g., zero) when the (e.g., in progress) operation is not yet completed by the processing element 1300), (ii) ) provide a first value (e.g., one) when there is no backpressure on a (e.g., input) buffer and data has arrived into the buffer and/or a second value (e.g., zero) when there is backpressure on a (e.g., input) buffer or data has not arrived into the buffer), or (iii) provide a first value (e.g., one) when data is stored in an (e.g., output) buffer by the PE 1300 and there is no backpressure on the (e.g., output) buffer (e.g., backpressure from a coupled PE) and/or provide a second value (e.g., zero) when data is not stored in an (e.g., output) buffer by the PE 1300 or there is backpressure on the (e.g., output) buffer (e.g., backpressure from a coupled PE)).

[0199] In certain embodiments, a processing element includes a stall path to stall the operation (e.g., execution) of the PE when a stall value is received. In the depicted embodiment, stall value is sent to processing element 1300 (e.g., to scheduler 1314) via stall value input path 1314B to stall operation (e.g., execution) of the PE. Stall value may be sent on stall path, e.g., from a performance monitoring circuit.

[0200] In one embodiment, a first value is different from a second value. In one embodiment, a buffer has multiple storage slots and empty indicates that none of the storage slots includes a (e.g., active) value.

[0201] In certain embodiment, one or more processing elements that include at least one monitoring path have their monitoring path(s) coupled to a (e.g., single) performance monitoring circuit (e.g., performance monitoring circuit 1400 in Figure 14). Additionally or alternatively, one or more processing elements may that include a stall path may have their stall path(s) coupled to a (e.g., single) performance monitoring circuit (e.g., performance monitoring circuit 1400 in Figure 14). Monitoring paths in Figure 13 may be their own (e.g., monitoring) network, for example, separate from any data networks and/or configuration networks (e.g., (input) networks 1302, 1304, 1306 and (output) networks 1308, 1310, 1312).

[0202] Figure 14 illustrates a performance monitoring circuit 1400 according to embodiments of the disclosure. In certain embodiments, performance monitoring circuit 1400 is to receive one or more monitoring values from a processing element or processing elements, for example, one or more of the monitoring values discussed in reference to Figure 13. Performance monitoring values may arrive on a monitoring path or paths, for example, separate from any data networks and/or configuration networks. In the depicted embodiment, configuration values 1404 are sent and stored into storage in performance monitoring circuit 1400. In one embodiment, the configuration values 1404 are sent to a (e.g., each) performance monitoring circuit 1400 on a data network and/or configuration network (e.g., one or more of (input) networks 1302, 1304, 1306 in Figure 13), in the same manner that PEs are configured at configuration time (e.g., as discussed herein). In one embodiment, configuration values include one or more (e.g., any combination of) performance monitoring select value (e.g., to be stored in performance monitoring data selects storage 1408), mask value (e.g., to be stored in mask storage 1410), and a threshold value (e.g., to be stored in threshold storage 1412).

[0203] In certain embodiments, the (e.g., all) performance monitoring values for a single PE are sent on a single path (e.g., 1406A may be a monitoring path to send the performance monitoring values from a first PE, 1406B may be a monitoring path to send the performance monitoring values from a second PE, 1406C may be a monitoring path to send the performance monitoring values from a third PE, and 1406D may be a monitoring path to send the performance monitoring values from a fourth PE). Although four (e.g., aggregated) monitoring paths are depicted in Figure 14, a single or any plurality of monitoring paths may be utilized (for example, with a single aggregated monitoring path from each PE monitored by a performance monitoring circuit, e.g., as in Figure 15). In one embodiment, the performance monitoring value is packed data where each element of multiple elements of the packed data includes a respective value for a component of a PE, for example, as discussed in reference to Figure 13.

[0204] In one embodiment, performance monitoring data selects storage 1408 stores a performance monitoring select value. Performance monitoring select value may be a control value used to select which of the performance monitoring values 1402 are output from switch (e.g., multiplexer) 1414. In one embodiment, performance monitoring select value is to select which (e.g., a proper subset of) PEs are to have their monitoring values outputted from the switch 1414, for example, to select which of coupled monitoring paths 1406A, 1406B, 1406C, and 1406D are to be coupled to the output of switch 1414 (e.g., to output a (e.g., single) value (e.g., of bit width N bits) to match circuit 1416. In one embodiment, match circuit 1416 uses a mask from mask storage 1410 to provide an output of (e.g., a proper subset of) the monitoring values. For example, if the performance monitoring value is packed data where each element of multiple elements of the packed data includes a respective value for a component of a PE, e.g., as discussed in reference to Figure 13, the mask may mask out (e.g., overwrite with a zero) the monitoring value for a component that is not to be monitored in the current monitoring. As one example in reference to Figures 13 and 14, (e.g., aggregated) monitoring path 1406A of Figure 14 may be coupled to PE 1300 of Figure 13 and include (e.g., a plurality of) the monitoring paths (depicted as dotted lines) and mask may be used to mask out the values that are not to be monitored. For example, (e.g., aggregated) monitoring path 1406A may include a monitoring value from each of monitoring path 1322A from control input buffer 1322, monitoring path 1324A from data input buffer 1324, monitoring path 1326A from data input buffer 1326. In this example, a mask may have three bits with each bit set to a first value (e.g., zero) to mask or a second value (e.g., one) to not mask a respective value (e.g., element) of the three monitoring values (e.g., elements). One use of this example may be to mask out the monitoring value for the control input buffer 1322 and only monitor the data input buffer 1324 and data input buffer 1326. The masked, monitoring values may then be output to accumulator 1418 to count how many times (e.g., in a cycle) the monitored value has occurred (e.g., since the accumulator was last cleared). This accumulated value may then be compared to the threshold value in threshold storage 1412 by threshold comparison circuit 1420. In one embodiment, if the threshold value is exceeded (or equaled) by the accumulated value, the threshold comparison circuit 1420 is to output an aggregated monitoring value 1422 (e.g., a threshold alert) (e.g., and then clear the value in the accumulator 1418) and if the threshold value is not exceeded (or equaled) by the accumulated value, the threshold comparison circuit 1420 is not to output an aggregated monitoring value 1422. Optionally, performance monitoring circuit 1400 (e.g., threshold comparison circuit 1420) may output a stall value 1424 to stall the PEs whose performance monitoring values are being monitored by the performance monitoring circuit 1400 and/or whose monitoring paths are coupled to the performance monitoring circuit 1400. Stall value 1424 (e.g., being set to one) may be sent to a PE to stall the PE, for example, while the aggregated monitoring value 1422 is being sent and/or the accumulator 1418 is being cleared. For example, stall value 1424 may be sent (e.g., on a stall path) to stall value input path 1314B of processing element 1300 (e.g., to scheduler 1314) to stall operation (e.g., execution) of the PE. In one embodiment, the aggregated monitoring value is sent to a performance manager circuit, e.g., via a RAF circuit. In one embodiment, a performance manager circuit is to send a flush value via flush path 1418A to a performance monitoring circuit to clear an accumulated value in the accumulator 1418.

[0205] In certain embodiment, a performance monitoring circuit is to collect (e.g., the number M) PE’s (e.g., raw) performance monitoring values and (e.g., up to N) values may be further processed at any given time. Those filtered N monitoring values can optionally go through a layer of match circuitry to generate interesting cross-products. For example, only counting when (i) both input A is valid (e.g., data input buffer 1324 in Figure 13 has data) and input B is valid (e.g., data input buffer 1326 in Figure 13 has data), or (ii) counting when input A (e.g., data input buffer 1324 in Figure 13 has data) or input C is valid (e.g., control input buffer 1322 in Figure 13 has data). Accumulator 1418 may count the number of monitored events that occur (e.g., in a given time period) and the threshold comparison circuit 1420 compares that against a pre-programmed threshold (e.g., where the threshold is initialized during the configuration phase of the spatial fabric) and when the threshold is exceeded or matched, a threshold alert (e.g., aggregated monitoring value ABC22) is generated. In certain embodiments, the threshold alert is sent over an exception network (e.g., as discussed in Figures 15, 50, and 53), e.g., to a performance manager circuit. In one embodiment, the network (e.g., exception network) over which messages are sent from the performance monitoring circuit to a performance manager circuit (e.g., via a RAF circuit) may be unavailable due to contention. A performance monitoring circuit may optionally signal associated, monitored PEs (e.g., via stall value 1424) to prevent them from executing. In certain embodiments, this ensures that in cases where absolute fidelity is required it can be obtained. In one embodiment, a performance monitoring circuit is to count the number of executions of particular PEs. In this case, the accumulator 1418 may periodically reach a threshold (e.g., overflow) and send an aggregated monitoring value (e.g., update packet) to a (e.g., centralized) performance manager circuit (e.g., to take an action based on that aggregated monitoring value and/or accumulate that aggregated monitoring value with a previously received aggregated monitoring value). In one embodiment, a performance monitoring circuit is implemented with (e.g., under) 30 bits of state and an adder circuit.

[0206] Figure 15 illustrates a performance monitoring circuit 1504 coupled to a performance manager circuit 1526 in an accelerator 1500 according to embodiments of the disclosure. Accelerator 1500 includes an array of processing elements coupled together by a (e.g., circuit switched) network. Depicted array of processing elements includes processing element 1506, processing element 1508, processing element 1510, processing element 1512, processing element 1514, processing element 1516, processing element 1518, and processing element 1520. Each processing element may be as discussed herein, for example, each may be an instance of PE 1300 in Figure 13.

[0207] In the depicted embodiment, each processing element that is to be monitored by performance monitoring circuit includes a monitoring path, e.g., in a network that is separate from the (e.g., circuit switched) network that couples the processing elements together and/or separate from a network (e.g., network 1524) that couples the performance monitoring circuit to a performance manager circuit. Monitoring paths are depicted as dotted lines in Figure 15. As depicted, monitoring path 1506A is to send performance monitoring values from PE 1506 to performance monitoring circuit 1504, monitoring path 1508A is to send performance monitoring values from PE 1508 to performance monitoring circuit 1504, monitoring path 1510 A is to send performance monitoring values from PE 1510 to performance monitoring circuit 1504, monitoring path 1512A is to send performance monitoring values from PE 1512 to performance monitoring circuit 1504, monitoring path 1514A is to send performance monitoring values from PE 1514 to performance monitoring circuit 1504, monitoring path 1516A is to send performance monitoring values from PE 1516 to performance monitoring circuit 1504, monitoring path 1518A is to send performance monitoring values from PE 1518 to performance monitoring circuit 1504, and monitoring path 1520A is to send performance monitoring values from PE 1520 to performance monitoring circuit 1504. Additionally or alternatively, dotted lines may illustrate a stall path (e.g., to send a stall value from performance monitoring circuit to one or more of the PEs).

[0208] Accelerator 1500 may include a memory/cache hierarchy interface 1528, e.g., to interface the accelerator 1500 with a memory and/or cache. In one embodiment,

memory/cache hierarchy interface 1528 couples to ACI 1212 in Figure 12. In one embodiment, memory/cache hierarchy interface 1528 is a RAF circuit, e.g., is RAF circuit 1208 in Figure 12, (for example, as discussed herein). [0209] In one embodiment, performance monitoring circuit 1504 is to receive monitoring values as input from the monitored PEs (e.g., processing element 1506, processing element 1508, processing element 1510, processing element 1512, processing element 1514, processing element 1516, processing element 1518, and processing element 1520). Other PEs may be monitored by one or more other performance monitoring circuits. In one embodiment, the performance monitoring circuit 1504 generates and outputs an aggregated monitoring value (e.g., as discussed in reference to Figure 14) based on the monitoring values received from the PEs. In the depicted embodiment, performance monitoring circuit may be coupled to a (e.g., exception) network 1524. In one embodiment, (e.g., exception) network 1524 is separate from the (e.g., circuit switched) network for dataflow between PEs.

Network 1524 is shown as a dashed-line box.

[0210] In one embodiment, network 1524 (e.g., exception network) includes a network controller 1522 to route data from a performance monitoring circuit and/or a mezzanine exception aggregator (e.g., mezzanine exception aggregator 5304 in Figure 53) to a desired destination. In one embodiment, network controller 1522 is to send aggregated monitoring values from performance monitoring circuit(s) to performance manager circuit 1526 and/or send exception data to a tile-level exception aggregator (e.g., tile-level exception aggregator 5302 in Figure 53). The network controller 1522 may pre-pend an aggregated monitoring value with its own unique ID (e.g., tag), e.g., ensuring that an aggregate monitoring message is unambiguous.

[0211] In one embodiment, the use of a performance monitoring circuit is an

improvement in computer functionality of an accelerator (e.g., CSA) because it allows for applications (e.g., dataflow graphs) that are mapped onto the spatial fabric to not take into account performance monitoring, but instead to make use of the dedicated resources. In one embodiment, the use of a performance monitoring circuit is an improvement in computer functionality of an accelerator (e.g., CSA) because it minimizes the energy that is used to transmit the raw performance data values from the monitored (e.g., operating) PEs to the performance monitoring circuit and the associated wiring and timing overhead. In one embodiment, network controller 1522 is coupled to performance monitoring circuit through a (e.g., exception) (e.g., shared) network, and network controller 1522 is coupled to performance manager circuit through another (e.g., shared) network (e.g., ACI network).

[0212] Additionally or alternatively, performance manager circuit 1526 may send a stall signal to performance monitoring circuit 1504, e.g., via network 1524 (e.g., exception network) and/or network controller 1522. In one embodiment, the performance monitoring circuit 1504 is to send a stall value on a stall path (e.g., the dotted lines in Figure 15) to each of the (e.g., eight) coupled processing elements to stall each of those processing elements when the performance monitoring circuit sends the aggregated monitoring value to the performance manager circuit 1526 and/or network controller 1522. In one embodiment, the performance manager circuit 1526 is to send a flush value to the performance monitoring circuit 1504 to cause the performance monitoring circuit 1504 to send a stall value on a stall path (e.g., the dotted lines) to each of the (e.g., eight) coupled processing elements to stall each of those processing elements, for example, to stall the PEs while a flush occurs (e.g., during a context switch or an access from external software to a performance counter).

[0213] Figure 16 illustrates a system 1600 with a performance monitoring circuit 1604 A of a spatial array 1602 of processing elements propagating an aggregated monitoring value to a performance manager circuit 1606 according to embodiments of the disclosure. In certain embodiments, a spatial array is any spatial array, e.g., any CSA discussed herein. In certain embodiments, a processing element (PE) is any PE, e.g., any PE discussed herein. System 1600 (e.g., circuit) includes a plurality of request address file (RAF) circuits (e.g., RAF circuit (1) 1608) coupled between a spatial array of processing elements 1602 (e.g., formed from one or more accelerator tiles) and a plurality of cache banks (e.g., cache bank (1) 1610) according to embodiments of the disclosure. In one embodiment, the number of RAFs and cache banks may be in a ratio of either 1:1 or 1:2. Cache banks may contain full cache lines (e.g., as opposed to sharding by word), with each line having exactly one home in the cache. Cache lines may be mapped to cache banks via a pseudo-random function. The CSA may adopt the shared virtual memory (SVM) model to integrate with other tiled architectures. Certain embodiments include an accelerator cache interconnect 1612 (e.g., an Accelerator Cache Interconnect (ACI) network) connecting the RAFs to the cache banks. The accelerator cache interconnect 1612 may carry address and data between the RAFs and the cache. The topology of the accelerator cache interconnect 1612 may be a cascaded crossbar, e.g., as a compromise between latency and implementation complexity. Depicted accelerator cache interconnect 1612 is also coupled to a cache home agent (CHA) and/or next level cache 1616. In one embodiment, cache home agent serves as the local coherence and cache controller (e.g., caching agent) and/or also serves as the global coherence and memory controller interface (e.g., home agent). Request address file circuit may be as discussed herein, e.g., in Section 2.2. [0214] Depicted system 1600 includes plurality of performance monitoring circuits 1604 disposed throughout the spatial array of processing elements 1602. In one embodiment, a single (e.g., each) performance monitoring circuit is to receive a monitoring value from a plurality of processing elements (PEs). For example, performance monitoring circuit 1604 may receive a monitoring value or values from each of the (e.g., eight to thirty-four, or a proper subset of those) adjacent PEs. In one embodiment, a (e.g., each) performance monitoring circuits is receive a monitoring value or values from each of 2, 3, 4, 5, 6, 7, 8, 9, 10, 20, 30, 40, 50, etc. PEs. In one embodiment, a (e.g., each) performance monitoring circuit is to receive a monitoring value or values from one or more (e.g., all) of the PEs that abut the performance monitoring circuit, for example, as shown in Figure 16. A PE may be the PE in Figure 13. A performance monitoring circuit may be the performance monitoring circuit in Figure 14. A performance monitoring circuit may aggregate performance monitoring messages (e.g., including performance monitoring values) into an aggregated performance monitoring message (e.g., an aggregated monitoring value). Performance monitoring circuit may send an aggregated performance monitoring message (e.g., an aggregated monitoring value) to the performance manager circuit, e.g., directly or via a RAF and ACI 1612.

[0215] A (e.g., each) RAF may optionally include an exception manager circuit, for example, depicted RAF circuit 1608 includes exception manager circuit 1614. An exception manager circuit may tag (e.g., by adding a steering bit or bits) exception messages received from the performance monitoring circuits and forward them onward (e.g., via the ACI 1612) to the (e.g., central) performance manager circuit 1606. In one embodiment, this allows an exception manager circuit to directly forward, e.g., to the performance manager circuit.

Optionally, the exception manager circuit aggregates performance monitoring messages (e.g., including performance monitoring values) into an aggregated performance monitoring message (e.g., an aggregated monitoring value) to reduce traffic to the counter manager, e.g., where a (e.g., each) exception manager circuit is provisioned with circuitry (e.g., a counter) for this.

[0216] Performance manager circuit 1606 may receive an (e.g., aggregated) performance monitoring message (e.g., including monitoring value or values) from one or more (e.g., a proper subset of) performance monitoring circuits 1604. Performance manager circuit 1606 may perform various actions based on (e.g., receipt of) the (e.g., aggregated) performance monitoring message (e.g., including monitoring value or values). Performance manager circuit 1606 may aggregate the messages in a counter. Performance manager circuit 1606 may be set (e.g., programmed) to trigger an exception (e.g., send a message to the CSA device driver) indicating that an event has occurred or a threshold has been crossed or met.

In certain embodiments, a performance monitoring circuit is set (e.g., programmed) to issue a message when a single event was observed. In one embodiment, performance manager circuit is to take a corrective action based on the (e.g., aggregated) performance monitoring message (e.g., including monitoring value or values). Corrective action may be increasing voltage and/or frequency to a PE that is executing slower than other PEs, e.g., PEs that are executing a part of a same dataflow graph.

[0217] As one example, at time ti (indicated by a circled one) an event, such as an overflow of PE 1602A, is detected by a performance monitoring circuitl604A, at time t 2 (indicated by a circled two), this event is propagated over the (e.g., exception) network to the RAF circuit 1608, and at time t 3 (indicated by a circled three), an event message (e.g., a monitoring value) is sent to performance manager circuit 1606, which may maintain a larger, aggregated view of the counter (e.g., with software visibility).

[0218] As another example, processing element 1602A experiences an event (e.g., an overflow) at time ti (indicated by a circled one) and the performance monitoring circuit 1604 A detects that event (e.g., and aggregates the monitoring value for that event with a prior monitoring value). In certain embodiments, the performance monitoring circuit 1604 A at time t 2 (indicated by a circled two) then sends the monitoring value (e.g., aggregated monitoring value) to a (e.g., closest) RAF circuit (e.g., RAF circuit 1608 in Figure 16). In certain embodiments, at time t 3 (indicated by a circled three) performance manager circuit 1606 may then take an (e.g., corrective and/or monitoring) action based on the monitoring value (e.g., aggregated monitoring value). In one embodiment, the particular PEs that communicate with a RAF circuit are part of the circuit design and not changeable after manufacture, for example, each RAF circuit will communicate with a known set of the PEs (e.g., the column or columns directly adjacent to it).

[0219] In one embodiment, multiple RAF circuits in a single spatial fabric (e.g., spatial array of processing elements 1602) is an improvement in computer functionality of an accelerator (e.g., CSA) because this allows distributed and concurrent (e.g., parallel) performance data processing from multiple performance monitoring circuits. For (e.g., very) complex global performance monitoring events and/or cross-products, all the RAF circuits (e.g., exception manager circuits thereof) may further propagate the performance data/events it receives to a (e.g., tile level) performance manager circuit where additional processing can occur. Application software and/or an operating system (OS) may also be utilized at this point to provide real-time tuning and/or feedback as necessary or allow more sophisticated offline analysis to be conducted. Performance manager circuit may be implemented as a hardware resource, for example, containing accumulation registers. A performance manager may be implemented as a firmware function on a microcontroller. In one embodiment, firmware involvement improves the scalability of the set of counters that are to be tracked. In certain embodiments, the performance manager will receive update messages (e.g., monitoring value or aggregated monitoring value) and append them to an aggregated counter or signal a higher- level event as desired. In one embodiment, a performance manager utilizes read-only, shared virtual memory (SVM) storage to represent performance counters, e.g., eliminating the overhead of a device request-response model and/or the cost of some minor loss of resolution. In certain embodiments (e.g., during certain system-level events), the monitoring values are to be flushed to obtain accurate telemetry, for example, during a context switch or an access from external software to a performance monitoring value. In this case, the performance manager may be provisioned with a message to flush the various performance monitoring circuits.

[0220] Figure 17 illustrates a flow diagram 1700 according to embodiments of the disclosure. Depicted flow 1700 includes overlaying an input of a dataflow graph comprising a plurality of nodes into a spatial array of processing elements comprising a first network with each node represented as a dataflow operator in the spatial array of processing elements 1702; performing an operation, with the spatial array of processing elements, by a respective, incoming operand set arriving at each of the dataflow operators 1704; sending at least one monitoring value from each of a first plurality of the processing elements on a second network to a first performance monitoring circuit 1706; generating a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements by the first performance monitoring circuit 1708; sending the first aggregated monitoring value to a performance manager circuit on a third network when a first threshold value is exceeded by the first aggregated monitoring value 1710; and performing an action based on the first aggregated monitoring value by the performance manager circuit 1712.

2.6 Network Resources, e.g.. Circuitry, to Perform (e.g.. Dataflow) Operations [0221] In certain embodiments, processing elements (PEs) communicate using dedicated virtual circuits which are formed by statically configuring a (e.g., circuit switched) communications network. These virtual circuits may be flow controlled and fully back- pressured, e.g., such that a PE will stall if either the source has no data or its destination is full. At runtime, data may flow through the PEs implementing the mapped dataflow graph (e.g., mapped algorithm). For example, data may be streamed in from memory, through the (e.g., fabric area of a) spatial array of processing elements, and then back out to memory.

[0222] Such an architecture may achieve remarkable performance efficiency relative to traditional multicore processors: compute, e.g., in the form of PEs, may be simpler and more numerous than cores and communications may be direct, e.g., as opposed to an extension of the memory system. However, the (e.g., fabric area of) spatial array of processing elements may be tuned for the implementation of compiler-generated expression trees, which may feature little multiplexing or demultiplexing. Certain embodiments herein extend (for example, via network resources, such as, but not limited to, network dataflow endpoint circuits) the architecture to support (e.g., high-radix) multiplexing and/or demultiplexing, for example, especially in the context of function calls.

[0223] Spatial arrays, such as the spatial array of processing elements 101 in Figure 1, may use (e.g., packet switched) networks for communications. Certain embodiments herein provide circuitry to overlay high-radix dataflow operations on these networks for communications. For example, certain embodiments herein utilize the existing network for communications (e.g., interconnect network 104 described in reference to Figure 1) to provide data routing capabilities between processing elements and other components of the spatial array, but also augment the network (e.g., network endpoints) to support the performance and/or control of some (e.g., less than all) of dataflow operations (e.g., without utilizing the processing elements to perform those dataflow operations). In one embodiment, (e.g., high radix) dataflow operations are supported with special hardware structures (e.g. network dataflow endpoint circuits) within a spatial array, for example, without consuming processing resources or degrading performance (e.g., of the processing elements).

[0224] In one embodiment, a circuit switched network between two points (e.g., between a producer and consumer of data) includes a dedicated communication line between those two points, for example, with (e.g., physical) switches between the two points set to create a (e.g., exclusive) physical circuit between the two points. In one embodiment, a circuit switched network between two points is set up at the beginning of use of the connection between the two points and maintained throughout the use of the connection. In another embodiment, a packet switched network includes a shared communication line (e.g., channel) between two (e.g., or more) points, for example, where packets from different connections share that communication line (for example, routed according to data of each packet, e.g., in the header of a packet including a header and a payload). An example of a packet switched network is discussed below, e.g., in reference to a mezzanine network.

[0225] Figure 18 illustrates a data flow graph 1800 of a pseudocode function call 1801 according to embodiments of the disclosure. Function call 1801 is to load two input data operands (e.g., indicated by pointers *a and *b, respectively), and multiply them together, and return the resultant data. This or other functions may be performed multiple times (e.g., in a dataflow graph). The dataflow graph in Figure 18 illustrates a PickAny dataflow operator 1802 to perform the operation of selecting a control data (e.g., an index) (for example, from call sites 1802A) and copying with copy dataflow operator 1804 that control data (e.g., index) to each of the first Pick dataflow operator 1806, second Pick dataflow operator 1806, and Switch dataflow operator 1816. In one embodiment, an index (e.g., from the PickAny thus inputs and outputs data to the same index position, e.g., of [0, 1...M], where M is an integer. First Pick dataflow operator 1806 may then pull one input data element of a plurality of input data elements 1806A according to the control data, and use the one input data element as (*a) to then load the input data value stored at *a with load dataflow operator 1810. Second Pick dataflow operator 1808 may then pull one input data element of a plurality of input data elements 1808A according to the control data, and use the one input data element as (*b) to then load the input data value stored at *b with load dataflow operator 1812. Those two input data values may then be multiplied by multiplication dataflow operator 1814 (e.g., as a part of a processing element). The resultant data of the

multiplication may then be routed (e.g., to a downstream processing element or other component) by Switch dataflow operator 1816, e.g., to call sites 1816A, for example, according to the control data (e.g., index) to Switch dataflow operator 1816.

[0226] Figure 18 is an example of a function call where the number of dataflow operators used to manage the steering of data (e.g., tokens) may be significant, for example, to steer the data to and/or from call sites. In one example, one or more of PickAny dataflow operator 1802, first Pick dataflow operator 1806, second Pick dataflow operator 1806, and Switch dataflow operator 1816 may be utilized to route (e.g., steer) data, for example, when there are multiple (e.g., many) call sites. In an embodiment where a (e.g., main) goal of introducing a multiplexed and/or demultiplexed function call is to reduce the implementation area of a particular dataflow graph, certain embodiments herein (e.g., of microarchitecture) reduce the area overhead of such multiplexed and/or demultiplexed (e.g., portions) of dataflow graphs.

[0227] Figure 19 illustrates a spatial array 1901 of processing elements (PEs) with a plurality of network dataflow endpoint circuits (1902, 1904, 1906) according to embodiments of the disclosure. Spatial array 1901 of processing elements may include a communications (e.g., interconnect) network in between components, for example, as discussed herein. In one embodiment, communications network is one or more (e.g., channels of a) packet switched communications network. In one embodiment, communications network is one or more circuit switched, statically configured communications channels. For example, a set of channels coupled together by a switch (e.g., switch 1910 in a first network and switch 1911 in a second network). The first network and second network may be separate or coupled together. For example, switch 1910 may couple one or more of a plurality (e.g., four) data paths therein together, e.g., as configured to perform an operation according to a dataflow graph. In one embodiment, the number of data paths is any plurality. Processing element (e.g., processing element 1908) may be as disclosed herein, for example, as in Figure 9. Accelerator tile 1900 includes a memory/cache hierarchy interface 1912, e.g., to interface the accelerator tile 1900 with a memory and/or cache. A data path may extend to another tile or terminate, e.g., at the edge of a tile. A processing element may include an input buffer (e.g., buffer 1909) and an output buffer.

[00104] Operations may be executed based on the availability of their inputs and the status of the PE. A PE may obtain operands from input channels and write results to output channels, although internal register state may also be used. Certain embodiments herein include a configurable dataflow-friendly PE. Figure 9 shows a detailed block diagram of one such PE: the integer PE. This PE consists of several I/O buffers, an ALU, a storage register, some instruction registers, and a scheduler. Each cycle, the scheduler may select an instruction for execution based on the availability of the input and output buffers and the status of the PE. The result of the operation may then be written to either an output buffer or to a (e.g., local to the PE) register. Data written to an output buffer may be transported to a downstream PE for further processing. This style of PE may be extremely energy efficient, for example, rather than reading data from a complex, multi-ported register file, a PE reads the data from a register. Similarly, instructions may be stored directly in a register, rather than in a virtualized instruction cache. [00105] Instruction registers may be set during a special configuration step. During this step, auxiliary control wires and state, in addition to the inter-PE network, may be used to stream in

configuration across the several PEs comprising the fabric. As result of parallelism, certain embodiments of such a network may provide for rapid reconfiguration, e.g., a tile sized fabric may be configured in less than about 10 microseconds.

[0228] Further, depicted accelerator tile 1900 includes packet switched communications network 1914, for example, as part of a mezzanine network, e.g., as described below. Certain embodiments herein allow for (e.g., a distributed) dataflow operations (e.g., operations that only route data) to be performed on (e.g., within) the communications network (e.g., and not in the processing element(s)). As an example, a distributed Pick dataflow operation of a dataflow graph is depicted in Figure 19. Particularly, distributed pick is implemented using three separate configurations on three separate network (e.g., global) endpoints (e.g., network dataflow endpoint circuits (1902, 1904, 1906)). Dataflow operations may be distributed, e.g., with several endpoints to be configured in a coordinated manner. For example, a compilation tool may understand the need for coordination. Endpoints (e.g., network dataflow endpoint circuits) may be shared among several distributed operations, for example, a dataflow operation (e.g., pick) endpoint may be collated with several sends related to the dataflow operation (e.g., pick). A distributed dataflow operation (e.g., pick) may generate the same result the same as a non-distributed dataflow operation (e.g., pick). In certain embodiment, a difference between distributed and non-distributed dataflow operations is that in the distributed dataflow operations have their data (e.g., data to be routed, but which may not include control data) over a packet switched communications network, e.g., with associated flow control and distributed coordination. Although different sized processing elements (PE) are shown, in one embodiment, each processing element is of the same size (e.g., silicon area). In one embodiment, a buffer element to buffer data may also be included, e.g., separate from a processing element.

[0229] As one example, a pick dataflow operation may have a plurality of inputs and steer (e.g., route) one of them as an output, e.g., as in Figure 18. Instead of utilizing a processing element to perform the pick dataflow operation, it may be achieved with one or more of network communication resources (e.g., network dataflow endpoint circuits).

Additionally or alternatively, the network dataflow endpoint circuits may route data between processing elements, e.g., for the processing elements to perform processing operations on the data. Embodiments herein may thus utilize to the communications network to perform (e.g., steering) dataflow operations. Additionally or alternatively, the network dataflow endpoint circuits may perform as a mezzanine network discussed below.

[0230] In the depicted embodiment, packet switched communications network 1914 may handle certain (e.g., configuration) communications, for example, to program the processing elements and/or circuit switched network (e.g., network 1913, which may include switches). In one embodiment, a circuit switched network is configured (e.g., programmed) to perform one or more operations (e.g., dataflow operations of a dataflow graph).

[0231] Packet switched communications network 1914 includes a plurality of endpoints (e.g., network dataflow endpoint circuits (1902, 1904, 1906). In one embodiment, each endpoint includes an address or other indicator value to allow data to be routed to and/or from that endpoint, e.g., according to (e.g., a header of) a data packet.

[0232] Additionally or alternatively to performing one or more of the above, packet switched communications network 1914 may perform dataflow operations. Network dataflow endpoint circuits (1902, 1904, 1906) may be configured (e.g., programmed) to perform a (e.g., distributed pick) operation of a dataflow graph. Programming of components (e.g., a circuit) are described herein. An embodiment of configuring a network dataflow endpoint circuit (e.g., an operation configuration register thereof) is discussed in reference to Figure 20.

[0233] As an example of a distributed pick dataflow operation, network dataflow endpoint circuits (1902, 1904, 1906) in Figure 19 may be configured (e.g., programmed) to perform a distributed pick operation of a dataflow graph. An embodiment of configuring a network dataflow endpoint circuit (e.g., an operation configuration register thereof) is discussed in reference to Figure 20. Additionally or alternatively to configuring remote endpoint circuits, local endpoint circuits may also be configured according to this disclosure.

[0234] Network dataflow endpoint circuit 1902 may be configured to receive input data from a plurality of sources (e.g., network dataflow endpoint circuit 1904 and network dataflow endpoint circuit 1906), and to output resultant data, e.g., as in Figure 18), for example, according to control data. Network dataflow endpoint circuit 1904 may be configured to provide (e.g., send) input data to network dataflow endpoint circuit 1902, e.g., on receipt of the input data from processing element 1922. This may be referred to as Input 0 in Figure 19. In one embodiment, circuit switched network is configured (e.g., programmed) to provide a dedicated communication line between processing element 1922 and network dataflow endpoint circuit 1904 along path 1924. Network dataflow endpoint circuit 1906 may be configured to provide (e.g., send) input data to network dataflow endpoint circuit 1902, e.g., on receipt of the input data from processing element 1920. This may be referred to as Input 1 in Figure 19. In one embodiment, circuit switched network is configured (e.g., programmed) to provide a dedicated communication line between processing element 1920 and network dataflow endpoint circuit 1906 along path 1916.

[0235] When network dataflow endpoint circuit 1904 is to transmit input data to network dataflow endpoint circuit 1902 (e.g., when network dataflow endpoint circuit 1902 has available storage room for the data and/or network dataflow endpoint circuit 1904 has its input data), network dataflow endpoint circuit 1904 may generate a packet (e.g., including the input data and a header to steer that data to network dataflow endpoint circuit 1902 on the packet switched communications network 1914 (e.g., as a stop on that (e.g., ring) network 1914). This is illustrated schematically with dashed line 1926 in Figure 19. Although the example shown in Figure 19 utilizes two sources (e.g., two inputs) a single or any plurality (e.g., greater than two) of sources (e.g., inputs) may be utilized.

[0236] When network dataflow endpoint circuit 1906 is to transmit input data to network dataflow endpoint circuit 1902 (e.g., when network dataflow endpoint circuit 1902 has available storage room for the data and/or network dataflow endpoint circuit 1906 has its input data), network dataflow endpoint circuit 1904 may generate a packet (e.g., including the input data and a header to steer that data to network dataflow endpoint circuit 1902 on the packet switched communications network 1914 (e.g., as a stop on that (e.g., ring) network 1914). This is illustrated schematically with dashed line 1918 in Figure 19. Though a mesh network is shown, other network topologies may be used.

[0237] Network dataflow endpoint circuit 1902 (e.g., on receipt of the Input 0 from network dataflow endpoint circuit 1904, Input 1 from network dataflow endpoint circuit 1906, and/or control data) may then perform the programmed dataflow operation (e.g., a Pick operation in this example). The network dataflow endpoint circuit 1902 may then output the according resultant data from the operation, e.g., to processing element 1908 in Figure 19. In one embodiment, circuit switched network is configured (e.g., programmed) to provide a dedicated communication line between processing element 1908 (e.g., a buffer thereof) and network dataflow endpoint circuit 1902 along path 1928. A further example of a distributed Pick operation is discussed below in reference to Figure 32-34. [0238] In one embodiment, the control data to perform an operation (e.g., pick operation) comes from other components of the spatial array, e.g., a processing element or through network. An example of this is discussed below in reference to Figure 20. Note that Pick operator is shown schematically in endpoint 1902, and may not be a multiplexer circuit, for example, see the discussion below of network dataflow endpoint circuit 2000 in Figure 20.

[0239] In certain embodiments, a dataflow graph may have certain operations performed by a processing element and certain operations performed by a communication network (e.g., network dataflow endpoint circuit or circuits).

[0240] Figure 20 illustrates a network dataflow endpoint circuit 2000 according to embodiments of the disclosure. Although multiple components are illustrated in network dataflow endpoint circuit 2000, one or more instances of each component may be utilized in a single network dataflow endpoint circuit. An embodiment of a network dataflow endpoint circuit may include any (e.g., not all) of the components in Figure 20.

[0241] Figure 20 depicts the microarchitecture of a (e.g., mezzanine) network interface showing embodiments of main data (solid line) and control data (dotted) paths. This microarchitecture provides a configuration storage and scheduler to enable (e.g., high-radix) dataflow operators. Certain embodiments herein include data paths to the scheduler to enable leg selection and description. Figure 20 shows a high-level microarchitecture of a network (e.g., mezzanine) endpoint (e.g., stop), which may be a member of a ring network for context. To support (e.g., high-radix) dataflow operations, the configuration of the endpoint (e.g., operation configuration storage 2026) to include configurations that examine multiple network (e.g., virtual) channels (e.g., as opposed to single virtual channels in a baseline implementation). Certain embodiments of network dataflow endpoint circuit 2000 include data paths from ingress and to egress to control the selection of (e.g., pick and switch types of operations), and/or to describe the choice made by the scheduler in the case of PickAny dataflow operators or SwitchAny dataflow operators. Flow control and backpressure behavior may be utilized in each communication channel, e.g., in a (e.g., packet switched

communications) network and (e.g., circuit switched) network (e.g., fabric of a spatial array of processing elements).

[0242] As one description of an embodiment of the microarchitecture, a pick dataflow operator may function to pick one output of resultant data from a plurality of inputs of input data, e.g., based on control data. A network dataflow endpoint circuit 2000 may be configured to consider one of the spatial array ingress buffer(s) 2002 of the circuit 2000 (e.g., data from the fabric being control data) as selecting among multiple input data elements stored in network ingress buffer(s) 2024 of the circuit 2000 to steer the resultant data to the spatial array egress buffer 2008 of the circuit 2000. Thus, the network ingress buffer(s) 2024 may be thought of as inputs to a virtual mux, the spatial array ingress buffer 2002 as the multiplexer select, and the spatial array egress buffer 2008 as the multiplexer output. In one embodiment, when a (e.g., control data) value is detected and/or arrives in the spatial array ingress buffer 2002, the scheduler 2028 (e.g., as programmed by an operation configuration in storage 2026) is sensitized to examine the corresponding network ingress channel. When data is available in that channel, it is removed from the network ingress buffer 2024 and moved to the spatial array egress buffer 2008. The control bits of both ingresses and egress may then be updated to reflect the transfer of data. This may result in control flow tokens or credits being propagated in the associated network. In certain embodiment, all inputs (e.g., control or data) may arise locally or over the network.

[0243] Initially, it may seem that the use of packet switched networks to implement the (e.g., high-radix staging) operators of multiplexed and/or demultiplexed codes hampers performance. For example, in one embodiment, a packet-switched network is generally shared and the caller and callee dataflow graphs may be distant from one another. Recall, however, that in certain embodiments, the intention of supporting multiplexing and/or demultiplexing is to reduce the area consumed by infrequent code paths within a dataflow operator (e.g., by the spatial array). Thus, certain embodiments herein reduce area and avoid the consumption of more expensive fabric resources, for example, like PEs, e.g., without (substantially) affecting the area and efficiency of individual PEs to supporting those (e.g., infrequent) operations.

[0244] Turning now to further detail of Figure 20, depicted network dataflow endpoint circuit 2000 includes a spatial array (e.g., fabric) ingress buffer 2002, for example, to input data (e.g., control data) from a (e.g., circuit switched) network. As noted above, although a single spatial array (e.g., fabric) ingress buffer 2002 is depicted, a plurality of spatial array (e.g., fabric) ingress buffers may be in a network dataflow endpoint circuit. In one embodiment, spatial array (e.g., fabric) ingress buffer 2002 is to receive data (e.g., control data) from a communications network of a spatial array (e.g., a spatial array of processing elements), for example, from one or more of network 2004 and network 2006. In one embodiment, network 2004 is part of network 1913 in Figure 19. [0245] Depicted network dataflow endpoint circuit 2000 includes a spatial array (e.g., fabric) egress buffer 2008, for example, to output data (e.g., control data) to a (e.g., circuit switched) network. As noted above, although a single spatial array (e.g., fabric) egress buffer 2008 is depicted, a plurality of spatial array (e.g., fabric) egress buffers may be in a network dataflow endpoint circuit. In one embodiment, spatial array (e.g., fabric) egress buffer 2008 is to send (e.g., transmit) data (e.g., control data) onto a communications network of a spatial array (e.g., a spatial array of processing elements), for example, onto one or more of network 2010 and network 2012. In one embodiment, network 2010 is part of network 1913 in Figure 19.

[0246] Additionally or alternatively, network dataflow endpoint circuit 2000 may be coupled to another network 2014, e.g., a packet switched network. Another network 2014, e.g., a packet switched network, may be used to transmit (e.g., send or receive) (e.g., input and/or resultant) data to processing elements or other components of a spatial array and/or to transmit one or more of input data or resultant data. In one embodiment, network 2014 is part of the packet switched communications network 1914 in Figure 19, e.g., a time multiplexed network.

[0247] Network buffer 2018 (e.g., register(s)) may be a stop on (e.g., ring) network 2014, for example, to receive data from network 2014.

[0248] Depicted network dataflow endpoint circuit 2000 includes a network egress buffer 2022, for example, to output data (e.g., resultant data) to a (e.g., packet switched) network.

As noted above, although a single network egress buffer 2022 is depicted, a plurality of network egress buffers may be in a network dataflow endpoint circuit. In one embodiment, network egress buffer 2022 is to send (e.g., transmit) data (e.g., resultant data) onto a communications network of a spatial array (e.g., a spatial array of processing elements), for example, onto network 2014. In one embodiment, network 2014 is part of packet switched network 1914 in Figure 19. In certain embodiments, network egress buffer 2022 is to output data (e.g., from spatial array ingress buffer 2002) to (e.g., packet switched) network 2014, for example, to be routed (e.g., steered) to other components (e.g., other network dataflow endpoint circuit(s)).

[0249] Depicted network dataflow endpoint circuit 2000 includes a network ingress buffer 2022, for example, to input data (e.g., inputted data) from a (e.g., packet switched) network. As noted above, although a single network ingress buffer 2024 is depicted, a plurality of network ingress buffers may be in a network dataflow endpoint circuit. In one embodiment, network ingress buffer 2024 is to receive (e.g., transmit) data (e.g., input data) from a communications network of a spatial array (e.g., a spatial array of processing elements), for example, from network 2014. In one embodiment, network 2014 is part of packet switched network 1914 in Figure 19. In certain embodiments, network ingress buffer 2024 is to input data (e.g., from spatial array ingress buffer 2002) from (e.g., packet switched) network 2014, for example, to be routed (e.g., steered) there (e.g., into spatial array egress buffer 2008) from other components (e.g., other network dataflow endpoint circuit(s)).

[0250] In one embodiment, the data format (e.g., of the data on network 2014) includes a packet having data and a header (e.g., with the destination of that data). In one embodiment, the data format (e.g., of the data on network 2004 and/or 2006) includes only the data (e.g., not a packet having data and a header (e.g., with the destination of that data)). Network dataflow endpoint circuit 2000 may add (e.g., data output from circuit 2000) or remove (e.g., data input into circuit 2000) a header (or other data) to or from a packet. Coupling 2020 (e.g., wire) may send data received from network 2014 (e.g., from network buffer 2018) to network ingress buffer 2024 and/or multiplexer 2016. Multiplexer 2016 may (e.g., via a control signal from the scheduler 2028) output data from network buffer 2018 or from network egress buffer 2022. In one embodiment, one or more of multiplexer 2016 or network buffer 2018 are separate components from network dataflow endpoint circuit 2000. A buffer may include a plurality of (e.g., discrete) entries, for example, a plurality of registers.

[0251] In one embodiment, operation configuration storage 2026 (e.g., register or registers) is loaded during configuration (e.g., mapping) and specifies the particular operation (or operations) this network dataflow endpoint circuit 2000 (e.g., not a processing element of a spatial array) is to perform (e.g., data steering operations in contrast to logic and/or arithmetic operations). Buffer(s) (e.g., 2002, 2008, 2022, and/or 2024) activity may be controlled by that operation (e.g., controlled by the scheduler 2028). Scheduler 2028 may schedule an operation or operations of network dataflow endpoint circuit 2000, for example, when (e.g., all) input (e.g., payload) data and/or control data arrives. Dotted lines to and from scheduler 2028 indicate paths that may be utilized for control data, e.g., to and/or from scheduler 2028. Scheduler may also control multiplexer 2016, e.g., to steer data to and/or from network dataflow endpoint circuit 2000 and network 2014.

[0252] In reference to the distributed pick operation in Figure 19 above, network dataflow endpoint circuit 1902 may be configured (e.g., as an operation in its operation configuration register 2026 as in Figure 20) to receive (e.g., in (two storage locations in) its network ingress buffer 2024 as in Figure 20) input data from each of network dataflow endpoint circuit 1904 and network dataflow endpoint circuit 1906, and to output resultant data (e.g., from its spatial array egress buffer 2008 as in Figure 20), for example, according to control data (e.g., in its spatial array ingress buffer 2002 as in Figure 20). Network dataflow endpoint circuit 1904 may be configured (e.g., as an operation in its operation configuration register 2026 as in Figure 20) to provide (e.g., send via circuit l904’s network egress buffer 2022 as in Figure 20) input data to network dataflow endpoint circuit 1902, e.g., on receipt (e.g., in circuit 1904’ s spatial array ingress buffer 2002 as in Figure 20) of the input data from processing element 1922. This may be referred to as Input 0 in Figure 19. In one embodiment, circuit switched network is configured (e.g., programmed) to provide a dedicated communication line between processing element 1922 and network dataflow endpoint circuit 1904 along path 1924. Network dataflow endpoint circuit 1904 may include (e.g., add) a header packet with the received data (e.g., in its network egress buffer 2022 as in Figure 20) to steer the packet (e.g., input data) to network dataflow endpoint circuit 1902. Network dataflow endpoint circuit 1906 may be configured (e.g., as an operation in its operation configuration register 2026 as in Figure 20) to provide (e.g., send via circuit 1906’ s network egress buffer 2022 as in Figure 20) input data to network dataflow endpoint circuit 1902, e.g., on receipt (e.g., in circuit 1906’ s spatial array ingress buffer 2002 as in Figure 20) of the input data from processing element 1920. This may be referred to as Input 1 in Figure 19. In one embodiment, circuit switched network is configured (e.g., programmed) to provide a dedicated communication line between processing element 1920 and network dataflow endpoint circuit 1906 along path 1916. Network dataflow endpoint circuit 1906 may include (e.g., add) a header packet with the received data (e.g., in its network egress buffer 2022 as in Figure 20) to steer the packet (e.g., input data) to network dataflow endpoint circuit 1902.

[0253] When network dataflow endpoint circuit 1904 is to transmit input data to network dataflow endpoint circuit 1902 (e.g., when network dataflow endpoint circuit 1902 has available storage room for the data and/or network dataflow endpoint circuit 1904 has its input data), network dataflow endpoint circuit 1904 may generate a packet (e.g., including the input data and a header to steer that data to network dataflow endpoint circuit 1902 on the packet switched communications network 1914 (e.g., as a stop on that (e.g., ring) network). This is illustrated schematically with dashed line 1926 in Figure 19. Network 1914 is shown schematically with multiple dotted boxes in Figure 19. Network 1914 may include a network controller 1914A, e.g., to manage the ingress and/or egress of data on network 1914A.

[0254] When network dataflow endpoint circuit 1906 is to transmit input data to network dataflow endpoint circuit 1902 (e.g., when network dataflow endpoint circuit 1902 has available storage room for the data and/or network dataflow endpoint circuit 1906 has its input data), network dataflow endpoint circuit 1904 may generate a packet (e.g., including the input data and a header to steer that data to network dataflow endpoint circuit 1902 on the packet switched communications network 1914 (e.g., as a stop on that (e.g., ring) network). This is illustrated schematically with dashed line 1918 in Figure 19.

[0255] Network dataflow endpoint circuit 1902 (e.g., on receipt of the Input 0 from network dataflow endpoint circuit 1904 in circuit l902’s network ingress buffer(s), Input 1 from network dataflow endpoint circuit 1906 in circuit l902’s network ingress buffer(s), and/or control data from processing element 1908 in circuit 1902’ s spatial array ingress buffer) may then perform the programmed dataflow operation (e.g., a Pick operation in this example). The network dataflow endpoint circuit 1902 may then output the according resultant data from the operation, e.g., to processing element 1908 in Figure 19. In one embodiment, circuit switched network is configured (e.g., programmed) to provide a dedicated communication line between processing element 1908 (e.g., a buffer thereof) and network dataflow endpoint circuit 1902 along path 1928. A further example of a distributed Pick operation is discussed below in reference to Figure 32-34. Buffers in Figure 19 may be the small, unlabeled boxes in each PE.

[0256] Figures 21-8 below include example data formats, but other data formats may be utilized. One or more fields may be included in a data format (e.g., in a packet). Data format may be used by network dataflow endpoint circuits, e.g., to transmit (e.g., send and/or receive) data between a first component (e.g., between a first network dataflow endpoint circuit and a second network dataflow endpoint circuit, component of a spatial array, etc.).

[0257] Figure 21 illustrates data formats for a send operation 2102 and a receive operation 2104 according to embodiments of the disclosure. In one embodiment, send operation 2102 and receive operation 2104 are data formats of data transmitted on a packed switched communication network. Depicted send operation 2102 data format includes a destination field 2102A (e.g., indicating which component in a network the data is to be sent to), a channel field 2102B (e.g. indicating which channel on the network the data is to be sent on), and an input field 2102C (e.g., the payload or input data that is to be sent). Depicted receive operation 2104 includes an output field, e.g., which may also include a destination field (not depicted). These data formats may be used (e.g., for packet(s)) to handle moving data in and out of components. These configurations may be separable and/or happen in parallel. These configurations may use separate resources. The term channel may generally refer to the communication resources (e.g., in management hardware) associated with the request. Association of configuration and queue management hardware may be explicit.

[0258] Figure 22 illustrates another data format for a send operation 2202 according to embodiments of the disclosure. In one embodiment, send operation 2202 is a data format of data transmitted on a packed switched communication network. Depicted send operation 2202 data format includes a type field (e.g., used to annotate special control packets, such as, but not limited to, configuration, extraction, or exception packets), destination field 2202B (e.g., indicating which component in a network the data is to be sent to), a channel field 2202C (e.g. indicating which channel on the network the data is to be sent on), and an input field 2202D (e.g., the payload or input data that is to be sent).

[0259] Figure 23 illustrates configuration data formats to configure a circuit element (e.g., network dataflow endpoint circuit) for a send (e.g., switch) operation 2302 and a receive (e.g., pick) operation 2304 according to embodiments of the disclosure. In one embodiment, send operation 2302 and receive operation 2304 are configuration data formats for data to be transmitted on a packed switched communication network, for example, between network dataflow endpoint circuits. Depicted send operation configuration data format 2302includes a destination field 2302A (e.g., indicating which component(s) in a network the (input) data is to be sent to), a channel field 2302B (e.g. indicating which channel on the network the (input) data is to be sent on), an input field 2302C (for example, an identifier of the component(s) that is to send the input data, e.g., the set of inputs in the (e.g., fabric ingress) buffer that this element is sensitive to), and an operation field 2302D (e.g., indicating which of a plurality of operations are to be performed). In one embodiment, the (e.g., outbound) operation is one of a Switch or SwitchAny dataflow operation, e.g., corresponding to a (e.g., same) dataflow operator of a dataflow graph.

[0260] Depicted receive operation configuration data format 2304 includes an output field 2304A (e.g., indicating which component(s) in a network the (resultant) data is to be sent to), an input field 2304B (e.g., an identifier of the component(s) that is to send the input data), and an operation field 2304C (e.g., indicating which of a plurality of operations are to be performed). In one embodiment, the (e.g., inbound) operation is one of a Pick, PickSingleLeg, PickAny, or Merge dataflow operation, e.g., corresponding to a (e.g., same) dataflow operator of a dataflow graph. In one embodiment, a merge dataflow operation is a pick that requires and dequeues all operands (e.g., with the egress endpoint receiving control).

[0261] A configuration data format utilized herein may include one or more of the fields described herein, e.g., in any order.

[0262] Figure 24 illustrates a configuration data format 2402 to configure a circuit element (e.g., network dataflow endpoint circuit) for a send operation with its input, output, and control data annotated on a circuit 2400 according to embodiments of the disclosure. Depicted send operation configuration data format 2402 includes a destination field 2402A (e.g., indicating which component in a network the data is to be sent to), a channel field 2402B (e.g. indicating which channel on the (packet switched) network the data is to be sent on), and an input field 2102C (e.g., an identifier of the component(s) that is to send the input data). In one embodiment, circuit 2400 (e.g., network dataflow endpoint circuit) is to receive packet of data in the data format of send operation configuration data format 2402, for example, with the destination indicating which circuit of a plurality of circuits the resultant is to be sent to, the channel indicating which channel of the (packet switched) network the data is to be sent on, and the input being which circuit of a plurality of circuits the input data is to be received from. The AND gate 2404 is to allow the operation to be performed when both the input data is available and the credit status is a yes (for example, the dependency token indicates) indicating there is room for the output data to be stored, e.g., in a buffer of the destination. In certain embodiments, each operation is annotated with its requirements (e.g., inputs, outputs, and control) and if all requirements are met, the configuration is

‘performable’ by the circuit (e.g., network dataflow endpoint circuit).

[0263] Figure 25 illustrates a configuration data format 2502 to configure a circuit element (e.g., network dataflow endpoint circuit) for a selected (e.g., send) operation with its input, output, and control data annotated on a circuit 2500 according to embodiments of the disclosure. Depicted (e.g., send) operation configuration data format 2502 includes a destination field 2502A (e.g., indicating which component(s) in a network the (input) data is to be sent to), a channel field 2502B (e.g. indicating which channel on the network the (input) data is to be sent on), an input field 2502C (e.g., an identifier of the component(s) that is to send the input data), and an operation field 2502D (e.g., indicating which of a plurality of operations are to be performed and/or the source of the control data for that operation). In one embodiment, the (e.g., outbound) operation is one of a send, Switch, or SwitchAny dataflow operation, e.g., corresponding to a (e.g., same) dataflow operator of a dataflow graph.

[0264] In one embodiment, circuit 2500 (e.g., network dataflow endpoint circuit) is to receive packet of data in the data format of (e.g., send) operation configuration data format 2502, for example, with the input being the source(s) of the payload (e.g., input data) and the operation field indicating which operation is to be performed (e.g., shown schematically as Switch or SwitchAny). Depicted multiplexer 2504 may select the operation to be performed from a plurality of available operations, e.g., based on the value in operation field 2502D. In one embodiment, circuit 2500 is to perform that operation when both the input data is available and the credit status is a yes (for example, the dependency token indicates) indicating there is room for the output data to be stored, e.g., in a buffer of the destination.

[0265] In one embodiment, the send operation does not utilize control beyond checking its input(s) are available for sending. This may enable switch to perform the operation without credit on all legs. In one embodiment, the Switch and/or SwitchAny operation includes a multiplexer controlled by the value stored in the operation field 2502D to select the correct queue management circuitry.

[0266] Value stored in operation field 2502D may select among control options, e.g., with different control (e.g., logic) circuitry for each operation, for example, as in Figures 26- 29. In some embodiments, credit (e.g., credit on a network) status is another input (e.g., as depicted in Figures 26-27 here).

[0267] Figure 26 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a Switch operation configuration data format 2602 with its input, output, and control data annotated on a circuit 2600 according to embodiments of the disclosure. In one embodiment, the (e.g., outbound) operation value stored in the operation field 2502D is for a Switch operation, e.g., corresponding to a Switch dataflow operator of a dataflow graph. In one embodiment, circuit 2600 (e.g., network dataflow endpoint circuit) is to receive a packet of data in the data format of Switch operation 2602, for example, with the input in input field 2602A being what component(s) are to be sent the data and the operation field 2602B indicating which operation is to be performed (e.g., shown schematically as Switch). Depicted circuit 2600 may select the operation to be executed from a plurality of available operations based on the operation field 2602B. In one embodiment, circuit 2500 is to perform that operation when both the input data (for example, according to the input status, e.g., there is room for the data in the destination(s)) is available and the credit status (e.g., selection operation (OP) status) is a yes (for example, the network credit indicates that there is availability on the network to send that data to the destination(s)). For example, multiplexers 2610, 2612, 2614 may be used with a respective input status and credit status for each input (e.g., where the output data is to be sent to in the switch operation), e.g., to prevent an input from showing as available until both the input status (e.g., room for data in the destination) and the credit status (e.g., there is room on the network to get to the destination) are true (e.g., yes). In one embodiment, input status is an indication there is or is not room for the (output) data to be stored, e.g., in a buffer of the destination. In certain embodiments, AND gate 2606 is to allow the operation to be performed when both the input data is available (e.g., as output from multiplexer 2604) and the selection operation (e.g., control data) status is a yes, for example, indicating the selection operation (e.g., which of a plurality of outputs an input is to be sent to, see., e.g., Figure 18). In certain

embodiments, the performance of the operation with the control data (e.g., selection op) is to cause input data from one of the inputs to be output on one or more (e.g., a plurality of) outputs (e.g., as indicated by the control data), e.g., according to the multiplexer selection bits from multiplexer 2608. In one embodiment, selection op chooses which leg of the switch output will be used and/or selection decoder creates multiplexer selection bits.

[0268] Figure 27 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a SwitchAny operation configuration data format 2702 with its input, output, and control data annotated on a circuit 2700 according to embodiments of the disclosure. In one embodiment, the (e.g., outbound) operation value stored in the operation field 2502D is for a SwitchAny operation, e.g., corresponding to a SwitchAny dataflow operator of a dataflow graph. In one embodiment, circuit 2700 (e.g., network dataflow endpoint circuit) is to receive a packet of data in the data format of SwitchAny operation configuration data format 2702, for example, with the input in input field 2702A being what component(s) are to be sent the data and the operation field 2702B indicating which operation is to be performed (e.g., shown schematically as SwitchAny) and/or the source of the control data for that operation. In one embodiment, circuit 2500 is to perform that operation when any of the input data (for example, according to the input status, e.g., there is room for the data in the destination(s)) is available and the credit status is a yes (for example, the network credit indicates that there is availability on the network to send that data to the destination(s)). For example, multiplexers 2710, 2712, 2714 may be used with a respective input status and credit status for each input (e.g., where the output data is to be sent to in the SwitchAny operation), e.g., to prevent an input from showing as available until both the input status (e.g., room for data in the destination) and the credit status (e.g., there is room on the network to get to the destination) are true (e.g., yes). In one embodiment, input status is an indication there is room or is not room for the (output) data to be stored, e.g., in a buffer of the destination. In certain embodiments, OR gate 2704 is to allow the operation to be performed when any one of the outputs are available. In certain embodiments, the performance of the operation is to cause the first available input data from one of the inputs to be output on one or more (e.g., a plurality of) outputs, e.g., according to the multiplexer selection bits from multiplexer 2706. In one embodiment, SwitchAny occurs as soon as any output credit is available (e.g., as opposed to a Switch that utilizes a selection op).

Multiplexer select bits may be used to steer an input to an (e.g., network) egress buffer of a network dataflow endpoint circuit.

[0269] Figure 28 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a Pick operation configuration data format 2802 with its input, output, and control data annotated on a circuit 2800 according to embodiments of the disclosure. In one embodiment, the (e.g., inbound) operation value stored in the operation field 2802C is for a Pick operation, e.g., corresponding to a Pick dataflow operator of a dataflow graph. In one embodiment, circuit 2800 (e.g., network dataflow endpoint circuit) is to receive a packet of data in the data format of Pick operation configuration data format 2802, for example, with the data in input field 2802B being what component(s) are to send the input data, the data in output field 2802A being what component(s) are to be sent the input data, and the operation field 2802C indicating which operation is to be performed (e.g., shown schematically as Pick) and/or the source of the control data for that operation.

Depicted circuit 2800 may select the operation to be executed from a plurality of available operations based on the operation field 2802C. In one embodiment, circuit 2800 is to perform that operation when both the input data (for example, according to the input (e.g., network ingress buffer) status, e.g., all the input data has arrived) is available, the credit status (e.g., output status) is a yes (for example, the spatial array egress buffer) indicating there is room for the output data to be stored, e.g., in a buffer of the destination(s), and the selection operation (e.g., control data) status is a yes. In certain embodiments, AND gate 2806 is to allow the operation to be performed when both the input data is available (e.g., as output from multiplexer 2804), an output space is available, and the selection operation (e.g., control data) status is a yes, for example, indicating the selection operation (e.g., which of a plurality of outputs an input is to be sent to, see., e.g., Figure 18). In certain embodiments, the performance of the operation with the control data (e.g., selection op) is to cause input data from one of a plurality of inputs (e.g., indicated by the control data) to be output on one or more (e.g., a plurality of) outputs, e.g., according to the multiplexer selection bits from multiplexer 2808. In one embodiment, selection op chooses which leg of the pick will be used and/or selection decoder creates multiplexer selection bits.

[0270] Figure 29 illustrates a configuration data format to configure a circuit element (e.g., network dataflow endpoint circuit) for a PickAny operation 2902 with its input, output, and control data annotated on a circuit 2900 according to embodiments of the disclosure. In one embodiment, the (e.g., inbound) operation value stored in the operation field 2902C is for a PickAny operation, e.g., corresponding to a PickAny dataflow operator of a dataflow graph. In one embodiment, circuit 2900 (e.g., network dataflow endpoint circuit) is to receive a packet of data in the data format of PickAny operation configuration data format 2902, for example, with the data in input field 2902B being what component(s) are to send the input data, the data in output field 2902A being what component(s) are to be sent the input data, and the operation field 2902C indicating which operation is to be performed (e.g., shown schematically as PickAny). Depicted circuit 2900 may select the operation to be executed from a plurality of available operations based on the operation field 2902C. In one embodiment, circuit 2900 is to perform that operation when any (e.g., a first arriving of) the input data (for example, according to the input (e.g., network ingress buffer) status, e.g., any of the input data has arrived) is available and the credit status (e.g., output status) is a yes (for example, the spatial array egress bufferindicates) indicating there is room for the output data to be stored, e.g., in a buffer of the destination(s). In certain embodiments, AND gate 2906 is to allow the operation to be performed when any of the input data is available (e.g., as output from multiplexer 2904) and an output space is available. In certain embodiments, the performance of the operation is to cause the (e.g., first arriving) input data from one of a plurality of inputs to be output on one or more (e.g., a plurality of) outputs, e.g., according to the multiplexer selection bits from multiplexer 2908.

[0271] In one embodiment, PickAny executes on the presence of any data and/or selection decoder creates multiplexer selection bits.

[0272] Figure 30 illustrates selection of an operation (3002, 3004, 3006) by a network dataflow endpoint circuit 3000 for performance according to embodiments of the disclosure. Pending operations storage 3001 (e.g., in scheduler 2028 in Figure 20) may store one or more dataflow operations, e.g., according to the format(s) discussed herein. Scheduler (for example, based on a fixed priority or the oldest of the operations, e.g., that have all of their operands) may schedule an operation for performance. For example, scheduler may select operation 3002, and according to a value stored in operation field, send the corresponding control signals from multiplexer 3008 and/or multiplexer 3010. As an example, several operations may be simultaneously executeable in a single network dataflow endpoint circuit. Assuming all data is there, the“performable” signal (e.g., as shown in Figures 24-29) may be input as a signal into multiplexer 3012. Multiplexer 3012 may send as an output control signals for a selected operation (e.g., one of operation 3002, 3004, and 3006) that cause multiplexer 3008 to configure the connections in a network dataflow endpoint circuit to perform the selected operation (e.g., to source from or send data to buffer(s)). Multiplexer 3012 may send as an output control signals for a selected operation (e.g., one of operation 3002, 3004, and 3006) that cause multiplexer 3010 to configure the connections in a network dataflow endpoint circuit to remove data from the queue(s), e.g., consumed data. As an example, see the discussion herein about having data (e.g., token) removed. The“PE status” in Figure 30 may be the control data coming from a PE, for example, the empty indicator and full indicators of the queues (e.g., backpressure signals and/or network credit). In one embodiment, the PE status may include the empty or full bits for all the buffers and/or datapaths, e.g., in Figure 20 herein. Figure 30 illustrates generalized scheduling for embodiments herein, e.g., with specialized scheduling for embodiments discussed in reference to Figures 26-29.

[0273] In one embodiment, (e.g., as with scheduling) the choice of dequeue is determined by the operation and its dynamic behavior, e.g., to dequeue the operation after performance. In one embodiment, a circuit is to use the operand selection bits to dequeue data (e.g., input, output and/or control data).

[0274] Figure 31 illustrates a network dataflow endpoint circuit 3100 according to embodiments of the disclosure. In comparison to Figure 20, network dataflow endpoint circuit 3100 has split the configuration and control into two separate schedulers. In one embodiment, egress scheduler 3128 A is to schedule an operation on data that is to enter (e.g., from a circuit switched communication network coupled to) the dataflow endpoint circuit 3100 (e.g., at argument queue 3102, for example, spatial array ingress buffer 2002 as in Figure 20) and output (e.g., from a packet switched communication network coupled to) the dataflow endpoint circuit 3100 (e.g., at network egress buffer 3122, for example, network egress buffer 2022 as in Figure 20). In one embodiment, ingress scheduler 3128B is to schedule an operation on data that is to enter (e.g., from a packet switched communication network coupled to) the dataflow endpoint circuit 3100 (e.g., at network ingress buffer 3124, for example, network ingress buffer 3024 as in Figure 20) and output (e.g., from a circuit switched communication network coupled to) the dataflow endpoint circuit 3100 (e.g., at output buffer 3108, for example, spatial array egress buffer 3008 as in Figure 20). Scheduler 3128A and/or scheduler 3128B may include as an input the (e.g., operating) status of circuit 3100, e.g., fullness level of inputs (e.g., buffers 3102A, 3102), fullness level of outputs (e.g., buffers 3108), values (e.g., value in 3102A), etc. Scheduler 3128B may include a credit return circuit, for example, to denote that credit is returned to sender, e.g., after receipt in network ingress buffer 3124 of circuit 3100.

[0275] Network 3114 may be a circuit switched network, e.g., as discussed herein.

Additionally or alternatively, a packet switched network (e.g., as discussed herein) may also be utilized, for example, coupled to network egress buffer 3122, network ingress buffer 3124, or other components herein. Argument queue 3102 may include a control buffer 3102 A, for example, to indicate when a respective input queue (e.g., buffer) includes a (new) item of data, e.g., as a single bit. Turning now to Figures 32-34, in one embodiment, these cumulatively show the configurations to create a distributed pick.

[0276] Figure 32 illustrates a network dataflow endpoint circuit 3200 receiving input zero (0) while performing a pick operation according to embodiments of the disclosure, for example, as discussed above in reference to Figure 19. In one embodiment, egress configuration 3226A is loaded (e.g., during a configuration step) with a portion of a pick operation that is to send data to a different network dataflow endpoint circuit (e.g., circuit 3400 in Figure 34). In one embodiment, egress scheduler 3228A is to monitor the argument queue 3202 (e.g., data queue) for input data (e.g., from a processing element). According to an embodiment of the depicted data format, the“send” (e.g., a binary value therefor) indicates data is to be sent according to fields X, Y, with X being the value indicating a particular target network dataflow endpoint circuit (e.g., 0 being network dataflow endpoint circuit 3400 in Figure 34) and Y being the value indicating which network ingress buffer (e.g., buffer 3424) location the value is to be stored. In one embodiment, Y is the value indicating a particular channel of a multiple channel (e.g., packet switched) network (e.g., 0 being channel 0 and/or buffer element 0 of network dataflow endpoint circuit 3400 in Figure 34). When the input data arrives, it is then to be sent (e.g., from network egress buffer 3222) by network dataflow endpoint circuit 3200 to a different network dataflow endpoint circuit (e.g., network dataflow endpoint circuit 3400 in Figure 34).

[0277] Figure 33 illustrates a network dataflow endpoint circuit 3300 receiving input one (1) while performing a pick operation according to embodiments of the disclosure, for example, as discussed above in reference to Figure 19. In one embodiment, egress configuration 3326A is loaded (e.g., during a configuration step) with a portion of a pick operation that is to send data to a different network dataflow endpoint circuit (e.g., circuit 3400 in Figure 34). In one embodiment, egress scheduler 3328A is to monitor the argument queue 3320 (e.g., data queue 3302B) for input data (e.g., from a processing element).

According to an embodiment of the depicted data format, the“send” (e.g., a binary value therefor) indicates data is to be sent according to fields X, Y, with X being the value indicating a particular target network dataflow endpoint circuit (e.g., 0 being network dataflow endpoint circuit 3400 in Figure 34) and Y being the value indicating which network ingress buffer (e.g., buffer 3424) location the value is to be stored. In one embodiment, Y is the value indicating a particular channel of a multiple channel (e.g., packet switched) network (e.g., 1 being channel 1 and/or buffer element 1 of network dataflow endpoint circuit 3400 in Figure 34). When the input data arrives, it is then to be sent (e.g., from network egress buffer 3222) by network dataflow endpoint circuit 3300 to a different network dataflow endpoint circuit (e.g., network dataflow endpoint circuit 3400 in Figure 34).

[0278] Figure 34 illustrates a network dataflow endpoint circuit 3400 outputting the selected input while performing a pick operation according to embodiments of the disclosure, for example, as discussed above in reference to Figure 19. In one embodiment, other network dataflow endpoint circuits (e.g., circuit 3200 and circuit 3300) are to send their input data to network ingress buffer 3424 of circuit 3400. In one embodiment, ingress configuration 3426B is loaded (e.g., during a configuration step) with a portion of a pick operation that is to pick the data sent to network dataflow endpoint circuit 3400, e.g., according to a control value. In one embodiment, control value is to be received in ingress control 3432 (e.g., buffer). In one embodiment, ingress scheduler 3328A is to monitor the receipt of the control value and the input values (e.g., in network ingress buffer 3424). For example, if the control value says pick from buffer element A (e.g., 0 or 1 in this example) (e.g., from channel A) of network ingress buffer 3424, the value stored in that buffer element A is then output as a resultant of the operation by circuit 3400, for example, into an output buffer 3408, e.g., when output buffer has storage space (e.g., as indicated by a backpressure signal). In one embodiment, circuit 3400’s output data is sent out when the egress buffer has a token (e.g., input data and control data) and the receiver asserts that it has buffer (e.g., indicating storage is available, although other assignments of resources are possible, this example is simply illustrative).

[0279] Figure 35 illustrates a flow diagram 3500 according to embodiments of the disclosure. Depicted flow 3500 includes providing a spatial array of processing elements 3502; routing, with a packet switched communications network, data within the spatial array between processing elements according to a dataflow graph 3504; performing a first dataflow operation of the dataflow graph with the processing elements 3506; and performing a second dataflow operation of the dataflow graph with a plurality of network dataflow endpoint circuits of the packet switched communications network 3508.

[0280] Referring again to Figure 8, accelerator (e.g., CSA) 802 may perform (e.g., or request performance of) an access (e.g., a load and/or store) of data to one or more of plurality of cache banks (e.g., cache bank 808). A memory interface circuit (e.g., request address file (RAF) circuit(s)) may be included, e.g., as discussed herein, to provide access between memory (e.g., cache banks) and the accelerator 802. Referring again to Figure 11, a requesting circuit (e.g., a processing element) may perform (e.g., or request performance of) an access (e.g., a load and/or store) of data to one or more of plurality of cache banks (e.g., cache bank 1102). A memory interface circuit (e.g., request address file (RAF) circuit(s)) may be included, e.g., as discussed herein, to provide access between memory (e.g., one or more banks of the cache memory) and the accelerator (e.g., one or more of accelerator tiles (1108, 1110, 1112, 1114)). Referring again to Figures 19 and/or 20, a requesting circuit (e.g., a processing element) may perform (e.g., or request performance of) an access (e.g., a load and/or store) of data to one or more of a plurality of cache banks. A memory interface circuit (for example, request address file (RAF) circuit(s), e.g., RAF/cache interface 1912) may be included, e.g., as discussed herein, to provide access between memory (e.g., one or more banks of the cache memory) and the accelerator (e.g., one or more of the processing elements and/or network dataflow endpoint circuits (e.g., circuits 1902, 1904, 1906)).

[0281] In certain embodiments, an accelerator (e.g., a PE thereof) couples to a RAF circuit or a plurality of RAF circuits through (i) a circuit switched network (for example, as discussed herein, e.g., in reference to Figures 6-11) or (ii) through a packet switched network (for example, as discussed herein, e.g., in reference to Figures 18-35) [0282] In certain embodiments, a circuit (e.g., a request address file (RAF) circuit) (e.g., each of a plurality of RAF circuits) includes a translation lookaside buffer (TLB) (e.g., TLB circuit). TLB may receive an input of a virtual address and output a physical address corresponding to the mapping (e.g., address mapping) of the virtual address to the physical address (e.g., different than any mapping of a dataflow graph to hardware). A virtual address may be an address as seen by a program running on circuitry (e.g., on an accelerator and/or processor). A physical address may be an (e.g., different than the virtual) address in memory hardware. A TLB may include a data structure (e.g., table) to store (e.g., recently used) virtual-to-physical memory address translations, e.g., such that the translation does not have to be performed on each virtual address present to obtain the physical memory address corresponding to that virtual address. If the virtual address entry is not in the TLB, a circuit (e.g., a TLB manager circuit) may perform a page walk to determine the virtual-to-physical memory address translation. In one embodiment, a circuit (e.g., a RAF circuit) is to receive an input of a virtual address for translation in a TLB (e.g., TLB in RAF circuit) from a requesting entity (e.g., a PE or other hardware component) via a circuit switched network, e.g., as in Figures 6-11. Additionally or alternatively, a circuit (e.g., a RAF circuit) may receive an input of a virtual address for translation in a TLB (e.g., TLB in RAF circuit) from a requesting entity (e.g., a PE, network dataflow endpoint circuit, or other hardware component) via a packet switched network, e.g., as in Figures 18-35. In certain

embodiments, data received for a memory (e.g., cache) access request is a memory command. A memory command may include the virtual address to-be-accessed, operation to be performed (e.g., a load or a store), and/or payload data (e.g., for a store), and/or dependency information used to order the memory command with respect to other memory commands.

[0283] In certain embodiments, the request data received for a memory (e.g., cache) access request is received by a request address file circuit or circuits, e.g., of a configurable spatial accelerator. Certain embodiments of spatial architectures are an energy-efficient and high-performance way of accelerating user applications. One of the ways that a spatial accelerator(s) may achieve energy efficiency is through spatial distribution, e.g., rather than energy-hungry, centralized structures present in cores, spatial architectures may generally use small, disaggregated structures (e.g., which are both simpler and more energy efficient). For example, the circuit (e.g., spatial array) of Figure 11 may spread its load and store operations across several RAFs. This organization may result in a reduction in the size of address translation buffers (e.g., TLBs) at each RAF (e.g., in comparison to using fewer (or a single) TLB in the RAF). Certain embodiments herein provide for distributed coordination for distributed structures (e.g., distributed TLBs), e.g., in contrast to a local management circuit. As discussed further below, embodiments herein include unified translation lookaside buffer (TLB) management hardware or distributed translation lookaside buffer (TLB) management hardware, e.g., for a shared virtual memory.

[0284] Certain embodiments herein provide for shared virtual memory microarchitecture, e.g., that facilitates programming by providing a memory paradigm in the accelerator.

Certain embodiments herein do not utilize a monolithic (e.g., single) translation mechanism (e.g., TLB) per accelerator. Certain embodiments herein utilize distributed TLBs, e.g., that are not in the accelerator (e.g., not in the fabric of an accelerator). Certain embodiments herein provide for a (e.g., complex part of) the shared virtual memory control to be implemented in hardware. Certain embodiments herein provide the microarchitecture for an accelerator virtual memory translation mechanism. In certain embodiment of this microarchitecture, a distributed set of TLBs are used, e.g., such that many parallel accesses to memory are simultaneously translated. To simplify the implementation of the distributed TLBs, certain embodiments herein utilize centralized management hardware (e.g., a TLB manager circuit) to transact certain (e.g., more complex) operations, for example, but not limited to, shootdowns and page walks (e.g., a centralized page walker). To avoid spurious transactions, certain embodiments herein introduce filters (e.g., at the TLB manager circuit) to track the underlying TLBs. Certain embodiments herein provide for an accelerator architecture that has been directly provisioned with virtual address management hardware, for example, without using remote management hardware (e.g., an input-output memory management unit (IOMMU)) which is shared among multiple (e.g., area-conscious) accelerators. Certain embodiments herein provide for a full shared virtual memory microarchitecture with tightly coupled translation hardware. Certain embodiments herein include (e.g., Ll) TLBs that are tuned for low energy consumption and support relatively few entries (e.g., 2, 3, 4, 5, 6, 7, or 8 entries per (e.g., Ll) TLB). Some translations may be shared among the Ll TLBs (e.g., present in multiple Ll TLBs), and a single L2 TLB or plurality of L2 TLBs (e.g., for a single accelerator and/or accelerator tile) may eliminate spurious requests in certain embodiments. Certain embodiments herein provide a unified second level TLB that reduces the number of messages that are sent to handle (e.g., most) translation transactions. Certain embodiments herein greatly simplify the hardware used to implement a multiple level TLB hierarchy. Certain embodiments herein reduce the latency of completing misses, e.g., misses that involve page walks.

2.7 Translation Lookaside Buffer (TLB) Management Hardware

[0285] Certain embodiments herein include multiple TLBs located with a RAF circuit and a (e.g., single) TLB manager circuit. Certain embodiments herein include multiple Ll TLB, but as a single, second-level TLB to balance a desire for low energy usage at the Ll TLB and reduced page walks (e.g., for misses in the Ll TLB. Certain embodiments herein provide a unified L2 TLB microarchitecture with a single L2 TLB located within a TLB manager circuit (e.g., hardware block). A (e.g., each of a plurality of) Ll TLB may refer to (e.g. cause an access of) a L2 TLB first when a miss occurs, for example, and misses in L2 TLB may result in the invocation of a page walk. Certain embodiments herein provide a distributed, multiple (e.g., two) level TLB microarchitecture· Certain embodiments of this microarchitecture improve the performance of an accelerator by reducing the TLB miss penalty of the energy efficient Ll TLBs. Messages (e.g., commands) may be carried between the two level TLBs (e.g., and the page walker) by a network, which may also be shared with other (e.g., not translation or not TLB related) memory requests. Page walker may be privileged, for example, operate in privileged mode in contract to a use mode, e.g., page walker may access page table which is privileged data. In one embodiment with multiple (e.g., L2) caches, a respective page walker may be included at each cache.

2.8 Floating Point Support

[0286] Certain HPC applications are characterized by their need for significant floating point bandwidth. To meet this need, embodiments of a CSA may be provisioned with multiple (e.g., between 128 and 256 each) of floating add and multiplication PEs, e.g., depending on tile configuration. A CSA may provide a few other extended precision modes, e.g., to simplify math library implementation. CSA floating point PEs may support both single and double precision, but lower precision PEs may support machine learning workloads. A CSA may provide an order of magnitude more floating point performance than a processor core. In one embodiment, in addition to increasing floating point bandwidth, in order to power all of the floating point units, the energy consumed in floating point operations is reduced. For example, to reduce energy, a CSA may selectively gate the low- order bits of the floating point multiplier array. In examining the behavior of floating point arithmetic, the low order bits of the multiplication array may often not influence the final, rounded product. Figure 36 illustrates a floating point multiplier 3600 partitioned into three regions (the result region, three potential carry regions (3602, 3604, 3606), and the gated region) according to embodiments of the disclosure. In certain embodiments, the carry region is likely to influence the result region and the gated region is unlikely to influence the result region. Considering a gated region of g bits, the maximum carry may be:

Given this maximum carry, if the result of the carry region is less than 2 C - g, where the carry region is c bits wide, then the gated region may be ignored since it does not influence the result region. Increasing g means that it is more likely the gated region will be needed, while increasing c means that, under random assumption, the gated region will be unused and may be disabled to avoid energy consumption. In embodiments of a CSA floating multiplication PE, a two stage pipelined approach is utilized in which first the carry region is determined and then the gated region is determined if it is found to influence the result. If more information about the context of the multiplication is known, a CSA more aggressively tune the size of the gated region. In FMA, the multiplication result may be added to an accumulator, which is often much larger than either of the multiplicands. In this case, the addend exponent may be observed in advance of multiplication and the CSDA may adjust the gated region accordingly. One embodiment of the CSA includes a scheme in which a context value, which bounds the minimum result of a computation, is provided to related multipliers, in order to select minimum energy gating configurations.

2.9 Runtime Services

[0287] In certain embodiment, a CSA includes a heterogeneous and distributed fabric, and consequently, runtime service implementations are to accommodate several kinds of PEs in a parallel and distributed fashion. Although runtime services in a CSA may be critical, they may be infrequent relative to user-level computation. Certain implementations, therefore, focus on overlaying services on hardware resources. To meet these goals, CSA runtime services may be cast as a hierarchy, e.g., with each layer corresponding to a CSA network. At the tile level, a single external-facing controller may accepts or sends service commands to an associated core with the CSA tile. A tile-level controller may serve to coordinate regional controllers at the RAFs, e.g., using the ACI network. In turn, regional controllers may coordinate local controllers at certain mezzanine network stops (e.g., network dataflow endpoint circuits). At the lowest level, service specific micro-protocols may execute over the local network, e.g., during a special mode controlled through the mezzanine controllers. The micro-protocols may permit each PE (e.g., PE class by type) to interact with the runtime service according to its own needs. Parallelism is thus implicit in this hierarchical organization, and operations at the lowest levels may occur simultaneously. This parallelism may enables the configuration of a CSA tile in between hundreds of nanoseconds to a few microseconds, e.g., depending on the configuration size and its location in the memory hierarchy. Embodiments of the CSA thus leverage properties of dataflow graphs to improve implementation of each runtime service. One key observation is that runtime services may need only to preserve a legal logical view of the dataflow graph, e.g., a state that can be produced through some ordering of dataflow operator executions. Services may generally not need to guarantee a temporal view of the dataflow graph, e.g., the state of a dataflow graph in a CSA at a specific point in time. This may permit the CSA to conduct most runtime services in a distributed, pipelined, and parallel fashion, e.g., provided that the service is orchestrated to preserve the logical view of the dataflow graph. The local configuration micro-protocol may be a packet-based protocol overlaid on the local network. Configuration targets may be organized into a configuration chain, e.g., which is fixed in the

microarchitecture. Fabric (e.g., PE) targets may be configured one at a time, e.g., using a single extra register per target to achieve distributed coordination. To start configuration, a controller may drive an out-of-band signal which places all fabric targets in its neighborhood into an unconfigured, paused state and swings multiplexors in the local network to a pre defined conformation. As the fabric (e.g., PE) targets are configured, that is they completely receive their configuration packet, they may set their configuration microprotocol registers, notifying the immediately succeeding target (e.g., PE) that it may proceed to configure using the subsequent packet. There is no limitation to the size of a configuration packet, and packets may have dynamically variable length. For example, PEs configuring constant operands may have a configuration packet that is lengthened to include the constant field (e.g., X and Y in Figures 3B-3C). Figure 37 illustrates an in-flight configuration of an accelerator 3700 with a plurality of processing elements (e.g., PEs 3702, 3704, 3706, 3708) according to embodiments of the disclosure. Once configured, PEs may execute subject to dataflow constraints. However, channels involving unconfigured PEs may be disabled by the microarchitecture, e.g., preventing any undefined operations from occurring. These properties allow embodiments of a CSA to initialize and execute in a distributed fashion with no centralized control whatsoever. From an unconfigured state, configuration may occur completely in parallel, e.g., in perhaps as few as 200 nanoseconds. However, due to the distributed initialization of embodiments of a CSA, PEs may become active, for example sending requests to memory, well before the entire fabric is configured. Extraction may proceed in much the same way as configuration. The local network may be conformed to extract data from one target at a time, and state bits used to achieve distributed coordination. A CSA may orchestrate extraction to be non-destructive, that is, at the completion of extraction each extractable target has returned to its starting state. In this implementation, all state in the target may be circulated to an egress register tied to the local network in a scan like fashion. Although in-place extraction may be achieved by introducing new paths at the register-transfer level (RTL), or using existing lines to provide the same functionalities with lower overhead. Like configuration, hierarchical extraction is achieved in parallel.

[0288] Figure 38 illustrates a snapshot 3800 of an in-flight, pipelined extraction according to embodiments of the disclosure. In some use cases of extraction, such as checkpointing, latency may not be a concern so long as fabric throughput is maintained. In these cases, extraction may be orchestrated in a pipelined fashion. This arrangement, shown in Figure 38, permits most of the fabric to continue executing, while a narrow region is disabled for extraction. Configuration and extraction may be coordinated and composed to achieve a pipelined context switch. Exceptions may differ qualitatively from configuration and extraction in that, rather than occurring at a specified time, they arise anywhere in the fabric at any point during runtime. Thus, in one embodiment, the exception micro-protocol may not be overlaid on the local network, which is occupied by the user program at runtime, and utilizes its own network. However, by nature, exceptions are rare and insensitive to latency and bandwidth. Thus certain embodiments of CSA utilize a packet switched network to carry exceptions to the local mezzanine stop, e.g., where they are forwarded up the service hierarchy (e.g., as in Figure 53). Packets in the local exception network may be extremely small. In many cases, a PE identification (ID) of only two to eight bits suffices as a complete packet, e.g., since the CSA may create a unique exception identifier as the packet traverses the exception service hierarchy. Such a scheme may be desirable because it also reduces the area overhead of producing exceptions at each PE.

3. COMPILATION

[0289] The ability to compile programs written in high-level languages onto a CSA may be essential for industry adoption. This section gives a high-level overview of compilation strategies for embodiments of a CSA. First is a proposal for a CSA software framework that illustrates the desired properties of an ideal production-quality toolchain. Next, a prototype compiler framework is discussed. A“control-to-dataflow conversion” is then discussed, e.g., to converts ordinary sequential control-flow code into CSA dataflow assembly code.

3.1 Example Production Framework

[0290] Figure 39 illustrates a compilation toolchain 3900 for an accelerator according to embodiments of the disclosure. This toolchain compiles high-level languages (such as C, C++, and Fortran) into a combination of host code (LLVM) intermediate representation (IR) for the specific regions to be accelerated. The CSA-specific portion of this compilation toolchain takes LLVM IR as its input, optimizes and compiles this IR into a CSA assembly, e.g., adding appropriate buffering on latency-insensitive channels for performance. It then places and routes the CSA assembly on the hardware fabric, and configures the PEs and network for execution. In one embodiment, the toolchain supports the CSA-specific compilation as a just-in-time (JIT), incorporating potential runtime feedback from actual executions. One of the key design characteristics of the framework is compilation of (LLVM) IR for the CSA, rather than using a higher- level language as input. While a program written in a high-level programming language designed specifically for the CSA might achieve maximal performance and/or energy efficiency, the adoption of new high-level languages or programming frameworks may be slow and limited in practice because of the difficulty of converting existing code bases. Using (LLVM) IR as input enables a wide range of existing programs to potentially execute on a CSA, e.g., without the need to create a new language or significantly modify the front-end of new languages that want to run on the CSA.

3.2 Prototype Compiler

[0291] Figure 40 illustrates a compiler 4000 for an accelerator according to embodiments of the disclosure. Compiler 4000 initially focuses on ahead-of-time compilation of C and C++ through the (e.g., Clang) front-end. To compile (LLVM) IR, the compiler implements a CSA back-end target within LLVM with three main stages. First, the CSA back-end lowers LLVM IR into a target-specific machine instructions for the sequential unit, which implements most CSA operations combined with a traditional RISC-like control-flow architecture (e.g., with branches and a program counter). The sequential unit in the toolchain may serve as a useful aid for both compiler and application developers, since it enables an incremental transformation of a program from control flow (CF) to dataflow (DF), e.g., converting one section of code at a time from control-flow to dataflow and validating program correctness. The sequential unit may also provide a model for handling code that does not fit in the spatial array. Next, the compiler converts these control-flow instructions into dataflow operators (e.g., code) for the CSA. This phase is described later in Section 3.3. Then, the CSA back-end may run its own optimization passes on the dataflow instructions. Finally, the compiler may dump the instructions in a CSA assembly format. This assembly format is taken as input to late-stage tools which place and route the dataflow instructions on the actual CSA hardware.

3.3 Control to Dataflow Conversion

[0292] A key portion of the compiler may be implemented in the control-to-dataflow conversion pass, or dataflow conversion pass for short. This pass takes in a function represented in control flow form, e.g., a control-flow graph (CFG) with sequential machine instructions operating on virtual registers, and converts it into a dataflow function that is conceptually a graph of dataflow operations (instructions) connected by latency-insensitive channels (LICs). This section gives a high-level description of this pass, describing how it conceptually deals with memory operations, branches, and loops in certain embodiments. Straight-Line Code

[0293] Figure 41A illustrates sequential assembly code 4102 according to embodiments of the disclosure. Figure 41B illustrates dataflow assembly code 4104 for the sequential assembly code 4102 of Figure 41 A according to embodiments of the disclosure. Figure 41C illustrates a dataflow graph 4106 for the dataflow assembly code 4104 of Figure 41B for an accelerator according to embodiments of the disclosure.

[0294] First, consider the simple case of converting straight-line sequential code to dataflow. The dataflow conversion pass may convert a basic block of sequential code, such as the code shown in Figure 41A into CSA assembly code, shown in Figure 41B. Conceptually, the CSA assembly in Figure 41B represents the dataflow graph shown in Figure 41C. In this example, each sequential instruction is translated into a matching CSA assembly. The .lie statements (e.g., for data) declare latency-insensitive channels which correspond to the virtual registers in the sequential code (e.g., Rdata). In practice, the input to the dataflow conversion pass may be in numbered virtual registers. For clarity, however, this section uses descriptive register names. Note that load and store operations are supported in the CSA architecture in this embodiment, allowing for many more programs to run than an architecture supporting only pure dataflow. Since the sequential code input to the compiler is in SSA (singlestatic assignment) form, for a simple basic block, the control-to-dataflow pass may convert each virtual register definition into the production of a single value on a latency-insensitive channel. The SSA form allows multiple uses of a single definition of a virtual register, such as in Rdata2). To support this model, the CSA assembly code supports multiple uses of the same LIC (e.g., data2), with the simulator implicitly creating the necessary copies of the LICs. One key difference between sequential code and dataflow code is in the treatment of memory operations. The code in Figure 41A is conceptually serial, which means that the load32 (ld32) of addr3 should appear to happen after the st32 of addr, in case that addr and addr3 addresses overlap.

Branches

[0295] To convert programs with multiple basic blocks and conditionals to dataflow, the compiler generates special dataflow operators to replace the branches. More specifically, the compiler uses switch operators to steer outgoing data at the end of a basic block in the original CFG, and pick operators to select values from the appropriate incoming channel at the beginning of a basic block. As a concrete example, consider the code and corresponding dataflow graph in Figures 42A-42C, which conditionally computes a value of y based on several inputs: a i, x, and n. After computing the branch condition test, the dataflow code uses a switch operator (e.g., see Figures 3B-3C) steers the value in channel x to channel xF if test is 0, or channel xT if test is 1. Similarly, a pick operator (e.g., see Figures 3B-3C) is used to send channel yF to y if test is 0, or send channel yT to y if test is 1. In this example, it turns out that even though the value of a is only used in the true branch of the conditional, the CSA is to include a switch operator which steers it to channel aT when test is 1, and consumes (eats) the value when test is 0. This latter case is expressed by setting the false output of the switch to %ign. It may not be correct to simply connect channel a directly to the true path, because in the cases where execution actually takes the false path, this value of“a” will be left over in the graph, leading to incorrect value of a for the next execution of the function. This example highlights the property of control equivalence, a key property in embodiments of correct dataflow conversion. [0296] Control Equivalence: Consider a single-entry-single-exit control flow graph G with two basic blocks A and B. A and B are control-equivalent if all complete control flow paths through G visit A and B the same number of times.

[0297] LIC Replacement: In a control flow graph G, suppose an operation in basic block A defines a virtual register x, and an operation in basic block B that uses x. Then a correct control-to-dataflow transformation can replace x with a latency-insensitive channel only if A and B are control equivalent. The control-equivalence relation partitions the basic blocks of a CFG into strong control-dependence regions. Figure 42A illustrates C source code 4202 according to embodiments of the disclosure. Figure 42B illustrates dataflow assembly code 4204 for the C source code 4202 of Figure 42A according to embodiments of the disclosure. Figure 42C illustrates a dataflow graph 4206 for the dataflow assembly code 4204 of Figure 42B for an accelerator according to embodiments of the disclosure. In the example in Figures 42A-42C, the basic block before and after the conditionals are control-equivalent to each other, but the basic blocks in the true and false paths are each in their own control dependence region. One correct algorithm for converting a CFG to dataflow is to have the compiler insert (1) switches to compensate for the mismatch in execution frequency for any values that flow between basic blocks which are not control equivalent, and (2) picks at the beginning of basic blocks to choose correctly from any incoming values to a basic block. Generating the appropriate control signals for these picks and switches may be the key part of dataflow conversion.

Loops

[0298] Another important class of CFGs in dataflow conversion are CFGs for single- entry-single-exit loops, a common form of loop generated in (LLVM) IR. These loops may be almost acyclic, except for a single back edge from the end of the loop back to a loop header block. The dataflow conversion pass may use same high-level strategy to convert loops as for branches, e.g., it inserts switches at the end of the loop to direct values out of the loop (either out the loop exit or around the back-edge to the beginning of the loop), and inserts picks at the beginning of the loop to choose between initial values entering the loop and values coming through the back edge. Figure 43A illustrates C source code 4302 according to embodiments of the disclosure. Figure 43B illustrates dataflow assembly code 4304 for the C source code 4302 of Figure 43A according to embodiments of the disclosure. Figure 43C illustrates a dataflow graph 4306 for the dataflow assembly code 4304 of Figure 43B for an accelerator according to embodiments of the disclosure. Figures 43A-43C shows C and CSA assembly code for an example do-while loop that adds up values of a loop induction variable i, as well as the corresponding dataflow graph. For each variable that conceptually cycles around the loop (i and sum), this graph has a corresponding pick/switch pair that controls the flow of these values. Note that this example also uses a pick/switch pair to cycle the value of n around the loop, even though n is loop-invariant. This repetition of n enables conversion of n’s virtual register into a LIC, since it matches the execution frequencies between a conceptual definition of n outside the loop and the one or more uses of n inside the loop. In general, for a correct dataflow conversion, registers that are live-in into a loop are to be repeated once for each iteration inside the loop body when the register is converted into a LIC. Similarly, registers that are updated inside a loop and are live-out from the loop are to be consumed, e.g., with a single final value sent out of the loop. Loops introduce a wrinkle into the dataflow conversion process, namely that the control for a pick at the top of the loop and the switch for the bottom of the loop are offset. For example, if the loop in Figure 42A executes three iterations and exits, the control to picker should be 0, 1, 1, while the control to switcher should be 1, 1, 0. This control is implemented by starting the picker channel with an initial extra 0 when the function begins on cycle 0 (which is specified in the assembly by the directives .value 0 and .avail 0), and then copying the output switcher into picker. Note that the last 0 in switcher restores a final 0 into picker, ensuring that the final state of the dataflow graph matches its initial state.

[0299] Figure 44A illustrates a flow diagram 4400 according to embodiments of the disclosure. Depicted flow 4400 includes decoding an instruction with a decoder of a core of a processor into a decoded instruction 4402; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation 4404; receiving an input of a dataflow graph comprising a plurality of nodes 4406; overlaying the dataflow graph into a plurality of processing elements of the processor and an interconnect network between the plurality of processing elements of the processor with each node represented as a dataflow operator in the plurality of processing elements 4408; and performing a second operation of the dataflow graph with the interconnect network and the plurality of processing elements by a respective, incoming operand set arriving at each of the dataflow operators of the plurality of processing elements 4410.

[0300] Figure 44B illustrates a flow diagram 4401 according to embodiments of the disclosure. Depicted flow 4401 includes receiving an input of a dataflow graph comprising a plurality of nodes 4403; and overlaying the dataflow graph into a plurality of processing elements of a processor, a data path network between the plurality of processing elements, and a flow control path network between the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements 4405.

[0301] In one embodiment, the core writes a command into a memory queue and a CSA (e.g., the plurality of processing elements) monitors the memory queue and begins executing when the command is read. In one embodiment, the core executes a first part of a program and a CSA (e.g., the plurality of processing elements) executes a second part of the program. In one embodiment, the core does other work while the CSA is executing its operations.

4. CSA ADVANTAGES

[0302] In certain embodiments, the CSA architecture and microarchitecture provides profound energy, performance, and usability advantages over roadmap processor

architectures and FPGAs. In this section, these architectures are compared to embodiments of the CSA and highlights the superiority of CSA in accelerating parallel dataflow graphs relative to each.

4.1 Processors

[0303] Figure 45 illustrates a throughput versus energy per operation graph 4500 according to embodiments of the disclosure. As shown in Figure 45, small cores are generally more energy efficient than large cores, and, in some workloads, this advantage may be translated to absolute performance through higher core counts. The CSA microarchitecture follows these observations to their conclusion and removes (e.g., most) energy-hungry control structures associated with von Neumann architectures, including most of the instruction- side microarchitecture· By removing these overheads and implementing simple, single operation PEs, embodiments of a CSA obtains a dense, efficient spatial array. Unlike small cores, which are usually quite serial, a CSA may gang its PEs together, e.g., via the circuit switched local network, to form explicitly parallel aggregate dataflow graphs. The result is performance in not only parallel applications, but also serial applications as well. Unlike cores, which may pay dearly for performance in terms area and energy, a CSA is already parallel in its native execution model. In certain embodiments, a CSA neither requires speculation to increase performance nor does it need to repeatedly re-extract parallelism from a sequential program representation, thereby avoiding two of the main energy taxes in von Neumann architectures. Most structures in embodiments of a CSA are distributed, small, and energy efficient, as opposed to the centralized, bulky, energy hungry structures found in cores. Consider the case of registers in the CSA: each PE may have a few (e.g., 10 or less) storage registers. Taken individually, these registers may be more efficient that traditional register files. In aggregate, these registers may provide the effect of a large, in-fabric register file. As a result, embodiments of a CSA avoids most of stack spills and fills incurred by classical architectures, while using much less energy per state access. Of course, applications may still access memory. In embodiments of a CSA, memory access request and response are architecturally decoupled, enabling workloads to sustain many more outstanding memory accesses per unit of area and energy. This property yields substantially higher performance for cache-bound workloads and reduces the area and energy needed to saturate main memory in memory-bound workloads. Embodiments of a CSA expose new forms of energy efficiency which are unique to non- von Neumann architectures. One consequence of executing a single operation (e.g., instruction) at a (e.g., most) PEs is reduced operand entropy. In the case of an increment operation, each execution may result in a handful of circuit-level toggles and little energy consumption, a case examined in detail in Section 5.2. In contrast, von Neumann architectures are multiplexed, resulting in large numbers of bit transitions. The asynchronous style of embodiments of a CSA also enables microarchitectural optimizations, such as the floating point optimizations described in Section 2.7 that are difficult to realize in tightly scheduled core pipelines. Because PEs may be relatively simple and their behavior in a particular dataflow graph be statically known, clock gating and power gating techniques may be applied more effectively than in coarser architectures. The graph-execution style, small size, and malleability of embodiments of CSA PEs and the network together enable the expression many kinds of parallelism: instruction, data, pipeline, vector, memory, thread, and task parallelism may all be implemented. For example, in embodiments of a CSA, one application may use arithmetic units to provide a high degree of address bandwidth, while another application may use those same units for computation. In many cases, multiple kinds of parallelism may be combined to achieve even more performance. Many key HPC operations may be both replicated and pipelined, resulting in orders -of-magnitude performance gains. In contrast, von Neumann-style cores typically optimize for one style of parallelism, carefully chosen by the architects, resulting in a failure to capture all important application kernels. Just as embodiments of a CSA expose and facilitates many forms of parallelism, it does not mandate a particular form of parallelism, or, worse, a particular subroutine be present in an application in order to benefit from the CSA. Many applications, including single-stream applications, may obtain both performance and energy benefits from embodiments of a CSA, e.g., even when compiled without modification. This reverses the long trend of requiring significant programmer effort to obtain a substantial performance gain in singlestream applications. Indeed, in some applications, embodiments of a CSA obtain more performance from functionally equivalent, but less“modem” codes than from their convoluted, contemporary cousins which have been tortured to target vector instructions.

4.2 Comparison of CSA Embodiments and FGPAs

[0304] The choice of dataflow operators as the fundamental architecture of embodiments of a CSA differentiates those CSAs from a FGPA, and particularly the CSA is as superior accelerator for HPC dataflow graphs arising from traditional programming languages.

Dataflow operators are fundamentally asynchronous. This enables embodiments of a CSA not only to have great freedom of implementation in the microarchitecture, but it also enables them to simply and succinctly accommodate abstract architectural concepts. For example, embodiments of a CSA naturally accommodate many memory microarchitectures, which are essentially asynchronous, with a simple load-store interface. One need only examine an FPGA DRAM controller to appreciate the difference in complexity. Embodiments of a CSA also leverage asynchrony to provide faster and more-fully-featured runtime services like configuration and extraction, which are believed to be four to six orders of magnitude faster than an FPGA. By narrowing the architectural interface, embodiments of a CSA provide control over most timing paths at the microarchitectural level. This allows embodiments of a CSA to operate at a much higher frequency than the more general control mechanism offered in a FPGA. Similarly, clock and reset, which may be architecturally fundamental to FPGAs, are microarchitectural in the CSA, e.g., obviating the need to support them as programmable entities. Dataflow operators may be, for the most part, coarse-grained. By only dealing in coarse operators, embodiments of a CSA improve both the density of the fabric and its energy consumption: CSA executes operations directly rather than emulating them with look-up tables. A second consequence of coarseness is a simplification of the place and route problem. CSA dataflow graphs are many orders of magnitude smaller than FPGA net-lists and place and route time are commensurately reduced in embodiments of a CSA. The significant differences between embodiments of a CSA and a FPGA make the CSA superior as an accelerator, e.g., for dataflow graphs arising from traditional programming languages.

5. EVALUATION

[0305] The CSA is a novel computer architecture with the potential to provide enormous performance and energy advantages relative to roadmap processors. Consider the case of computing a single strided address for walking across an array. This case may be important in HPC applications, e.g., which spend significant integer effort in computing address offsets. In address computation, and especially strided address computation, one argument is constant and the other varies only slightly per computation. Thus, only a handful of bits per cycle toggle in the majority of cases. Indeed, it may be shown, using a derivation similar to the bound on floating point carry bits described in Section 2.7, that less than two bits of input toggle per computation in average for a stride calculation, reducing energy by 50% over a random toggle distribution. Were a time-multiplexed approach used, much of this energy savings may be lost. In one embodiment, the CSA achieves approximately 3x energy efficiency over a core while delivering an 8x performance gain. The parallelism gains achieved by embodiments of a CSA may result in reduced program ran times, yielding a proportionate, substantial reduction in leakage energy. At the PE level, embodiments of a CSA are extremely energy efficient. A second important question for the CSA is whether the CSA consumes a reasonable amount of energy at the tile level. Since embodiments of a CSA are capable of exercising every floating point PE in the fabric at every cycle, it serves as a reasonable upper bound for energy and power consumption, e.g., such that most of the energy goes into floating point multiply and add.

6. FURTHER CSA DETAILS

[0306] This section discusses further details for configuration and exception handling.

6.1 Microarchitecture for Configuring a CSA

[0307] This section discloses examples of how to configure a CSA (e.g., fabric), how to achieve this configuration quickly, and how to minimize the resource overhead of configuration. Configuring the fabric quickly may be of preeminent importance in accelerating small portions of a larger algorithm, and consequently in broadening the applicability of a CSA. The section further discloses features that allow embodiments of a CSA to be programmed with configurations of different length.

[0308] Embodiments of a CSA (e.g., fabric) may differ from traditional cores in that they make use of a configuration step in which (e.g., large) parts of the fabric are loaded with program configuration in advance of program execution. An advantage of static configuration may be that very little energy is spent at runtime on the configuration, e.g., as opposed to sequential cores which spend energy fetching configuration information (an instruction) nearly every cycle. The previous disadvantage of configuration is that it was a coarse-grained step with a potentially large latency, which places an under-bound on the size of program that can be accelerated in the fabric due to the cost of context switching. This disclosure describes a scalable microarchitecture for rapidly configuring a spatial array in a distributed fashion, e.g., that avoids the previous disadvantages.

[0309] As discussed above, a CSA may include light-weight processing elements connected by an inter-PE network. Programs, viewed as control-dataflow graphs, are then mapped onto the architecture by configuring the configurable fabric elements (CFEs), for example PEs and the interconnect (fabric) networks. Generally, PEs may be configured as dataflow operators and once all input operands arrive at the PE, some operation occurs, and the results are forwarded to another PE or PEs for consumption or output. PEs may communicate over dedicated virtual circuits which are formed by statically configuring the circuit switched communications network. These virtual circuits may be flow controlled and fully back-pressured, e.g., such that PEs will stall if either the source has no data or destination is full. At runtime, data may flow through the PEs implementing the mapped algorithm. For example, data may be streamed in from memory, through the fabric, and then back out to memory. Such a spatial architecture may achieve remarkable performance efficiency relative to traditional multicore processors: compute, in the form of PEs, may be simpler and more numerous than larger cores and communications may be direct, as opposed to an extension of the memory system.

[0310] Embodiments of a CSA may not utilize (e.g., software controlled) packet switching, e.g., packet switching that requires significant software assistance to realize, which slows configuration. Embodiments of a CSA include out-of-band signaling in the network (e.g., of only 2-3 bits, depending on the feature set supported) and a fixed configuration topology to avoid the need for significant software support.

[0311] One key difference between embodiments of a CSA and the approach used in FPGAs is that a CSA approach may use a wide data word, is distributed, and includes mechanisms to fetch program data directly from memory. Embodiments of a CSA may not utilize JTAG-style single bit communications in the interest of area efficiency, e.g., as that may require milliseconds to completely configure a large FPGA fabric.

[0312] Embodiments of a CSA include a distributed configuration protocol and microarchitecture to support this protocol. Initially, configuration state may reside in memory. Multiple (e.g., distributed) local configuration controllers (boxes) (LCCs) may stream portions of the overall program into their local region of the spatial fabric, e.g., using a combination of a small set of control signals and the fabric-provided network. State elements may be used at each CFE to form configuration chains, e.g., allowing individual CFEs to self-program without global addressing.

[0313] Embodiments of a CSA include specific hardware support for the formation of configuration chains, e.g., not software establishing these chains dynamically at the cost of increasing configuration time. Embodiments of a CSA are not purely packet switched and do include extra out-of-band control wires (e.g., control is not sent through the data path requiring extra cycles to strobe this information and reserialize this information).

Embodiments of a CSA decreases configuration latency by fixing the configuration ordering and by providing explicit out-of-band control (e.g., by at least a factor of two), while not significantly increasing network complexity.

[0314] Embodiments of a CSA do not use a serial mechanism for configuration in which data is streamed bit by bit into the fabric using a JTAG-like protocol. Embodiments of a CSA utilize a coarse-grained fabric approach. In certain embodiments, adding a few control wires or state elements to a 64 or 32-bit-oriented CSA fabric has a lower cost relative to adding those same control mechanisms to a 4 or 6 bit fabric.

[0315] Figure 46 illustrates an accelerator tile 4600 comprising an array of processing elements (PE) and a local configuration controller (4602, 4606) according to embodiments of the disclosure. Each PE, each network controller (e.g., network dataflow endpoint circuit), and each switch may be a configurable fabric elements (CFEs), e.g., which are configured (e.g., programmed) by embodiments of the CSA architecture.

[0316] Embodiments of a CSA include hardware that provides for efficient, distributed, low-latency configuration of a heterogeneous spatial fabric. This may be achieved according to four techniques. First, a hardware entity, the local configuration controller (LCC) is utilized, for example, as in Figures 46-48. An LCC may fetch a stream of configuration information from (e.g., virtual) memory. Second, a configuration data path may be included, e.g., that is as wide as the native width of the PE fabric and which may be overlaid on top of the PE fabric. Third, new control signals may be received into the PE fabric which orchestrate the configuration process. Fourth, state elements may be located (e.g., in a register) at each configurable endpoint which track the status of adjacent CFEs, allowing each CFE to unambiguously self-configure without extra control signals. These four

microarchitectural features may allow a CSA to configure chains of its CFEs. To obtain low configuration latency, the configuration may be partitioned by building many LCCs and CFE chains. At configuration time, these may operate independently to load the fabric in parallel, e.g., dramatically reducing latency. As a result of these combinations, fabrics configured using embodiments of a CSA architecture, may be completely configured (e.g., in hundreds of nanoseconds). In the following, the detailed the operation of the various components of embodiments of a CSA configuration network are disclosed.

[0317] Figures 47A-47C illustrate a local configuration controller 4702 configuring a data path network according to embodiments of the disclosure. Depicted network includes a plurality of multiplexers (e.g., multiplexers 4706, 4708, 4710) that may be configured (e.g., via their respective control signals) to connect one or more data paths (e.g., from PEs) together. Figure 47A illustrates the network 4700 (e.g., fabric) configured (e.g., set) for some previous operation or program. Figure 47B illustrates the local configuration controller 4702 (e.g., including a network interface circuit 4704 to send and/or receive signals) strobing a configuration signal and the local network is set to a default configuration (e.g., as depicted) that allows the LCC to send configuration data to all configurable fabric elements (CFEs), e.g., muxes. Figure 47C illustrates the LCC strobing configuration information across the network, configuring CFEs in a predetermined (e.g., silicon-defined) sequence. In one embodiment, when CFEs are configured they may begin operation immediately. In another embodiments, the CFEs wait to begin operation until the fabric has been completely configured (e.g., as signaled by configuration terminator (e.g., configuration terminator 4904 and configuration terminator 4908 in Figure 49) for each local configuration controller). In one embodiment, the LCC obtains control over the network fabric by sending a special message, or driving a signal. It then strobes configuration data (e.g., over a period of many cycles) to the CFEs in the fabric. In these figures, the multiplexor networks are analogues of the“Switch” shown in certain Figures (e.g., Figure 6).

Local Configuration Controller

[0318] Figure 48 illustrates a (e.g., local) configuration controller 4802 according to embodiments of the disclosure. A local configuration controller (LCC) may be the hardware entity which is responsible for loading the local portions (e.g., in a proper subset of a tile or otherwise) of the fabric program, interpreting these program portions, and then loading these program portions into the fabric by driving the appropriate protocol on the various configuration wires. In this capacity, the LCC may be a special-purpose, sequential

microcontroller.

[0319] LCC operation may begin when it receives a pointer to a code segment.

Depending on the LCB microarchitecture, this pointer (e.g., stored in pointer register 4806) may come either over a network (e.g., from within the CSA (fabric) itself) or through a memory system access to the LCC. When it receives such a pointer, the LCC optionally drains relevant state from its portion of the fabric for context storage, and then proceeds to immediately reconfigure the portion of the fabric for which it is responsible. The program loaded by the LCC may be a combination of configuration data for the fabric and control commands for the LCC, e.g., which are lightly encoded. As the LCC streams in the program portion, it may interprets the program as a command stream and perform the appropriate encoded action to configure (e.g., load) the fabric.

[0320] Two different microarchitectures for the LCC are shown in Figure 46, e.g., with one or both being utilized in a CSA. The first places the LCC 4602 at the memory interface. In this case, the LCC may make direct requests to the memory system to load data. In the second case the LCC 4606 is placed on a memory network, in which it may make requests to the memory only indirectly. In both cases, the logical operation of the LCB is unchanged. In one embodiment, an LCCs is informed of the program to load, for example, by a set of (e.g., OS-visible) control-status-registers which will be used to inform individual LCCs of new program pointers, etc.

Extra Out-of-band Control Channels (e.g., Wire )

[0321] In certain embodiments, configuration relies on 2-8 extra, out-of-band control channels to improve configuration speed, as defined below. For example, configuration controller 4802 may include the following control channels, e.g., CFG_START control channel 4808, CFG_VALID control channel 4810, and CFG_DONE control channel 4812, with examples of each discussed in Table 2 below.

Table 2: Control Channels

[0322] Generally, the handling of configuration information may be left to the implementer of a particular CFE. For example, a selectable function CFE may have a provision for setting registers using an existing data path, while a fixed function CFE might simply set a configuration register. [0323] Due to long wire delays when programming a large set of CFEs, the CFG_VALID signal may be treated as a clock/latch enable for CFE components. Since this signal is used as a clock, in one embodiment the duty cycle of the line is at most 50%. As a result, configuration throughput is approximately halved. Optionally, a second CFG_VALID signal may be added to enable continuous programming.

[0324] In one embodiment, only CFG_START is strictly communicated on an independent coupling (e.g., wire), for example, CFG_VALID and CFG_DONE may be overlaid on top of other network couplings.

Reuse of Network Resources

[0325] To reduce the overhead of configuration, certain embodiments of a CSA make use of existing network infrastructure to communicate configuration data. A LCC may make use of both a chip-level memory hierarchy and a fabric-level communications networks to move data from storage into the fabric. As a result, in certain embodiments of a CSA, the configuration infrastructure adds no more than 2% to the overall fabric area and power.

[0326] Reuse of network resources in certain embodiments of a CSA may cause a network to have some hardware support for a configuration mechanism. Circuit switched networks of embodiments of a CSA cause an LCC to set their multiplexors in a specific way for configuration when the‘CFG_START’ signal is asserted. Packet switched networks do not require extension, although LCC endpoints (e.g., configuration terminators) use a specific address in the packet switched network. Network reuse is optional, and some embodiments may find dedicated configuration buses to be more convenient.

Per CFE State

[0327] Each CFE may maintain a bit denoting whether or not it has been configured (see, e.g., Figure 37). This bit may be de-asserted when the configuration start signal is driven, and then asserted once the particular CFE has been configured. In one configuration protocol, CFEs are arranged to form chains with the CFE configuration state bit determining the topology of the chain. A CFE may read the configuration state bit of the immediately adjacent CFE. If this adjacent CFE is configured and the current CFE is not configured, the CFE may determine that any current configuration data is targeted at the current CFE. When the‘CFG_DONE’ signal is asserted, the CFE may set its configuration bit, e.g., enabling upstream CFEs to configure. As a base case to the configuration process, a configuration terminator (e.g., configuration terminator 4604 for LCC 4602 or configuration terminator 4608 for LCC 4606 in Figure 46) which asserts that it is configured may be included at the end of a chain.

[0328] Internal to the CFE, this bit may be used to drive flow control ready signals. For example, when the configuration bit is de-asserted, network control signals may

automatically be clamped to a values that prevent data from flowing, while, within PEs, no operations or other actions will be scheduled.

Dealing with High-delay Configuration Paths

[0329] One embodiment of an LCC may drive a signal over a long distance, e.g., through many multiplexors and with many loads. Thus, it may be difficult for a signal to arrive at a distant CFE within a short clock cycle. In certain embodiments, configuration signals are at some division (e.g., fraction of) of the main (e.g., CSA) clock frequency to ensure digital timing discipline at configuration. Clock division may be utilized in an out-of-band signaling protocol, and does not require any modification of the main clock tree.

Ensuring Consistent Fabric Behavior During Configuration

[0330] Since certain configuration schemes are distributed and have non-deterministic timing due to program and memory effects, different portions of the fabric may be configured at different times. As a result, certain embodiments of a CSA provide mechanisms to prevent inconsistent operation among configured and unconfigured CFEs. Generally, consistency is viewed as a property required of and maintained by CFEs themselves, e.g., using the internal CFE state. For example, when a CFE is in an unconfigured state, it may claim that its input buffers are full, and that its output is invalid. When configured, these values will be set to the true state of the buffers. As enough of the fabric comes out of configuration, these techniques may permit it to begin operation. This has the effect of further reducing context switching latency, e.g., if long-latency memory requests are issued early.

Variable-width Configuration

[0331] Different CFEs may have different configuration word widths. For smaller CFE configuration words, implementers may balance delay by equitably assigning CFE configuration loads across the network wires. To balance loading on network wires, one option is to assign configuration bits to different portions of network wires to limit the net delay on any one wire. Wide data words may be handled by using serialization/deserialization techniques. These decisions may be taken on a per-fabric basis to optimize the behavior of a specific CSA (e.g., fabric). Network controller (e.g., one or more of network controller 4610 and network controller 4612 may communicate with each domain (e.g., proper subset) of the CSA (e.g., fabric), for example, to send configuration information to one or more LCCs. Network controller may be part of a communications network (e.g., separate from circuit switched network). Network controller may include a network dataflow endpoint circuit.

6.2 Microarchitecture for Low Latency Configuration of a CSA and for Timely Fetching of Configuration Data for a CSA

[0332] Embodiments of a CSA may be an energy-efficient and high-performance means of accelerating user applications. When considering whether a program (e.g., a dataflow graph thereof) may be successfully accelerated by an accelerator, both the time to configure the accelerator and the time to ran the program may be considered. If the ran time is short, then the configuration time may play a large role in determining successful acceleration. Therefore, to maximize the domain of accelerable programs, in some embodiments the configuration time is made as short as possible. One or more configuration caches may be includes in a CSA, e.g., such that the high bandwidth, low-latency store enables rapid reconfiguration. Next is a description of several embodiments of a configuration cache.

[0333] In one embodiment, during configuration, the configuration hardware (e.g., LCC) optionally accesses the configuration cache to obtain new configuration information. The configuration cache may operate either as a traditional address based cache, or in an OS managed mode, in which configurations are stored in the local address space and addressed by reference to that address space. If configuration state is located in the cache, then no requests to the backing store are to be made in certain embodiments. In certain embodiments, this configuration cache is separate from any (e.g., lower level) shared cache in the memory hierarchy.

[0334] Figure 49 illustrates an accelerator tile 4900 comprising an array of processing elements, a configuration cache (e.g., 4918 or 4920), and a local configuration controller (e.g., 4902 or 4906) according to embodiments of the disclosure. In one embodiment, configuration cache 4914 is co-located with local configuration controller 4902. In one embodiment, configuration cache 4918 is located in the configuration domain of local configuration controller 4906, e.g., with a first domain ending at configuration terminator 4904 and a second domain ending at configuration terminator 4908). A configuration cache may allow a local configuration controller may refer to the configuration cache during configuration, e.g., in the hope of obtaining configuration state with lower latency than a reference to memory. A configuration cache (storage) may either be dedicated or may be accessed as a configuration mode of an in-fabric storage element, e.g., local cache 4916. Caching Modes

1. Demand Caching - In this mode, the configuration cache operates as a true cache. The configuration controller issues address-based requests, which are checked against tags in the cache. Misses are loaded into the cache and then may be re-referenced during future reprogramming.

2. In-Fabric Storage (Scratchpad) Caching - In this mode the configuration cache

receives a reference to a configuration sequence in its own, small address space, rather than the larger address space of the host. This may improve memory density since the portion of cache used to store tags may instead be used to store

configuration.

[0335] In certain embodiments, a configuration cache may have the configuration data pre-loaded into it, e.g., either by external direction or internal direction. This may allow reduction in the latency to load programs. Certain embodiments herein provide for an interface to a configuration cache which permits the loading of new configuration state into the cache, e.g., even if a configuration is running in the fabric already. The initiation of this load may occur from either an internal or external source. Embodiments of a pre-loading mechanism further reduce latency by removing the latency of cache loading from the configuration path.

Pre-fetching modes

1. Explicit Prefetching - A configuration path is augmented with a new command, ConfigurationCachePrefetch. Instead of programming the fabric, this command simply cause a load of the relevant program configuration into a configuration cache, without programming the fabric. Since this mechanism piggybacks on the existing configuration infrastructure, it is exposed both within the fabric and externally, e.g., to cores and other entities accessing the memory space.

2. Implicit prefetching -A global configuration controller may maintain a prefetch

predictor, and use this to initiate the explicit prefetching to a configuration cache, e.g., in an automated fashion.

6.3 Hardware for Rapid Reconfiguration of a CSA in Response to an Exception

[0336] Certain embodiments of a CSA (e.g., a spatial fabric) include large amounts of instruction and configuration state, e.g., which is largely static during the operation of the CSA. Thus, the configuration state may be vulnerable to soft errors. Rapid and error-free recovery of these soft errors may be critical to the long-term reliability and performance of spatial systems.

[0337] Certain embodiments herein provide for a rapid configuration recovery loop, e.g., in which configuration errors are detected and portions of the fabric immediately

reconfigured. Certain embodiments herein include a configuration controller, e.g., with reliability, availability, and serviceability (RAS) reprogramming features. Certain embodiments of CSA include circuitry for high-speed configuration, error reporting, and parity checking within the spatial fabric. Using a combination of these three features, and optionally, a configuration cache, a configuration/exception handling circuit may recover from soft errors in configuration. When detected, soft errors may be conveyed to a configuration cache which initiates an immediate reconfiguration of (e.g., that portion of) the fabric. Certain embodiments provide for a dedicated reconfiguration circuit, e.g., which is faster than any solution that would be indirectly implemented in the fabric. In certain embodiments, co-located exception and configuration circuit cooperates to reload the fabric on configuration error detection.

[0338] Figure 50 illustrates an accelerator tile 5000 comprising an array of processing elements and a configuration and exception handling controller (5002, 5006) with a reconfiguration circuit (5018, 5022) according to embodiments of the disclosure. In one embodiment, when a PE detects a configuration error through its local RAS features, it sends a (e.g., configuration error or reconfiguration error) message by its exception generator to the configuration and exception handling controller (e.g., 5002 or 5006). On receipt of this message, the configuration and exception handling controller (e.g., 5002 or 5006) initiates the co-located reconfiguration circuit (e.g., 5018 or 5022, respectively) to reload configuration state. The configuration microarchitecture proceeds and reloads (e.g., only) configurations state, and in certain embodiments, only the configuration state for the PE reporting the RAS error. Upon completion of reconfiguration, the fabric may resume normal operation. To decrease latency, the configuration state used by the configuration and exception handling controller (e.g., 5002 or 5006) may be sourced from a configuration cache. As a base case to the configuration or reconfiguration process, a configuration terminator (e.g., configuration terminator 5004 for configuration and exception handling controller 5002 or configuration terminator 5008 for configuration and exception handling controller 5006) in Figure 50) which asserts that it is configured (or reconfigures) may be included at the end of a chain. [0339] Figure 51 illustrates a reconfiguration circuit 5118 according to embodiments of the disclosure. Reconfiguration circuit 5118 includes a configuration state register 5120 to store the configuration state (or a pointer thereto).

7.4 Hardware for Fabric-Initiated Reconfiguration of a CSA

[0340] Some portions of an application targeting a CSA (e.g., spatial array) may be run infrequently or may be mutually exclusive with other parts of the program. To save area, to improve performance, and/or reduce power, it may be useful to time multiplex portions of the spatial fabric among several different parts of the program dataflow graph. Certain embodiments herein include an interface by which a CSA (e.g., via the spatial program) may request that part of the fabric be reprogrammed. This may enable the CSA to dynamically change itself according to dynamic control flow. Certain embodiments herein allow for fabric initiated reconfiguration (e.g., reprogramming). Certain embodiments herein provide for a set of interfaces for triggering configuration from within the fabric. In some embodiments, a PE issues a reconfiguration request based on some decision in the program dataflow graph. This request may travel a network to our new configuration interface, where it triggers reconfiguration. Once reconfiguration is completed, a message may optionally be returned notifying of the completion. Certain embodiments of a CSA thus provide for a program (e.g., dataflow graph) directed reconfiguration capability.

[0341] Figure 52 illustrates an accelerator tile 5200 comprising an array of processing elements and a configuration and exception handling controller 5206 with a reconfiguration circuit 5218 according to embodiments of the disclosure. Here, a portion of the fabric issues a request for (re)configuration to a configuration domain, e.g., of configuration and exception handling controller 5206 and/or reconfiguration circuit 5218. The domain (re)configures itself, and when the request has been satisfied, the configuration and exception handling controller 5206 and/or reconfiguration circuit 5218 issues a response to the fabric, to notify the fabric that (re)configuration is complete. In one embodiment, configuration and exception handling controller 5206 and/or reconfiguration circuit 5218 disables

communication during the time that (re)configuration is ongoing, so the program has no consistency issues during operation.

Configuration Modes

[0342] Configure-by- address - In this mode, the fabric makes a direct request to load configuration data from a particular address. [0343] Configure-by-reference - In this mode the fabric makes a request to load a new configuration, e.g., by a pre-determined reference ID. This may simplify the determination of the code to load, since the location of the code has been abstracted.

Configuring Multiple Domains

[0344] A CSA may include a higher level configuration controller to support a multicast mechanism to cast (e.g., via network indicated by the dotted box) configuration requests to multiple (e.g., distributed or local) configuration controllers. This may enable a single configuration request to be replicated across larger portions of the fabric, e.g., triggering a broad reconfiguration.

6.5 Exception Aggregators

[0345] Certain embodiments of a CSA may also experience an exception (e.g., exceptional condition), for example, floating point underflow. When these conditions occur, a special handlers may be invoked to either correct the program or to terminate it. Certain embodiments herein provide for a system- level architecture for handling exceptions in spatial fabrics. Since certain spatial fabrics emphasize area efficiency, embodiments herein minimize total area while providing a general exception mechanism. Certain embodiments herein provides a low area means of signaling exceptional conditions occurring in within a CSA (e.g., a spatial array). Certain embodiments herein provide an interface and signaling protocol for conveying such exceptions, as well as a PE-level exception semantics. Certain embodiments herein are dedicated exception handling capabilities, e.g., and do not require explicit handling by the programmer.

[0346] One embodiments of a CSA exception architecture consists of four portions, e.g., shown in Figures 53-54. These portions may be arranged in a hierarchy, in which exceptions flow from the producer, and eventually up to the tile-level exception aggregator (e.g., handler), which may rendezvous with an exception servicer, e.g., of a core. The four portions may be:

1. PE Exception Generator

2. Local Exception Network

3. Mezzanine Exception Aggregator

4. Tile-Level Exception Aggregator

[0347] Figure 53 illustrates an accelerator tile 5300 comprising an array of processing elements and a mezzanine exception aggregator 5302 coupled to a tile-level exception aggregator 5304 according to embodiments of the disclosure. Figure 54 illustrates a processing element 5400 with an exception generator 5444 according to embodiments of the disclosure.

PE Exception Generator

[0348] Processing element 5400 may include processing element 900 from Figure 9, for example, with similar numbers being similar components, e.g., local network 902_and local network 5402. Additional network 5413 (e.g., channel) may be an exception network. A PE may implement an interface to an exception network (e.g., exception network 5413 (e.g., channel) on Figure 54). For example, Figure 54 shows the microarchitecture of such an interface, wherein the PE has an exception generator 5444 (e.g., initiate an exception finite state machine (FSM) 5440 to strobe an exception packet (e.g., BOXID 5442) out on to the exception network. BOXID 5442 may be a unique identifier for an exception producing entity (e.g., a PE or box) within a local exception network. When an exception is detected, exception generator 5444 senses the exception network and strobes out the BOXID when the network is found to be free. Exceptions may be caused by many conditions, for example, but not limited to, arithmetic error, failed ECC check on state, etc. however, it may also be that an exception dataflow operation is introduced, with the idea of support constructs like breakpoints.

[0349] The initiation of the exception may either occur explicitly, by the execution of a programmer supplied instruction, or implicitly when a hardened error condition (e.g., a floating point underflow) is detected. Upon an exception, the PE 5400 may enter a waiting state, in which it waits to be serviced by the eventual exception handler, e.g., external to the PE 5400. The contents of the exception packet depend on the implementation of the particular PE, as described below.

Local Exception Network

[0350] A (e.g., local) exception network steers exception packets from PE 5400 to the mezzanine exception network. Exception network (e.g., 5413) may be a serial, packet switched network consisting of a (e.g., single) control wire and one or more data wires, e.g., organized in a ring or tree topology, e.g., for a proper subset of PEs. Each PE may have a (e.g., ring) stop in the (e.g., local) exception network, e.g., where it can arbitrate to inject messages into the exception network.

[0351] PE endpoints needing to inject an exception packet may observe their local exception network egress point. If the control signal indicates busy, the PE is to wait to commence inject its packet. If the network is not busy, that is, the downstream stop has no packet to forward, then the PE will proceed commence injection.

[0352] Network packets may be of variable or fixed length. Each packet may begin with a fixed length header field identifying the source PE of the packet. This may be followed by a variable number of PE-specific field containing information, for example, including error codes, data values, or other useful status information.

Mezzanine Exception Aggregator

[0353] The mezzanine exception aggregator 5304 is responsible for assembling local exception network into larger packets and sending them to the tile-level exception aggregator 5302. The mezzanine exception aggregator 5304 may pre-pend the local exception packet with its own unique ID, e.g., ensuring that exception messages are unambiguous. The mezzanine exception aggregator 5304 may interface to a special exception-only virtual channel in the mezzanine network, e.g., ensuring the deadlock-freedom of exceptions.

[0354] The mezzanine exception aggregator 5304 may also be able to directly service certain classes of exception. For example, a configuration request from the fabric may be served out of the mezzanine network using caches local to the mezzanine network stop. Tile-Level Exception Aggregator

[0355] The final stage of the exception system is the tile-level exception aggregator 5302. The tile-level exception aggregator 5302 is responsible for collecting exceptions from the various mezzanine-level exception aggregators (e.g., 5304) and forwarding them to the appropriate servicing hardware (e.g., core). As such, the tile-level exception aggregator 5302 may include some internal tables and controller to associate particular messages with handler routines. These tables may be indexed either directly or with a small state machine in order to steer particular exceptions.

[0356] Like the mezzanine exception aggregator, the tile-level exception aggregator may service some exception requests. For example, it may initiate the reprogramming of a large portion of the PE fabric in response to a specific exception.

6.6 Extraction Controllers

[0357] Certain embodiments of a CSA include an extraction controller(s) to extract data from the fabric. The below discusses embodiments of how to achieve this extraction quickly and how to minimize the resource overhead of data extraction. Data extraction may be utilized for such critical tasks as exception handling and context switching. Certain embodiments herein extract data from a heterogeneous spatial fabric by introducing features that allow extractable fabric elements (EFEs) (for example, PEs, network controllers, and/or switches) with variable and dynamically variable amounts of state to be extracted.

[0358] Embodiments of a CSA include a distributed data extraction protocol and microarchitecture to support this protocol. Certain embodiments of a CSA include multiple local extraction controllers (LECs) which stream program data out of their local region of the spatial fabric using a combination of a (e.g., small) set of control signals and the fabric- provided network. State elements may be used at each extractable fabric element (EFE) to form extraction chains, e.g., allowing individual EFEs to self-extract without global addressing.

[0359] Embodiments of a CSA do not use a local network to extract program data.

Embodiments of a CSA include specific hardware support (e.g., an extraction controller) for the formation of extraction chains, for example, and do not rely on software to establish these chains dynamically, e.g., at the cost of increasing extraction time. Embodiments of a CSA are not purely packet switched and do include extra out-of-band control wires (e.g., control is not sent through the data path requiring extra cycles to strobe and reserialize this information). Embodiments of a CSA decrease extraction latency by fixing the extraction ordering and by providing explicit out-of-band control (e.g., by at least a factor of two), while not significantly increasing network complexity.

[0360] Embodiments of a CSA do not use a serial mechanism for data extraction, in which data is streamed bit by bit from the fabric using a JTAG-like protocol. Embodiments of a CSA utilize a coarse-grained fabric approach. In certain embodiments, adding a few control wires or state elements to a 64 or 32-bit-oriented CSA fabric has a lower cost relative to adding those same control mechanisms to a 4 or 6 bit fabric.

[0361] Figure 55 illustrates an accelerator tile 5500 comprising an array of processing elements and a local extraction controller (5502, 5506) according to embodiments of the disclosure. Each PE, each network controller, and each switch may be an extractable fabric elements (EFEs), e.g., which are configured (e.g., programmed) by embodiments of the CSA architecture.

[0362] Embodiments of a CSA include hardware that provides for efficient, distributed, low-latency extraction from a heterogeneous spatial fabric. This may be achieved according to four techniques. First, a hardware entity, the local extraction controller (LEC) is utilized, for example, as in Figures 55-57. A LEC may accept commands from a host (for example, a processor core), e.g., extracting a stream of data from the spatial array, and writing this data back to virtual memory for inspection by the host. Second, a extraction data path may be included, e.g., that is as wide as the native width of the PE fabric and which may be overlaid on top of the PE fabric. Third, new control signals may be received into the PE fabric which orchestrate the extraction process. Fourth, state elements may be located (e.g., in a register) at each configurable endpoint which track the status of adjacent EFEs, allowing each EFE to unambiguously export its state without extra control signals. These four microarchitectural features may allow a CSA to extract data from chains of EFEs. To obtain low data extraction latency, certain embodiments may partition the extraction problem by including multiple (e.g., many) LECs and EFE chains in the fabric. At extraction time, these chains may operate independently to extract data from the fabric in parallel, e.g., dramatically reducing latency. As a result of these combinations, a CSA may perform a complete state dump (e.g., in hundreds of nanoseconds).

[0363] Figures 56A-56C illustrate a local extraction controller 5602 configuring a data path network according to embodiments of the disclosure. Depicted network includes a plurality of multiplexers (e.g., multiplexers 5606, 5608, 5610) that may be configured (e.g., via their respective control signals) to connect one or more data paths (e.g., from PEs) together. Figure 56A illustrates the network 5600 (e.g., fabric) configured (e.g., set) for some previous operation or program. Figure 56B illustrates the local extraction controller 5602 (e.g., including a network interface circuit 5604 to send and/or receive signals) strobing an extraction signal and all PEs controlled by the LEC enter into extraction mode. The last PE in the extraction chain (or an extraction terminator) may master the extraction channels (e.g., bus) and being sending data according to either (1) signals from the LEC or (2) internally produced signals (e.g., from a PE). Once completed, a PE may set its completion flag, e.g., enabling the next PE to extract its data. Figure 56C illustrates the most distant PE has completed the extraction process and as a result it has set its extraction state bit or bits, e.g., which swing the muxes into the adjacent network to enable the next PE to begin the extraction process. The extracted PE may resume normal operation. In some embodiments, the PE may remain disabled until other action is taken. In these figures, the multiplexor networks are analogues of the“Switch” shown in certain Figures (e.g., Figure 6).

[0364] The following sections describe the operation of the various components of embodiments of an extraction network.

Local Extraction Controller [0365] Figure 57 illustrates an extraction controller 5702 according to embodiments of the disclosure. A local extraction controller (LEC) may be the hardware entity which is responsible for accepting extraction commands, coordinating the extraction process with the EFEs, and/or storing extracted data, e.g., to virtual memory. In this capacity, the LEC may be a special-purpose, sequential microcontroller.

[0366] LEC operation may begin when it receives a pointer to a buffer (e.g., in virtual memory) where fabric state will be written, and, optionally, a command controlling how much of the fabric will be extracted. Depending on the LEC microarchitecture, this pointer (e.g., stored in pointer register 5704) may come either over a network or through a memory system access to the LEC. When it receives such a pointer (e.g., command), the LEC proceeds to extract state from the portion of the fabric for which it is responsible. The LEC may stream this extracted data out of the fabric into the buffer provided by the external caller.

[0367] Two different microarchitectures for the LEC are shown in Figure 55. The first places the LEC 5502 at the memory interface. In this case, the LEC may make direct requests to the memory system to write extracted data. In the second case the LEC 5506 is placed on a memory network, in which it may make requests to the memory only indirectly. In both cases, the logical operation of the LEC may be unchanged. In one embodiment, LECs are informed of the desire to extract data from the fabric, for example, by a set of (e.g., OS- visible) control-status-registers which will be used to inform individual LECs of new commands.

Extra Out-of-band Control Channels (e.g., Wire )

[0368] In certain embodiments, extraction relies on 2-8 extra, out-of-band signals to improve configuration speed, as defined below. Signals driven by the LEC may be labelled LEC. Signals driven by the EFE (e.g., PE) may be labelled EFE. Configuration controller 5702 may include the following control channels, e.g., LEC_EXTRACT control channel 5806, LEC_START control channel 5708, LEC_STROBE control channel 5710, and EFE_COMPLETE control channel 5712, with examples of each discussed in Table 3 below.

TABLE 3: Extraction Channels

[0369] Generally, the handling of extraction may be left to the implementer of a particular EFE. For example, selectable function EFE may have a provision for dumping registers using an existing data path, while a fixed function EFE might simply have a multiplexor.

[0370] Due to long wire delays when programming a large set of EFEs, the

LEC_STROBE signal may be treated as a clock/latch enable for EFE components. Since this signal is used as a clock, in one embodiment the duty cycle of the line is at most 50%. As a result, extraction throughput is approximately halved. Optionally, a second LEC_STROBE signal may be added to enable continuous extraction.

[0371] In one embodiment, only LEC_START is strictly communicated on an independent coupling (e.g., wire), for example, other control channels may be overlayed on existing network (e.g., wires).

Reuse of Network Resources

[0372] To reduce the overhead of data extraction, certain embodiments of a CSA make use of existing network infrastructure to communicate extraction data. A LEC may make use of both a chip-level memory hierarchy and a fabric-level communications networks to move data from the fabric into storage. As a result, in certain embodiments of a CSA, the extraction infrastructure adds no more than 2% to the overall fabric area and power.

[0373] Reuse of network resources in certain embodiments of a CSA may cause a network to have some hardware support for an extraction protocol. Circuit switched networks require of certain embodiments of a CSA cause a LEC to set their multiplexors in a specific way for configuration when the‘LEC_START’ signal is asserted. Packet switched networks may not require extension, although LEC endpoints (e.g., extraction terminators) use a specific address in the packet switched network. Network reuse is optional, and some embodiments may find dedicated configuration buses to be more convenient.

Per EFE State

[0374] Each EFE may maintain a bit denoting whether or not it has exported its state.

This bit may de-asserted when the extraction start signal is driven, and then asserted once the particular EFE finished extraction. In one extraction protocol, EFEs are arranged to form chains with the EFE extraction state bit determining the topology of the chain. A EFE may read the extraction state bit of the immediately adjacent EFE. If this adjacent EFE has its extraction bit set and the current EFE does not, the EFE may determine that it owns the extraction bus. When an EFE dumps its last data value, it may drives the‘EFE_DONE’ signal and sets its extraction bit, e.g., enabling upstream EFEs to configure for extraction.

The network adjacent to the EFE may observe this signal and also adjust its state to handle the transition. As a base case to the extraction process, an extraction terminator (e.g., extraction terminator 5504 for LEC 5502 or extraction terminator 5508 for LEC 5506 in Figure 46) which asserts that extraction is complete may be included at the end of a chain.

[0375] Internal to the EFE, this bit may be used to drive flow control ready signals. For example, when the extraction bit is de-asserted, network control signals may automatically be clamped to a values that prevent data from flowing, while, within PEs, no operations or actions will be scheduled.

Dealing with High-delay Paths

[0376] One embodiment of a LEC may drive a signal over a long distance, e.g., through many multiplexors and with many loads. Thus, it may be difficult for a signal to arrive at a distant EFE within a short clock cycle. In certain embodiments, extraction signals are at some division (e.g., fraction of) of the main (e.g., CSA) clock frequency to ensure digital timing discipline at extraction. Clock division may be utilized in an out-of-band signaling protocol, and does not require any modification of the main clock tree.

Ensuring Consistent Fabric Behavior During Extraction

[0377] Since certain extraction scheme are distributed and have non-deterministic timing due to program and memory effects, different members of the fabric may be under extraction at different times. While LEC_EXTRACT is driven, all network flow control signals may be driven logically low, e.g., thus freezing the operation of a particular segment of the fabric. [0378] An extraction process may be non-destructive. Therefore a set of PEs may be considered operational once extraction has completed. An extension to an extraction protocol may allow PEs to optionally be disabled post extraction. Alternatively, beginning configuration during the extraction process will have similar effect in embodiments.

Single PE Extraction

[0379] In some cases, it may be expedient to extract a single PE. In this case, an optional address signal may be driven as part of the commencement of the extraction process. This may enable the PE targeted for extraction to be directly enabled. Once this PE has been extracted, the extraction process may cease with the lowering of the LEC_EXTRACT signal. In this way, a single PE may be selectively extracted, e.g., by the local extraction controller. Handling Extraction Backpressure

[0380] In an embodiment where the LEC writes extracted data to memory (for example, for post-processing, e.g., in software), it may be subject to limitted memory bandwidth. In the case that the LEC exhausts its buffering capacity, or expects that it will exhaust its buffering capacity, it may stops strobing the LEC_STROBE signal until the buffering issue has resolved.

[0381] Note that in certain figures (e.g., Figures 46, 49, 50, 52, 53, and 55)

communications are shown schematically. In certain embodiments, those communications may occur over the (e.g., interconnect) network.

6.7 Flow Diagrams

[0382] Figure 58 illustrates a flow diagram 5800 according to embodiments of the disclosure. Depicted flow 5800 includes decoding an instruction with a decoder of a core of a processor into a decoded instruction 5802; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation 5804; receiving an input of a dataflow graph comprising a plurality of nodes 5806; overlaying the dataflow graph into an array of processing elements of the processor with each node represented as a dataflow operator in the array of processing elements 5808; and performing a second operation of the dataflow graph with the array of processing elements when an incoming operand set arrives at the array of processing elements 5810.

[0383] Figure 59 illustrates a flow diagram 5900 according to embodiments of the disclosure. Depicted flow 5900 includes decoding an instruction with a decoder of a core of a processor into a decoded instruction 5902; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation 5904; receiving an input of a dataflow graph comprising a plurality of nodes 5906; overlaying the dataflow graph into a plurality of processing elements of the processor and an interconnect network between the plurality of processing elements of the processor with each node represented as a dataflow operator in the plurality of processing elements 5908; and performing a second operation of the dataflow graph with the interconnect network and the plurality of processing elements when an incoming operand set arrives at the plurality of processing elements 5910. 6.8 Memory

[0384] Figure 60A is a block diagram of a system 6000 that employs a memory ordering circuit 6005 interposed between a memory subsystem 6010 and acceleration hardware 6002, according to an embodiment of the present disclosure. The memory subsystem 6010 may include known memory components, including cache, memory, and one or more memory controller(s) associated with a processor-based architecture. The acceleration hardware 6002 may be coarse-grained spatial architecture made up of lightweight processing elements (or other types of processing components) connected by an inter-processing element (PE) network or another type of inter-component network.

[0385] In one embodiment, programs, viewed as control data flow graphs, are mapped onto the spatial architecture by configuring PEs and a communications network. Generally, PEs are configured as dataflow operators, similar to functional units in a processor: once the input operands arrive at the PE, some operation occurs, and results are forwarded to downstream PEs in a pipelined fashion. Dataflow operators (or other types of operators) may choose to consume incoming data on a per-operator basis. Simple operators, like those handling the unconditional evaluation of arithmetic expressions often consume all incoming data. It is sometimes useful, however, for operators to maintain state, for example, in accumulation.

[0386] The PEs communicate using dedicated virtual circuits, which are formed by statically configuring a circuit- switched communications network. These virtual circuits are flow controlled and fully back pressured, such that PEs will stall if either the source has no data or the destination is full. At runtime, data flows through the PEs implementing a mapped algorithm according to a dataflow graph, also referred to as a subprogram herein. For example, data may be streamed in from memory, through the acceleration hardware 6002, and then back out to memory. Such an architecture can achieve remarkable performance efficiency relative to traditional multicore processors: compute, in the form of PEs, is simpler and more numerous than larger cores and communication is direct, as opposed to an extension of the memory subsystem 6010. Memory system parallelism, however, helps to support parallel PE computation. If memory accesses are serialized, high parallelism is likely unachievable. To facilitate parallelism of memory accesses, the disclosed memory ordering circuit 6005 includes memory ordering architecture and microarchitecture, as will be explained in detail. In one embodiment, the memory ordering circuit 6005 is a request address file circuit (or“RAF”) or other memory request circuitry.

[0387] Figure 60B is a block diagram of the system 6000 of Figure 60A but which employs multiple memory ordering circuits 6005, according to an embodiment of the present disclosure. Each memory ordering circuit 6005 may function as an interface between the memory subsystem 6010 and a portion of the acceleration hardware 6002 (e.g., spatial array of processing elements or tile). The memory subsystem 6010 may include a plurality of cache slices 12 (e.g., cache slices 12A, 12B, 12C, and 12D in the embodiment of Figure 60B), and a certain number of memory ordering circuits 6005 (four in this embodiment) may be used for each cache slice 12. A crossbar 6004 (e.g., RAF circuit) may connect the memory ordering circuits 6005 to banks of cache that make up each cache slice 12A, 12B, 12C, and 12D. For example, there may be eight banks of memory in each cache slice in one embodiment. The system 6000 may be instantiated on a single die, for example, as a system on a chip (SoC). In one embodiment, the SoC includes the acceleration hardware 6002. In an alternative embodiment, the acceleration hardware 6002 is an external programmable chip such as an FPGA or CGRA, and the memory ordering circuits 6005 interface with the acceleration hardware 6002 through an input/output hub or the like.

[0388] Each memory ordering circuit 6005 may accept read and write requests to the memory subsystem 6010. The requests from the acceleration hardware 6002 arrive at the memory ordering circuit 6005 in a separate channel for each node of the dataflow graph that initiates read or write accesses, also referred to as load or store accesses herein. Buffering is provided so that the processing of loads will return the requested data to the acceleration hardware 6002 in the order it was requested. In other words, iteration six data is returned before iteration seven data, and so forth. Furthermore, note that the request channel from a memory ordering circuit 6005 to a particular cache bank may be implemented as an ordered channel and any first request that leaves before a second request will arrive at the cache bank before the second request.

[0389] Figure 61 is a block diagram 6100 illustrating general functioning of memory operations into and out of the acceleration hardware 6002, according to an embodiment of the present disclosure. The operations occurring out the top of the acceleration hardware 6002 are understood to be made to and from a memory of the memory subsystem 6010. Note that two load requests are made, followed by corresponding load responses. While the acceleration hardware 6002 performs processing on data from the load responses, a third load request and response occur, which trigger additional acceleration hardware processing. The results of the acceleration hardware processing for these three load operations are then passed into a store operation, and thus a final result is stored back to memory.

[0390] By considering this sequence of operations, it may be evident that spatial arrays more naturally map to channels. Furthermore, the acceleration hardware 6002 is latency- insensitive in terms of the request and response channels, and inherent parallel processing that may occur. The acceleration hardware may also decouple execution of a program from implementation of the memory subsystem 6010 (Figure 60A), as interfacing with the memory occurs at discrete moments separate from multiple processing steps taken by the acceleration hardware 6002. For example, a load request to and a load response from memory are separate actions, and may be scheduled differently in different circumstances depending on dependency flow of memory operations. The use of spatial fabric, for example, for processing instructions facilitates spatial separation and distribution of such a load request and a load response.

[0391] Figure 62 is a block diagram 6200 illustrating a spatial dependency flow for a store operation 6201, according to an embodiment of the present disclosure. Reference to a store operation is exemplary, as the same flow may apply to a load operation (but without incoming data), or to other operators such as a fence. A fence is an ordering operation for memory subsystems that ensures that all prior memory operations of a type (such as all stores or all loads) have completed. The store operation 6201 may receive an address 6202 (of memory) and data 6204 received from the acceleration hardware 6002. The store operation 6201 may also receive an incoming dependency token 6208, and in response to the availability of these three items, the store operation 620lmay generate an outgoing dependency token 6212. The incoming dependency token, which may, for example, be an initial dependency token of a program, may be provided in a compiler-supplied configuration for the program, or may be provided by execution of memory-mapped input/output (I/O). Alternatively, if the program has already been running, the incoming dependency token 6208 may be received from the acceleration hardware 6002, e.g., in association with a preceding memory operation from which the store operation 6201 depends. The outgoing dependency token 6212 may be generated based on the address 6202 and data 6204 being required by a program-subsequent memory operation.

[0392] Figure 63 is a detailed block diagram of the memory ordering circuit 6005 of Figure 60A, according to an embodiment of the present disclosure. The memory ordering circuit 6005 may be coupled to an out-of-order memory subsystem 6010, which as discussed, may include cache 12 and memory 18, and associated out-of-order memory controller(s). The memory ordering circuit 6005 may include, or be coupled to, a communications network interface 20 that may be either an inter-tile or an intra-tile network interface, and may be a circuit switched network interface (as illustrated), and thus include circuit-switched interconnects. Alternatively, or additionally, the communications network interface 20 may include packet-switched interconnects.

[0393] The memory ordering circuit 6005 may further include, but not be limited to, a memory interface 6310, an operations queue 6312, input queue(s) 6316, a completion queue 6320, an operation configuration data structure 6324, and an operations manager circuit 6330 that may further include a scheduler circuit 6332 and an execution circuit 6334. In one embodiment, the memory interface 6310 may be circuit-switched, and in another

embodiment, the memory interface 6310 may be packet-switched, or both may exist simultaneously. The operations queue 6312 may buffer memory operations (with

corresponding arguments) that are being processed for request, and may, therefore, correspond to addresses and data coming into the input queues 6316.

[0394] More specifically, the input queues 6316 may be an aggregation of at least the following: a load address queue, a store address queue, a store data queue, and a dependency queue. When implementing the input queue 6316 as aggregated, the memory ordering circuit 6005 may provide for sharing of logical queues, with additional control logic to logically separate the queues, which are individual channels with the memory ordering circuit. This may maximize input queue usage, but may also require additional complexity and space for the logic circuitry to manage the logical separation of the aggregated queue. Alternatively, as will be discussed with reference to Figure 64, the input queues 6316 may be implemented in a segregated fashion, with a separate hardware queue for each. Whether aggregated (Figure 63) or disaggregated (Figure 64), implementation for purposes of this disclosure is substantially the same, with the former using additional logic to logically separate the queues within a single, shared hardware queue. [0395] When shared, the input queues 6316 and the completion queue 6320 may be implemented as ring buffers of a fixed size. A ring buffer is an efficient implementation of a circular queue that has a first-in-first-out (FIFO) data characteristic. These queues may, therefore, enforce a semantical order of a program for which the memory operations are being requested. In one embodiment, a ring buffer (such as for the store address queue) may have entries corresponding to entries flowing through an associated queue (such as the store data queue or the dependency queue) at the same rate. In this way, a store address may remain associated with corresponding store data.

[0396] More specifically, the load address queue may buffer an incoming address of the memory 18 from which to retrieve data. The store address queue may buffer an incoming address of the memory 18 to which to write data, which is buffered in the store data queue. The dependency queue may buffer dependency tokens in association with the addresses of the load address queue and the store address queue. Each queue, representing a separate channel, may be implemented with a fixed or dynamic number of entries. When fixed, the more entries that are available, the more efficient complicated loop processing may be made. But, having too many entries costs more area and energy to implement. In some cases, e.g., with the aggregated architecture, the disclosed input queue 6316 may share queue slots. Use of the slots in a queue may be statically allocated.

[0397] The completion queue 6320 may be a separate set of queues to buffer data received from memory in response to memory commands issued by load operations. The completion queue 6320 may be used to hold a load operation that has been scheduled but for which data has not yet been received (and thus has not yet completed). The completion queue 6320, may therefore, be used to reorder data and operation flow.

[0398] The operations manager circuit 6330, which will be explained in more detail with reference to Figures 64 through 28, may provide logic for scheduling and executing queued memory operations when taking into account dependency tokens used to provide correct ordering of the memory operations. The operation manager 6330 may access the operation configuration data structure 6324 to determine which queues are grouped together to form a given memory operation. For example, the operation configuration data structure 6324 may include that a specific dependency counter (or queue), input queue, output queue, and completion queue are all grouped together for a particular memory operation. As each successive memory operation may be assigned a different group of queues, access to varying queues may be interleaved across a sub-program of memory operations. Knowing all of these queues, the operations manager circuit 6330 may interface with the operations queue 6312, the input queue(s) 6316, the completion queue(s) 6320, and the memory subsystem 6010 to initially issue memory operations to the memory subsystem 6010 when successive memory operations become“executable,” and to next complete the memory operation with some acknowledgement from the memory subsystem. This acknowledgement may be, for example, data in response to a load operation command or an acknowledgement of data being stored in the memory in response to a store operation command.

[0399] Figure 64 is a flow diagram of a microarchitecture 6400 of the memory ordering circuit 6005 of Figure 60A, according to an embodiment of the present disclosure. The memory subsystem 6010 may allow illegal execution of a program in which ordering of memory operations is wrong, due to the semantics of C language (and other object-oriented program languages). The microarchitecture 6400 may enforce the ordering of the memory operations (sequences of loads from and stores to memory) so that results of instructions that the acceleration hardware 6002 executes are properly ordered. A number of local networks 50 are illustrated to represent a portion of the acceleration hardware 6002 coupled to the microarchitecture 6400.

[0400] From an architectural perspective, there are at least two goals: first, to run general sequential codes correctly, and second, to obtain high performance in the memory operations performed by the microarchitecture 6400. To ensure program correctness, the compiler expresses the dependency between the store operation and the load operation to an array, p, in some fashion, which are expressed via dependency tokens as will be explained. To improve performance, the microarchitecture 6400 finds and issues as many load commands of an array in parallel as is legal with respect to program order.

[0401] In one embodiment, the microarchitecture 6400 may include the operations queue 6312, the input queues 6316, the completion queues 6320, and the operations manager circuit 6330 discussed with reference to Figure 63, above, where individual queues may be referred to as channels. The microarchitecture 6400 may further include a plurality of dependency token counters 6414 (e.g., one per input queue), a set of dependency queues 6418 (e.g., one each per input queue), an address multiplexer 6432, a store data multiplexer 6434, a completion queue index multiplexer 6436, and a load data multiplexer 6438. The operations manager circuit 6330, in one embodiment, may direct these various multiplexers in generating a memory command 6450 (to be sent to the memory subsystem 6010) and in receipt of responses of load commands back from the memory subsystem 6010, as will be explained.

[0402] The input queues 6316, as mentioned, may include a load address queue 6422, a store address queue 6424, and a store data queue 6426. (The small numbers 0, 1, 2 are channel labels and will be referred to later in Figure 67 and Figure 70A.) In various embodiments, these input queues may be multiplied to contain additional channels, to handle additional parallelization of memory operation processing. Each dependency queue 6418 may be associated with one of the input queues 6316. More specifically, the dependency queue 6418 labeled B0 may be associated with the load address queue 6422 and the dependency queue labeled B 1 may be associated with the store address queue 6424. If additional channels of the input queues 6316 are provided, the dependency queues 6418 may include additional, corresponding channels.

[0403] In one embodiment, the completion queues 6320 may include a set of output buffers 6444 and 6446 for receipt of load data from the memory subsystem 6010 and a completion queue 6442 to buffer addresses and data for load operations according to an index maintained by the operations manager circuit 6330. The operations manager circuit 6330 can manage the index to ensure in-order execution of the load operations, and to identify data received into the output buffers 6444 and 6446 that may be moved to scheduled load operations in the completion queue 6442.

[0404] More specifically, because the memory subsystem 6010 is out of order, but the acceleration hardware 6002 completes operations in order, the microarchitecture 6400 may re-order memory operations with use of the completion queue 6442. Three different sub operations may be performed in relation to the completion queue 6442, namely to allocate, enqueue, and dequeue. For allocation, the operations manager circuit 6330 may allocate an index into the completion queue 6442 in an in-order next slot of the completion queue. The operations manager circuit may provide this index to the memory subsystem 6010, which may then know the slot to which to write data for a load operation. To enqueue, the memory subsystem 6010 may write data as an entry to the indexed, in-order next slot in the completion queue 6442 like random access memory (RAM), setting a status bit of the entry to valid. To dequeue, the operations manager circuit 6330 may present the data stored in this in- order next slot to complete the load operation, setting the status bit of the entry to invalid. Invalid entries may then be available for a new allocation. [0405] In one embodiment, the status signals 6348 may refer to statuses of the input queues 6316, the completion queues 6320, the dependency queues 6418, and the dependency token counters 6414. These statuses, for example, may include an input status, an output status, and a control status, which may refer to the presence or absence of a dependency token in association with an input or an output. The input status may include the presence or absence of addresses and the output status may include the presence or absence of store values and available completion buffer slots. The dependency token counters 6414 may be a compact representation of a queue and track a number of dependency tokens used for any given input queue. If the dependency token counters 6414 saturate, no additional dependency tokens may be generated for new memory operations. Accordingly, the memory ordering circuit 6005 may stall scheduling new memory operations until the dependency token counters 6414 becomes unsaturated.

[0406] With additional reference to Figure 65, Figure 65 is a block diagram of an executable determiner circuit 6500, according to an embodiment of the present disclosure.

The memory ordering circuit 6005 may be set up with several different kinds of memory operations, for example a load and a store:

ldNo[d,x] result.outN, addr.in64, order.inO. order. outO

stNo[d,x] addr.in64, data.inN, order.inO. order. outO

[0407] The executable determiner circuit 6500 may be integrated as a part of the scheduler circuit 6332 and which may perform a logical operation to determine whether a given memory operation is executable, and thus ready to be issued to memory. A memory operation may be executed when the queues corresponding to its memory arguments have data and an associated dependency token is present. These memory arguments may include, for example, an input queue identifier 6510 (indicative of a channel of the input queue 6316), an output queue identifier 6520 (indicative of a channel of the completion queues 6320), a dependency queue identifier 6530 (e.g., what dependency queue or counter should be referenced), and an operation type indicator 6540 (e.g., load operation or store operation). A field (e.g., of a memory request) may be included, e.g., in the above format, that stores a bit or bits to indicate to use the hazard checking hardware.

[0408] These memory arguments may be queued within the operations queue 6312, and used to schedule issuance of memory operations in association with incoming addresses and data from memory and the acceleration hardware 6002. (See Figure 66.) Incoming status signals 6348 may be logically combined with these identifiers and then the results may be added (e.g., through an AND gate 6550) to output an executable signal, e.g., which is asserted when the memory operation is executable. The incoming status signals 6348 may include an input status 6512 for the input queue identifier 6510, an output status 6522 for the output queue identifier 6520, and a control status 6532 (related to dependency tokens) for the dependency queue identifier 6530.

[0409] For a load operation, and by way of example, the memory ordering circuit 6005 may issue a load command when the load operation has an address (input status) and room to buffer the load result in the completion queue 6442 (output status). Similarly, the memory ordering circuit 6005 may issue a store command for a store operation when the store operation has both an address and data value (input status). Accordingly, the status signals 6348 may communicate a level of emptiness (or fullness) of the queues to which the status signals pertain. The operation type may then dictate whether the logic results in an executable signal depending on what address and data should be available.

[0410] To implement dependency ordering, the scheduler circuit 6332 may extend memory operations to include dependency tokens as underlined above in the example load and store operations. The control status 6532 may indicate whether a dependency token is available within the dependency queue identified by the dependency queue identifier 6530, which could be one of the dependency queues 6418 (for an incoming memory operation) or a dependency token counter 6414 (for a completed memory operation). Under this formulation, a dependent memory operation requires an additional ordering token to execute and generates an additional ordering token upon completion of the memory operation, where completion means that data from the result of the memory operation has become available to program- subsequent memory operations.

[0411] In one embodiment, with further reference to Figure 64, the operations manager circuit 6330 may direct the address multiplexer 6432 to select an address argument that is buffered within either the load address queue 6422 or the store address queue 6424, depending on whether a load operation or a store operation is currently being scheduled for execution. If it is a store operation, the operations manager circuit 6330 may also direct the store data multiplexer 6434 to select corresponding data from the store data queue 6426. The operations manager circuit 6330 may also direct the completion queue index multiplexer 6436 to retrieve a load operation entry, indexed according to queue status and/or program order, within the completion queues 6320, to complete a load operation. The operations manager circuit 6330 may also direct the load data multiplexer 6438 to select data received from the memory subsystem 6010 into the completion queues 6320 for a load operation that is awaiting completion. In this way, the operations manager circuit 6330 may direct selection of inputs that go into forming the memory command 6450, e.g., a load command or a store command, or that the execution circuit 6334 is waiting for to complete a memory operation.

[0412] Figure 66 is a block diagram the execution circuit 6334 that may include a priority encoder 6606 and selection circuitry 6608 and which generates output control line(s) 6610, according to one embodiment of the present disclosure. In one embodiment, the execution circuit 6334 may access queued memory operations (in the operations queue 6312) that have been determined to be executable (Figure 65). The execution circuit 6334 may also receive the schedules 6604 A, 6604B, 6604C for multiple of the queued memory operations that have been queued and also indicated as ready to issue to memory. The priority encoder 6606 may thus receive an identity of the executable memory operations that have been scheduled and execute certain rules (or follow particular logic) to select the memory operation from those coming in that has priority to be executed first. The priority encoder 6606 may output a selector signal 6607 that identifies the scheduled memory operation that has a highest priority, and has thus been selected.

[0413] The priority encoder 6606, for example, may be a circuit (such as a state machine or a simpler converter) that compresses multiple binary inputs into a smaller number of outputs, including possibly just one output. The output of a priority encoder is the binary representation of the original number starting from zero of the most significant input bit. So, in one example, when memory operation 0 (“zero”), memory operation one (“1”), and memory operation two (“2”) are executable and scheduled, corresponding to 6604A, 6604B, and 6604C, respectively. The priority encoder 6606 may be configured to output the selector signal 6607 to the selection circuitry 6608 indicating the memory operation zero as the memory operation that has highest priority. The selection circuitry 6608 may be a multiplexer in one embodiment, and be configured to output its selection (e.g., of memory operation zero) onto the control lines 6610, as a control signal, in response to the selector signal from the priority encoder 6606 (and indicative of selection of memory operation of highest priority). This control signal may go to the multiplexers 6432, 6434, 6436, and/or 6438, as discussed with reference to Figure 64, to populate the memory command 6450 that is next to issue (be sent) to the memory subsystem 6010. The transmittal of the memory command may be understood to be issuance of a memory operation to the memory subsystem 6010. [0414] Figure 67 is a block diagram of an exemplary load operation 6700, both logical and in binary form, according to an embodiment of the present disclosure. Referring back to Figure 65, the logical representation of the load operation 6700 may include channel zero (“0”) (corresponding to the load address queue 6422) as the input queue identifier 6510 and completion channel one (“1”) (corresponding to the output buffer 6444) as the output queue identifier 6520. The dependency queue identifier 6530 may include two identifiers, channel B0 (corresponding to the first of the dependency queues 6418) for incoming dependency tokens and counter CO for outgoing dependency tokens. The operation type 6540 has an indication of“Load,” which could be a numerical indicator as well, to indicate the memory operation is a load operation. Below the logical representation of the logical memory operation is a binary representation for exemplary purposes, e.g., where a load is indicated by “00.” The load operation of Figure 67 may be extended to include other configurations such as a store operation (Figure 69A) or other type of memory operations, such as a fence.

[0415] An example of memory ordering by the memory ordering circuit 6005 will be illustrated with a simplified example for purposes of explanation with relation to Figures 68A-68B, 69A-69B, and 70A-70G. For this example, the following code includes an array, p, which is accessed by indices i and i+2:

for(i) {

temp = p[i];

p[i+2] = temp;

}

[0416] Assume, for this example, that array p contains 0,1, 2, 3, 4, 5, 6, and at the end of loop execution, array p will contain 0,1,0, 1,0, 1,0. This code may be transformed by unrolling the loop, as illustrated in Figures 68A and 68B. True address dependencies are annotated by arrows in Figure 68A, which in each case, a load operation is dependent on a store operation to the same address. For example, for the first of such dependencies, a store (e.g., a write) to p[2] needs to occur before a load (e.g., a read) from p[2], and second of such dependencies, a store to p[3] needs to occur before a load from p[3], and so forth. As a compiler is to be pessimistic, the compiler annotates dependencies between two memory operations, load p[i] and store p[i+2]. Note that only sometimes do reads and writes conflict. The micro architecture 6400 is designed to extract memory-level parallelism where memory operations may move forward at the same time when there are no conflicts to the same address. This is especially the case for load operations, which expose latency in code execution due to waiting for preceding dependent store operations to complete. In the example code in Figure 68B, safe reorderings are noted by the arrows on the left of the unfolded code.

[0417] The way the microarchitecture may perform this reordering is discussed with reference to Figures 69A-69B and 70A-70G. Note that this approach is not as optimal as possible because the microarchitecture 6400 may not send a memory command to memory every cycle. However, with minimal hardware, the microarchitecture supports dependency flows by executing memory operations when operands (e.g., address and data, for a store, or address for a load) and dependency tokens are available.

[0418] Figure 69A is a block diagram of exemplary memory arguments for a load operation 6902 and for a store operation 6904, according to an embodiment of the present disclosure. These, or similar, memory arguments were discussed with relation to Figure 67 and will not be repeated here. Note, however, that the store operation 6904 has no indicator for the output queue identifier because no data is being output to the acceleration hardware 6002. Instead, the store address in channel 1 and the data in channel 2 of the input queues 6316, as identified in the input queue identifier memory argument, are to be scheduled for transmission to the memory subsystem 6010 in a memory command to complete the store operation 6904. Furthermore, the input channels and output channels of the dependency queues are both implemented with counters. Because the load operations and the store operations as displayed in Figures 68A and 68B are interdependent, the counters may be cycled between the load operations and the store operations within the flow of the code.

[0419] Figure 69B is a block diagram illustrating flow of the load operations and store operations, such as the load operation 6902 and the store 6904 operation of Figure 68A, through the microarchitecture 6400 of the memory ordering circuit of Figure 64, according to an embodiment of the present disclosure. For simplicity of explanation, not all of the components are displayed, but reference may be made back to the additional components displayed in Figure 64. Various ovals indicating“Load” for the load operation 6902 and “Store” for the store operation 6904 are overlaid on some of the components of the microarchitecture 6400 as indication of how various channels of the queues are being used as the memory operations are queued and ordered through the microarchitecture 6400.

[0420] Figures 70A, 70B, 70C, 70D, 70E, 70F, 70G, and 70H are block diagrams illustrating functional flow of load operations and store operations for the exemplary program of Figures 68 A and 68B through queues of the microarchitecture of Figure 69B, according to an embodiment of the present disclosure. Each figure may correspond to a next cycle of processing by the microarchitecture 6400. Values that are italicized are incoming values (into the queues) and values that are bolded are outgoing values (out of the queues). All other values with normal fonts are retained values already existing in the queues.

[0421] In Figure 70A, the address p[0] is incoming into the load address queue 6422, and the address p[2] is incoming into the store address queue 6424, starting the control flow process. Note that counter CO, for dependency input for the load address queue, is“1” and counter Cl, for dependency output, is zero. In contrast, the“1” of CO indicates a dependency out value for the store operation. This indicates an incoming dependency for the load operation of p[0] and an outgoing dependency for the store operation of p[2]. These values, however, are not yet active, but will become active, in this way, in Figure 70B.

[0422] In Figure 70B, address p[0] is bolded to indicate it is outgoing in this cycle. A new address p[l] is incoming into the load address queue and a new address p[3] is incoming into the store address queue. A zero (“0”)-valued bit in the completion queue 6442 is also incoming, which indicates any data present for that indexed entry is invalid. As mentioned, the values for the counters CO and Cl are now indicated as incoming, and are thus now active this cycle.

[0423] In Figure 70C, the outgoing address p[0] has now left the load address queue and a new address p[2] is incoming into the load address queue. And, the data (“0”) is incoming into the completion queue for address p[0]. The validity bit is set to“1” to indicate that the data in the completion queue is valid. Furthermore, a new address p[4] is incoming into the store address queue. The value for counter CO is indicated as outgoing and the value for counter Cl is indicated as incoming. The value of“1” for Cl indicates an incoming dependency for store operation to address p[4].

[0424] Note that the address p[2] for the newest load operation is dependent on the value that first needs to be stored by the store operation for address p[2], which is at the top of the store address queue. Later, the indexed entry in the completion queue for the load operation from address p[2] may remain buffered until the data from the store operation to the address p[2] is completed (see Figures 70F-70H).

[0425] In Figure 70D, the data (“0”) is outgoing from the completion queue for address p[0], which is therefore being sent out to the acceleration hardware 6002. Furthermore, a new address p[3] is incoming into the load address queue and a new address p[5] is incoming into the store address queue. The values for the counters CO and Cl remain unchanged. [0426] In Figure 70E, the value (“0”) for the address p[2] is incoming into the store data queue, while a new address p[4] comes into the load address queue and a new address p[6] comes into the store address queue. The counter values for CO and Cl remain unchanged.

[0427] In Figure 70F, the value (“0”) for the address p[2] in the store data queue, and the address p[2] in the store address queue are both outgoing values. Likewise, the value for the counter Cl is indicated as outgoing, while the value (“0”) for counter CO remain unchanged. Furthermore, a new address p[5] is incoming into the load address queue and a new address p[7] is incoming into the store address queue.

[0428] In Figure 70G, the value (“0”) is incoming to indicate the indexed value within the completion queue 6442 is invalid. The address p[l] is bolded to indicate it is outgoing from the load address queue while a new address p[6] is incoming into the load address queue. A new address p[8] is also incoming into the store address queue. The value of counter CO is incoming as a“1,” corresponding to an incoming dependency for the load operation of address p[6] and an outgoing dependency for the store operation of address p[8]. The value of counter Cl is now“0,” and is indicated as outgoing.

[0429] In Figure 70H, a data value of“1” is incoming into the completion queue 6442 while the validity bit is also incoming as a“1,” meaning that the buffered data is valid. This is the data needed to complete the load operation for p[2]. Recall that this data had to first be stored to address p[2], which happened in Figure 70F. The value of“0” for counter CO is outgoing, and a value of“1,” for counter Cl is incoming. Furthermore, a new address p[7] is incoming into the load address queue and a new address p[9] is incoming into the store address queue.

[0430] In the present embodiment, the process of executing the code of Figures 68A and 68B may continue on with bouncing dependency tokens between“0” and“1” for the load operations and the store operations. This is due to the tight dependencies between p[i] and p[i+2]. Other code with less frequent dependencies may generate dependency tokens at a slower rate, and thus reset the counters CO and Cl at a slower rate, causing the generation of tokens of higher values (corresponding to further semantically-separated memory operations).

[0431] Figure 71 is a flow chart of a method 7100 for ordering memory operations between acceleration hardware and an out-of-order memory subsystem, according to an embodiment of the present disclosure. The method 7100 may be performed by a system that may include hardware (e.g., circuitry, dedicated logic, and/or programmable logic), software (e.g., instructions executable on a computer system to perform hardware simulation), or a combination thereof. In an illustrative example, the method 7100 may be performed by the memory ordering circuit 6005 and various subcomponents of the memory ordering circuit 6005.

[0432] More specifically, referring to Figure 71, the method 7100 may start with the memory ordering circuit queuing memory operations in an operations queue of the memory ordering circuit (7110). Memory operation and control arguments may make up the memory operations, as queued, where the memory operation and control arguments are mapped to certain queues within the memory ordering circuit as discussed previously. The memory ordering circuit may work to issue the memory operations to a memory in association with acceleration hardware, to ensure the memory operations complete in program order. The method 7100 may continue with the memory ordering circuit receiving, in set of input queues, from the acceleration hardware, an address of the memory associated with a second memory operation of the memory operations (7120). In one embodiment, a load address queue of the set of input queues is the channel to receive the address. In another embodiment, a store address queue of the set of input queues is the channel to receive the address. The method 7100 may continue with the memory ordering circuit receiving, from the acceleration hardware, a dependency token associated with the address, wherein the dependency token indicates a dependency on data generated by a first memory operation, of the memory operations, which precedes the second memory operation (7130). In one embodiment, a channel of a dependency queue is to receive the dependency token. The first memory operation may be either a load operation or a store operation.

[0433] The method 7100 may continue with the memory ordering circuit scheduling issuance of the second memory operation to the memory in response to receiving the dependency token and the address associated with the dependency token (7140). For example, when the load address queue receives the address for an address argument of a load operation and the dependency queue receives the dependency token for a control argument of the load operation, the memory ordering circuit may schedule issuance of the second memory operation as a load operation. The method 7100 may continue with the memory ordering circuit issuing the second memory operation (e.g., in a command) to the memory in response to completion of the first memory operation (7150). For example, if the first memory operation is a store, completion may be verified by acknowledgement that the data in a store data queue of the set of input queues has been written to the address in the memory. Similarly, if the first memory operation is a load operation, completion may be verified by receipt of data from the memory for the load operation.

7. SUMMARY

[0434] Supercomputing at the ExaFLOP scale may be a challenge in high-performance computing, a challenge which is not likely to be met by conventional von Neumann architectures. To achieve ExaFLOPs, embodiments of a CSA provide a heterogeneous spatial array that targets direct execution of (e.g., compiler-produced) dataflow graphs. In addition to laying out the architectural principles of embodiments of a CSA, the above also describes and evaluates embodiments of a CSA which showed performance and energy of larger than lOx over existing products. Compiler-generated code may have significant performance and energy gains over roadmap architectures. As a heterogeneous, parametric architecture, embodiments of a CSA may be readily adapted to all computing uses. For example, a mobile version of CSA might be tuned to 32-bits, while a machine-learning focused array might feature significant numbers of vectorized 8-bit multiplication units. The main advantages of embodiments of a CSA are high performance and extreme energy efficiency, characteristics relevant to all forms of computing ranging from supercomputing and datacenter to the intemet-of-things .

[0435] In one embodiment, a processor includes a spatial array of processing elements; and a packet switched communications network to route data within the spatial array between processing elements according to a dataflow graph to perform a first dataflow operation of the dataflow graph, wherein the packet switched communications network further comprises a plurality of network dataflow endpoint circuits to perform a second dataflow operation of the dataflow graph. A network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits may include a network ingress buffer to receive input data from the packet switched communications network; and a spatial array egress buffer to output resultant data to the spatial array of processing elements according to the second dataflow operation on the input data. The spatial array egress buffer may output the resultant data based on a scheduler within the network dataflow endpoint circuit monitoring the packet switched communications network. The spatial array egress buffer may output the resultant data based on the scheduler within the network dataflow endpoint circuit monitoring a selected channel of multiple network virtual channels of the packet switched communications network. A network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits may include a spatial array ingress buffer to receive control data from the spatial array that causes a network ingress buffer of the network dataflow endpoint circuit that received input data from the packet switched communications network to output resultant data to the spatial array of processing elements according to the second dataflow operation on the input data and the control data. A network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits may stall an output of resultant data of the second dataflow operation from a spatial array egress buffer of the network dataflow endpoint circuit when a backpressure signal from a downstream processing element of the spatial array of processing elements indicates that storage in the downstream processing element is not available for the output of the network dataflow endpoint circuit. A network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits may send a backpressure signal to stall a source from sending input data on the packet switched communications network into a network ingress buffer of the network dataflow endpoint circuit when the network ingress buffer is not available. The spatial array of processing elements may include a plurality of processing elements; and an interconnect network between the plurality of processing elements to receive an input of the dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the interconnect network, the plurality of processing elements, and the plurality of network dataflow endpoint circuits with each node represented as a dataflow operator in either of the plurality of processing elements and the plurality of network dataflow endpoint circuits, and the plurality of processing elements and the plurality of network dataflow endpoint circuits are to perform an operation by an incoming operand set arriving at each of the dataflow operators of the plurality of processing elements and the plurality of network dataflow endpoint circuits. The spatial array of processing elements may include a circuit switched network to transport the data within the spatial array between processing elements according to the dataflow graph.

[0436] In another embodiment, a method includes providing a spatial array of processing elements; routing, with a packet switched communications network, data within the spatial array between processing elements according to a dataflow graph; performing a first dataflow operation of the dataflow graph with the processing elements; and performing a second dataflow operation of the dataflow graph with a plurality of network dataflow endpoint circuits of the packet switched communications network. The performing the second dataflow operation may include receiving input data from the packet switched

communications network with a network ingress buffer of a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits; and outputting resultant data from a spatial array egress buffer of the network dataflow endpoint circuit to the spatial array of processing elements according to the second dataflow operation on the input data. The outputting may include outputting the resultant data based on a scheduler within the network dataflow endpoint circuit monitoring the packet switched communications network. The outputting may include outputting the resultant data based on the scheduler within the network dataflow endpoint circuit monitoring a selected channel of multiple network virtual channels of the packet switched communications network. The performing the second dataflow operation may include receiving control data, with a spatial array ingress buffer of a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits, from the spatial array; and configuring the network dataflow endpoint circuit to cause a network ingress buffer of the network dataflow endpoint circuit that received input data from the packet switched communications network to output resultant data to the spatial array of processing elements according to the second dataflow operation on the input data and the control data. The performing the second dataflow operation may include stalling an output of the second dataflow operation from a spatial array egress buffer of a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits when a backpressure signal from a downstream processing element of the spatial array of processing elements indicates that storage in the downstream processing element is not available for the output of the network dataflow endpoint circuit. The performing the second dataflow operation may include sending a backpressure signal from a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits to stall a source from sending input data on the packet switched communications network into a network ingress buffer of the network dataflow endpoint circuit when the network ingress buffer is not available. The routing, performing the first dataflow operation, and performing the second dataflow operation may include receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into the spatial array of processing elements and the plurality of network dataflow endpoint circuits with each node represented as a dataflow operator in either of the processing elements and the plurality of network dataflow endpoint circuits; and performing the first dataflow operation with the processing elements and performing the second dataflow operation with the plurality of network dataflow endpoint circuits when an incoming operand set arrives at each of the dataflow operators of the processing elements and the plurality of network dataflow endpoint circuits. The method may include transporting the data within the spatial array between processing elements according to the dataflow graph with a circuit switched network of the spatial array.

[0437] In yet another embodiment, a non-transitory machine readable medium that stores code that when executed by a machine causes the machine to perform a method including providing a spatial array of processing elements; routing, with a packet switched

communications network, data within the spatial array between processing elements according to a dataflow graph; performing a first dataflow operation of the dataflow graph with the processing elements; and performing a second dataflow operation of the dataflow graph with a plurality of network dataflow endpoint circuits of the packet switched communications network. The performing the second dataflow operation may include receiving input data from the packet switched communications network with a network ingress buffer of a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits; and outputting resultant data from a spatial array egress buffer of the network dataflow endpoint circuit to the spatial array of processing elements according to the second dataflow operation on the input data. The outputting may include outputting the resultant data based on a scheduler within the network dataflow endpoint circuit monitoring the packet switched communications network. The outputting may include outputting the resultant data based on the scheduler within the network dataflow endpoint circuit monitoring a selected channel of multiple network virtual channels of the packet switched

communications network. The performing the second dataflow operation may include receiving control data, with a spatial array ingress buffer of a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits, from the spatial array; and configuring the network dataflow endpoint circuit to cause a network ingress buffer of the network dataflow endpoint circuit that received input data from the packet switched communications network to output resultant data to the spatial array of processing elements according to the second dataflow operation on the input data and the control data. The performing the second dataflow operation may include stalling an output of the second dataflow operation from a spatial array egress buffer of a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits when a backpressure signal from a downstream processing element of the spatial array of processing elements indicates that storage in the downstream processing element is not available for the output of the network dataflow endpoint circuit. The performing the second dataflow operation may include sending a backpressure signal from a network dataflow endpoint circuit of the plurality of network dataflow endpoint circuits to stall a source from sending input data on the packet switched communications network into a network ingress buffer of the network dataflow endpoint circuit when the network ingress buffer is not available. The routing, performing the first dataflow operation, and performing the second dataflow operation may include receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into the spatial array of processing elements and the plurality of network dataflow endpoint circuits with each node represented as a dataflow operator in either of the processing elements and the plurality of network dataflow endpoint circuits; and performing the first dataflow operation with the processing elements and performing the second dataflow operation with the plurality of network dataflow endpoint circuits when an incoming operand set arrives at each of the dataflow operators of the processing elements and the plurality of network dataflow endpoint circuits. The method may include transporting the data within the spatial array between processing elements according to the dataflow graph with a circuit switched network of the spatial array.

[0438] In another embodiment, a processor includes a spatial array of processing elements; and a packet switched communications network to route data within the spatial array between processing elements according to a dataflow graph to perform a first dataflow operation of the dataflow graph, wherein the packet switched communications network further comprises means to perform a second dataflow operation of the dataflow graph.

[0439] In one embodiment, a processor includes a core with a decoder to decode an instruction into a decoded instruction and an execution unit to execute the decoded instruction to perform a first operation; a plurality of processing elements; and an interconnect network between the plurality of processing elements to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the interconnect network and the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements, and the plurality of processing elements are to perform a second operation by a respective, incoming operand set arriving at each of the dataflow operators of the plurality of processing elements. A processing element of the plurality of processing elements may stall execution when a backpressure signal from a downstream processing element indicates that storage in the downstream processing element is not available for an output of the processing element. The processor may include a flow control path network to carry the backpressure signal according to the dataflow graph. A dataflow token may cause an output from a dataflow operator receiving the dataflow token to be sent to an input buffer of a particular processing element of the plurality of processing elements. The second operation may include a memory access and the plurality of processing elements comprises a memory-accessing dataflow operator that is not to perform the memory access until receiving a memory dependency token from a logically previous dataflow operator. The plurality of processing elements may include a first type of processing element and a second, different type of processing element.

[0440] In another embodiment, a method includes decoding an instruction with a decoder of a core of a processor into a decoded instruction; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation; receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into a plurality of processing elements of the processor and an interconnect network between the plurality of processing elements of the processor with each node represented as a dataflow operator in the plurality of processing elements; and performing a second operation of the dataflow graph with the interconnect network and the plurality of processing elements by a respective, incoming operand set arriving at each of the dataflow operators of the plurality of processing elements. The method may include stalling execution by a processing element of the plurality of processing elements when a backpressure signal from a downstream processing element indicates that storage in the downstream processing element is not available for an output of the processing element. The method may include sending the backpressure signal on a flow control path network according to the dataflow graph. A dataflow token may cause an output from a dataflow operator receiving the dataflow token to be sent to an input buffer of a particular processing element of the plurality of processing elements. The method may include not performing a memory access until receiving a memory dependency token from a logically previous dataflow operator, wherein the second operation comprises the memory access and the plurality of processing elements comprises a memory-accessing dataflow operator. The method may include providing a first type of processing element and a second, different type of processing element of the plurality of processing elements.

[0441] In yet another embodiment, an apparatus includes a data path network between a plurality of processing elements; and a flow control path network between the plurality of processing elements, wherein the data path network and the flow control path network are to receive an input of a dataflow graph comprising a plurality of nodes, the dataflow graph is to be overlaid into the data path network, the flow control path network, and the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements, and the plurality of processing elements are to perform a second operation by a respective, incoming operand set arriving at each of the dataflow operators of the plurality of processing elements. The flow control path network may carry backpressure signals to a plurality of dataflow operators according to the dataflow graph. A dataflow token sent on the data path network to a dataflow operator may cause an output from the dataflow operator to be sent to an input buffer of a particular processing element of the plurality of processing elements on the data path network. The data path network may be a static, circuit switched network to carry the respective, input operand set to each of the dataflow operators according to the dataflow graph. The flow control path network may transmit a backpressure signal according to the dataflow graph from a downstream processing element to indicate that storage in the downstream processing element is not available for an output of the processing element. At least one data path of the data path network and at least one flow control path of the flow control path network may form a channelized circuit with backpressure control. The flow control path network may pipeline at least two of the plurality of processing elements in series.

[0442] In another embodiment, a method includes receiving an input of a dataflow graph comprising a plurality of nodes; and overlaying the dataflow graph into a plurality of processing elements of a processor, a data path network between the plurality of processing elements, and a flow control path network between the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements. The method may include carrying backpressure signals with the flow control path network to a plurality of dataflow operators according to the dataflow graph. The method may include sending a dataflow token on the data path network to a dataflow operator to cause an output from the dataflow operator to be sent to an input buffer of a particular processing element of the plurality of processing elements on the data path network. The method may include setting a plurality of switches of the data path network and/or a plurality of switches of the flow control path network to carry the respective, input operand set to each of the dataflow operators according to the dataflow graph, wherein the data path network is a static, circuit switched network. The method may include transmitting a backpressure signal with the flow control path network according to the dataflow graph from a downstream processing element to indicate that storage in the downstream processing element is not available for an output of the processing element. The method may include forming a channelized circuit with backpressure control with at least one data path of the data path network and at least one flow control path of the flow control path network.

[0443] In yet another embodiment, a processor includes a core with a decoder to decode an instruction into a decoded instruction and an execution unit to execute the decoded instruction to perform a first operation; a plurality of processing elements; and a network means between the plurality of processing elements to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the network means and the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements, and the plurality of processing elements are to perform a second operation by a respective, incoming operand set arriving at each of the dataflow operators of the plurality of processing elements.

[0444] In another embodiment, an apparatus includes a data path means between a plurality of processing elements; and a flow control path means between the plurality of processing elements, wherein the data path means and the flow control path means are to receive an input of a dataflow graph comprising a plurality of nodes, the dataflow graph is to be overlaid into the data path means, the flow control path means, and the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements, and the plurality of processing elements are to perform a second operation by a respective, incoming operand set arriving at each of the dataflow operators of the plurality of processing elements.

[0445] In one embodiment, a processor includes a core with a decoder to decode an instruction into a decoded instruction and an execution unit to execute the decoded instruction to perform a first operation; and an array of processing elements to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the array of processing elements with each node represented as a dataflow operator in the array of processing elements, and the array of processing elements is to perform a second operation when an incoming operand set arrives at the array of processing elements. The array of processing element may not perform the second operation until the incoming operand set arrives at the array of processing elements and storage in the array of processing elements is available for output of the second operation. The array of processing elements may include a network (or channel(s)) to carry dataflow tokens and control tokens to a plurality of dataflow operators. The second operation may include a memory access and the array of processing elements may include a memory- accessing dataflow operator that is not to perform the memory access until receiving a memory dependency token from a logically previous dataflow operator. Each processing element may perform only one or two operations of the dataflow graph.

[0446] In another embodiment, a method includes decoding an instruction with a decoder of a core of a processor into a decoded instruction; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation; receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into an array of processing elements of the processor with each node represented as a dataflow operator in the array of processing elements; and performing a second operation of the dataflow graph with the array of processing elements when an incoming operand set arrives at the array of processing elements. The array of processing elements may not perform the second operation until the incoming operand set arrives at the array of processing elements and storage in the array of processing elements is available for output of the second operation. The array of processing elements may include a network carrying dataflow tokens and control tokens to a plurality of dataflow operators. The second operation may include a memory access and the array of processing elements comprises a memory-accessing dataflow operator that is not to perform the memory access until receiving a memory dependency token from a logically previous dataflow operator. Each processing element may performs only one or two operations of the dataflow graph.

[0447] In yet another embodiment, a non-transitory machine readable medium that stores code that when executed by a machine causes the machine to perform a method including decoding an instruction with a decoder of a core of a processor into a decoded instruction; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation; receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into an array of processing elements of the processor with each node represented as a dataflow operator in the array of processing elements; and performing a second operation of the dataflow graph with the array of processing elements when an incoming operand set arrives at the array of processing elements. The array of processing element may not perform the second operation until the incoming operand set arrives at the array of processing elements and storage in the array of processing elements is available for output of the second operation. The array of processing elements may include a network carrying dataflow tokens and control tokens to a plurality of dataflow operators. The second operation may include a memory access and the array of processing elements comprises a memory-accessing dataflow operator that is not to perform the memory access until receiving a memory dependency token from a logically previous dataflow operator.

Each processing element may performs only one or two operations of the dataflow graph.

[0448] In another embodiment, a processor includes a core with a decoder to decode an instruction into a decoded instruction and an execution unit to execute the decoded instruction to perform a first operation; and means to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the means with each node represented as a dataflow operator in the means, and the means is to perform a second operation when an incoming operand set arrives at the means.

[0449] In one embodiment, a processor includes a core with a decoder to decode an instruction into a decoded instruction and an execution unit to execute the decoded instruction to perform a first operation; a plurality of processing elements; and an

interconnect network between the plurality of processing elements to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the interconnect network and the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements, and the plurality of processing elements is to perform a second operation when an incoming operand set arrives at the plurality of processing elements. The processor may further comprise a plurality of configuration controllers, each configuration controller is coupled to a respective proper subset of the plurality of processing elements, and each configuration controller is to load configuration information from storage and cause coupling of the respective proper subset of the plurality of processing elements according to the configuration information. The processor may include a plurality of configuration caches, and each configuration controller is coupled to a respective configuration cache to fetch the configuration information for the respective proper subset of the plurality of processing elements. The first operation performed by the execution unit may prefetch configuration information into each of the plurality of configuration caches. Each of the plurality of configuration controllers may include a reconfiguration circuit to cause a reconfiguration for at least one processing element of the respective proper subset of the plurality of processing elements on receipt of a configuration error message from the at least one processing element. Each of the plurality of configuration controllers may a reconfiguration circuit to cause a reconfiguration for the respective proper subset of the plurality of processing elements on receipt of a

reconfiguration request message, and disable communication with the respective proper subset of the plurality of processing elements until the reconfiguration is complete. The processor may include a plurality of exception aggregators, and each exception aggregator is coupled to a respective proper subset of the plurality of processing elements to collect exceptions from the respective proper subset of the plurality of processing elements and forward the exceptions to the core for servicing. The processor may include a plurality of extraction controllers, each extraction controller is coupled to a respective proper subset of the plurality of processing elements, and each extraction controller is to cause state data from the respective proper subset of the plurality of processing elements to be saved to memory.

[0450] In another embodiment, a method includes decoding an instruction with a decoder of a core of a processor into a decoded instruction; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation; receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into a plurality of processing elements of the processor and an interconnect network between the plurality of processing elements of the processor with each node represented as a dataflow operator in the plurality of processing elements; and performing a second operation of the dataflow graph with the interconnect network and the plurality of processing elements when an incoming operand set arrives at the plurality of processing elements. The method may include loading configuration information from storage for respective proper subsets of the plurality of processing elements and causing coupling for each respective proper subset of the plurality of processing elements according to the configuration information. The method may include fetching the configuration information for the respective proper subset of the plurality of processing elements from a respective configuration cache of a plurality of configuration caches. The first operation performed by the execution unit may be prefetching configuration information into each of the plurality of configuration caches. The method may include causing a reconfiguration for at least one processing element of the respective proper subset of the plurality of processing elements on receipt of a configuration error message from the at least one processing element. The method may include causing a reconfiguration for the respective proper subset of the plurality of processing elements on receipt of a

reconfiguration request message; and disabling communication with the respective proper subset of the plurality of processing elements until the reconfiguration is complete. The method may include collecting exceptions from a respective proper subset of the plurality of processing elements; and forwarding the exceptions to the core for servicing. The method may include causing state data from a respective proper subset of the plurality of processing elements to be saved to memory.

[0451] In yet another embodiment, a non-transitory machine readable medium that stores code that when executed by a machine causes the machine to perform a method including decoding an instruction with a decoder of a core of a processor into a decoded instruction; executing the decoded instruction with an execution unit of the core of the processor to perform a first operation; receiving an input of a dataflow graph comprising a plurality of nodes; overlaying the dataflow graph into a plurality of processing elements of the processor and an interconnect network between the plurality of processing elements of the processor with each node represented as a dataflow operator in the plurality of processing elements; and performing a second operation of the dataflow graph with the interconnect network and the plurality of processing elements when an incoming operand set arrives at the plurality of processing elements. The method may include loading configuration information from storage for respective proper subsets of the plurality of processing elements and causing coupling for each respective proper subset of the plurality of processing elements according to the configuration information. The method may include fetching the configuration information for the respective proper subset of the plurality of processing elements from a respective configuration cache of a plurality of configuration caches. The first operation performed by the execution unit may be prefetching configuration information into each of the plurality of configuration caches. The method may include causing a reconfiguration for at least one processing element of the respective proper subset of the plurality of processing elements on receipt of a configuration error message from the at least one processing element. The method may include causing a reconfiguration for the respective proper subset of the plurality of processing elements on receipt of a reconfiguration request message; and disabling communication with the respective proper subset of the plurality of processing elements until the reconfiguration is complete. The method may include collecting exceptions from a respective proper subset of the plurality of processing elements; and forwarding the exceptions to the core for servicing. The method may include causing state data from a respective proper subset of the plurality of processing elements to be saved to memory.

[0452] In another embodiment, a processor includes a core with a decoder to decode an instruction into a decoded instruction and an execution unit to execute the decoded instruction to perform a first operation; a plurality of processing elements; and means between the plurality of processing elements to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the m and the plurality of processing elements with each node represented as a dataflow operator in the plurality of processing elements, and the plurality of processing elements is to perform a second operation when an incoming operand set arrives at the plurality of processing elements.

[0453] In one embodiment, an apparatus (e.g., a system) includes a spatial array of processing elements comprising a first network to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the spatial array of processing elements with each node represented as a dataflow operator in the spatial array of processing elements, and the spatial array of processing elements is to perform an operation by a respective, incoming operand set arriving at each of the dataflow operators; a first performance monitoring circuit coupled to a first plurality of (e.g., a first proper subset of) the processing elements by a second network (e.g., a hardwired, direct connection) to receive at least one monitoring value from each of the first plurality of the processing elements, generate a first aggregated monitoring value (e.g., a single number) based on the at least one monitoring value from each of the first plurality of the processing elements, and send the first aggregated monitoring value to a performance manager circuit on a third (e.g., exception) network when a first threshold value is exceeded (e.g., or met) by the first aggregated monitoring value; and the performance manager circuit is to perform an (e.g., corrective) action based on the first aggregated monitoring value. The apparatus may include a second performance monitoring circuit coupled to a second, different plurality of (e.g., a second proper subset of) the processing elements by a fourth network to receive at least one monitoring value from each of the second, different plurality of the processing elements, generate a second aggregated monitoring value (e.g., a single number) based on the at least one monitoring value from each of the second, different plurality of the processing elements, and send the second aggregated monitoring value to the performance manager circuit on the third network when a second threshold value is exceeded (e.g., or met) by the second aggregated monitoring value, wherein the performance manager circuit is to perform an (e.g., corrective) action based on the first aggregated monitoring value and the second aggregated monitoring value. The first performance monitoring circuit may include a match circuit coupled to the second network to provide a proper subset of a plurality of monitoring values from each of the first plurality of the processing elements, and generate the first aggregated monitoring value based on the proper subset of the plurality of monitoring values. The first performance monitoring circuit may include a register to store a control value for a switch (e.g., multiplexer) coupled to each of the first plurality of the processing elements to cause the switch to select the proper subset based on the control value. The first performance monitoring circuit may include a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit sends the first aggregated monitoring value to the

performance manager circuit. The first performance monitoring circuit may include a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements (e.g., and none of the other PEs) when the first performance monitoring circuit receives a flush value from the performance manager circuit. The apparatus may include a request address file circuit coupled to the spatial array of processing elements and a cache memory, the request address file circuit to: access data in the cache memory, via the third network, in response to a request for data access from the spatial array of processing elements, and send the first aggregated monitoring value to the performance manager circuit on the third network in response to receipt of the first aggregated monitoring value from the first performance monitoring circuit. The request address file circuit may include an exception manager circuit to mark the first aggregated monitoring value with a tag that indicates the first aggregated monitoring value is to be sent to the performance manager circuit.

[0454] In another embodiment, a method includes overlaying an input of a dataflow graph comprising a plurality of nodes into a spatial array of processing elements comprising a first network with each node represented as a dataflow operator in the spatial array of processing elements; performing an operation, with the spatial array of processing elements, by a respective, incoming operand set arriving at each of the dataflow operators; sending at least one monitoring value from each of a first plurality of the processing elements on a second network to a first performance monitoring circuit; generating a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements by the first performance monitoring circuit; sending the first aggregated monitoring value to a performance manager circuit on a third network when a first threshold value is exceeded by the first aggregated monitoring value; and performing an action based on the first aggregated monitoring value by the performance manager circuit.

The method may include sending at least one monitoring value from each of a second, different plurality of the processing elements on a fourth network to a second performance monitoring circuit; generating a second aggregated monitoring value based on the at least one monitoring value from each of the second, different plurality of the processing elements by the second performance monitoring circuit; sending the second aggregated monitoring value to the performance manager circuit on the third network when a second threshold value is exceeded by the second aggregated monitoring value; and performing an action based on the first aggregated monitoring value and the second aggregated monitoring value by the performance manager circuit. The method may include providing a proper subset of a plurality of monitoring values from each of the first plurality of the processing elements by a match circuit of the first performance monitoring circuit, wherein the generating comprises generating the first aggregated monitoring value based on the proper subset of the plurality of monitoring values. The method may include storing, in a register of the first performance monitoring circuit, a control value for a switch coupled to each of the first plurality of the processing elements to cause the switch to select the proper subset based on the control value. The method may include sending a stall value from the first performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit sends the first aggregated monitoring value to the performance manager circuit. The method may include sending a stall value from the first performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit receives a flush value from the performance manager circuit. The method may include coupling a request address file circuit to the spatial array of processing elements and a cache memory; accessing data in the cache memory with the request address file circuit, via the third network, in response to a request for data access from the spatial array of processing elements; and sending the first aggregated monitoring value to the performance manager circuit on the third network by the request address file circuit in response to receipt of the first aggregated monitoring value from the first performance monitoring circuit. The method may include marking the first aggregated monitoring value with a tag that indicates the first aggregated monitoring value is to be sent to the performance manager circuit by an exception manager circuit of the request address file circuit.

[0455] In yet another embodiment, a non-transitory machine readable medium that stores code that when executed by a machine causes the machine to perform a method including overlaying an input of a dataflow graph comprising a plurality of nodes into a spatial array of processing elements comprising a first network with each node represented as a dataflow operator in the spatial array of processing elements; performing an operation, with the spatial array of processing elements, by a respective, incoming operand set arriving at each of the dataflow operators; sending at least one monitoring value from each of a first plurality of the processing elements on a second network to a first performance monitoring circuit;

generating a first aggregated monitoring value based on the at least one monitoring value from each of the first plurality of the processing elements by the first performance monitoring circuit; sending the first aggregated monitoring value to a performance manager circuit on a third network when a first threshold value is exceeded by the first aggregated monitoring value; and performing an action based on the first aggregated monitoring value by the performance manager circuit. The method may include sending at least one monitoring value from each of a second, different plurality of the processing elements on a fourth network to a second performance monitoring circuit; generating a second aggregated monitoring value based on the at least one monitoring value from each of the second, different plurality of the processing elements by the second performance monitoring circuit; sending the second aggregated monitoring value to the performance manager circuit on the third network when a second threshold value is exceeded by the second aggregated monitoring value; and performing an action based on the first aggregated monitoring value and the second aggregated monitoring value by the performance manager circuit. The method may include providing a proper subset of a plurality of monitoring values from each of the first plurality of the processing elements by a match circuit of the first performance monitoring circuit, wherein the generating comprises generating the first aggregated monitoring value based on the proper subset of the plurality of monitoring values. The method may include storing, in a register of the first performance monitoring circuit, a control value for a switch coupled to each of the first plurality of the processing elements to cause the switch to select the proper subset based on the control value. The method may include sending a stall value from the first performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit sends the first aggregated monitoring value to the performance manager circuit. The method may include sending a stall value from the first performance monitoring circuit on a stall path to each of the first plurality of the processing elements to stall each of the first plurality of the processing elements when the first performance monitoring circuit receives a flush value from the performance manager circuit. The method may include coupling a request address file circuit to the spatial array of processing elements and a cache memory; accessing data in the cache memory with the request address file circuit, via the third network, in response to a request for data access from the spatial array of processing elements; and sending the first aggregated monitoring value to the performance manager circuit on the third network by the request address file circuit in response to receipt of the first aggregated monitoring value from the first performance monitoring circuit. The method may include marking the first aggregated monitoring value with a tag that indicates the first aggregated monitoring value is to be sent to the performance manager circuit by an exception manager circuit of the request address file circuit.

[0456] In yet another embodiment, an apparatus (e.g., a system) includes a spatial array of processing elements comprising a first network to receive an input of a dataflow graph comprising a plurality of nodes, wherein the dataflow graph is to be overlaid into the spatial array of processing elements with each node represented as a dataflow operator in the spatial array of processing elements, and the spatial array of processing elements is to perform an operation by a respective, incoming operand set arriving at each of the dataflow operators; means coupled to a first plurality of (e.g., a first proper subset of) the processing elements by a second network (e.g., a hardwired, direct connection) to receive at least one monitoring value from each of the first plurality of the processing elements, generate a first aggregated monitoring value (e.g., a single number) based on the at least one monitoring value from each of the first plurality of the processing elements, and send the first aggregated monitoring value to a performance manager circuit on a third (e.g., exception) network when a first threshold value is exceeded (e.g., or met) by the first aggregated monitoring value; and the performance manager circuit is to perform an (e.g., corrective) action based on the first aggregated monitoring value.

[0457] In another embodiment, an apparatus comprises a data storage device that stores code that when executed by a hardware processor causes the hardware processor to perform any method disclosed herein. An apparatus may be as described in the detailed description.

A method may be as described in the detailed description.

[0458] In yet another embodiment, a non-transitory machine readable medium that stores code that when executed by a machine causes the machine to perform a method comprising any method disclosed herein. [0459] An instruction set (e.g., for execution by a core) may include one or more instruction formats. A given instruction format may define various fields (e.g., number of bits, location of bits) to specify, among other things, the operation to be performed (e.g., opcode) and the operand(s) on which that operation is to be performed and/or other data field(s) (e.g., mask). Some instruction formats are further broken down though the definition of instruction templates (or subformats). For example, the instruction templates of a given instruction format may be defined to have different proper subsets of the instruction format’ s fields (the included fields are typically in the same order, but at least some have different bit positions because there are less fields included) and/or defined to have a given field interpreted differently. Thus, each instruction of an ISA is expressed using a given instruction format (and, if defined, in a given one of the instruction templates of that instruction format) and includes fields for specifying the operation and the operands. For example, an exemplary ADD instruction has a specific opcode and an instruction format that includes an opcode field to specify that opcode and operand fields to select operands (sourcel/destination and source2); and an occurrence of this ADD instruction in an instruction stream will have specific contents in the operand fields that select specific operands. A set of SIMD extensions referred to as the Advanced Vector Extensions (AVX) (AVX1 and AVX2) and using the Vector Extensions (VEX) coding scheme has been released and/or published (e.g., see Intel® 64 and IA-32 Architectures Software Developer’s Manual, June 2016; and see Intel® Architecture Instruction Set Extensions Programming Reference, February 2016).

Exemplary Instruction Formats

[0460] Embodiments of the instmction(s) described herein may be embodied in different formats. Additionally, exemplary systems, architectures, and pipelines are detailed below. Embodiments of the instmction(s) may be executed on such systems, architectures, and pipelines, but are not limited to those detailed.

Generic Vector Friendly Instruction Format

[0461] A vector friendly instruction format is an instruction format that is suited for vector instructions (e.g., there are certain fields specific to vector operations). While embodiments are described in which both vector and scalar operations are supported through the vector friendly instruction format, alternative embodiments use only vector operations the vector friendly instruction format.

[0462] Figures 72A-72B are block diagrams illustrating a generic vector friendly instruction format and instruction templates thereof according to embodiments of the disclosure. Figure 72A is a block diagram illustrating a generic vector friendly instruction format and class A instruction templates thereof according to embodiments of the disclosure; while Figure 72B is a block diagram illustrating the generic vector friendly instmction format and class B instruction templates thereof according to embodiments of the disclosure. Specifically, a generic vector friendly instmction format 7200 for which are defined class A and class B instmction templates, both of which include no memory access 7205 instmction templates and memory access 7220 instmction templates. The term generic in the context of the vector friendly instmction format refers to the instmction format not being tied to any specific instmction set.

[0463] While embodiments of the disclosure will be described in which the vector friendly instmction format supports the following: a 64 byte vector operand length (or size) with 32 bit (4 byte) or 64 bit (8 byte) data element widths (or sizes) (and thus, a 64 byte vector consists of either 16 doubleword-size elements or alternatively, 8 quadword-size elements); a 64 byte vector operand length (or size) with 16 bit (2 byte) or 8 bit (1 byte) data element widths (or sizes); a 32 byte vector operand length (or size) with 32 bit (4 byte), 64 bit (8 byte), 16 bit (2 byte), or 8 bit (1 byte) data element widths (or sizes); and a 16 byte vector operand length (or size) with 32 bit (4 byte), 64 bit (8 byte), 16 bit (2 byte), or 8 bit (1 byte) data element widths (or sizes); alternative embodiments may support more, less and/or different vector operand sizes (e.g., 256 byte vector operands) with more, less, or different data element widths (e.g., 128 bit (16 byte) data element widths).

[0464] The class A instmction templates in Figure 72A include: 1) within the no memory access 7205 instmction templates there is shown a no memory access, full round control type operation 7210 instmction template and a no memory access, data transform type operation 7215 instmction template; and 2) within the memory access 7220 instmction templates there is shown a memory access, temporal 7225 instmction template and a memory access, non-temporal 7230 instmction template. The class B instmction templates in Figure 72B include: 1) within the no memory access 7205 instmction templates there is shown a no memory access, write mask control, partial round control type operation 7212 instmction template and a no memory access, write mask control, vsize type operation 7217 instmction template; and 2) within the memory access 7220 instruction templates there is shown a memory access, write mask control 7227 instruction template.

[0465] The generic vector friendly instruction format 7200 includes the following fields listed below in the order illustrated in Figures 72A-72B.

[0466] Format field 7240 - a specific value (an instruction format identifier value) in this field uniquely identifies the vector friendly instruction format, and thus occurrences of instructions in the vector friendly instruction format in instruction streams. As such, this field is optional in the sense that it is not needed for an instruction set that has only the generic vector friendly instruction format.

[0467] Base operation field 7242 - its content distinguishes different base operations.

[0468] Register index field 7244 - its content, directly or through address generation, specifies the locations of the source and destination operands, be they in registers or in memory. These include a sufficient number of bits to select N registers from a PxQ (e.g. 32x512, 16x128, 32x1024, 64x1024) register file. While in one embodiment N may be up to three sources and one destination register, alternative embodiments may support more or less sources and destination registers (e.g., may support up to two sources where one of these sources also acts as the destination, may support up to three sources where one of these sources also acts as the destination, may support up to two sources and one destination).

[0469] Modifier field 7246 - its content distinguishes occurrences of instructions in the generic vector instruction format that specify memory access from those that do not; that is, between no memory access 7205 instruction templates and memory access 7220 instmction templates. Memory access operations read and/or write to the memory hierarchy (in some cases specifying the source and/or destination addresses using values in registers), while non memory access operations do not (e.g., the source and destinations are registers). While in one embodiment this field also selects between three different ways to perform memory address calculations, alternative embodiments may support more, less, or different ways to perform memory address calculations.

[0470] Augmentation operation field 7250 - its content distinguishes which one of a variety of different operations to be performed in addition to the base operation. This field is context specific. In one embodiment of the disclosure, this field is divided into a class field 7268, an alpha field 7252, and a beta field 7254. The augmentation operation field 7250 allows common groups of operations to be performed in a single instmction rather than 2, 3, or 4 instructions. [0471] Scale field 7260 - its content allows for the scaling of the index field’s content for memory address generation (e.g., for address generation that uses 2 scale * index + base).

[0472] Displacement Field 7262A- its content is used as part of memory address generation (e.g., for address generation that uses 2 scale * index + base + displacement).

[0473] Displacement Factor Field 7262B (note that the juxtaposition of displacement field 7262A directly over displacement factor field 7262B indicates one or the other is used)

- its content is used as part of address generation; it specifies a displacement factor that is to be scaled by the size of a memory access (N) - where N is the number of bytes in the memory access (e.g., for address generation that uses 2 scale * index + base + scaled displacement). Redundant low-order bits are ignored and hence, the displacement factor field’ s content is multiplied by the memory operands total size (N) in order to generate the final displacement to be used in calculating an effective address. The value of N is determined by the processor hardware at runtime based on the full opcode field 7274 (described later herein) and the data manipulation field 7254C. The displacement field 7262A and the displacement factor field 7262B are optional in the sense that they are not used for the no memory access 7205 instruction templates and/or different embodiments may implement only one or none of the two.

[0474] Data element width field 7264 - its content distinguishes which one of a number of data element widths is to be used (in some embodiments for all instructions; in other embodiments for only some of the instructions). This field is optional in the sense that it is not needed if only one data element width is supported and/or data element widths are supported using some aspect of the opcodes.

[0475] Write mask field 7270 - its content controls, on a per data element position basis, whether that data element position in the destination vector operand reflects the result of the base operation and augmentation operation. Class A instruction templates support merging- writemasking, while class B instruction templates support both merging- and zeroing- writemasking. When merging, vector masks allow any set of elements in the destination to be protected from updates during the execution of any operation (specified by the base operation and the augmentation operation); in other one embodiment, preserving the old value of each element of the destination where the corresponding mask bit has a 0. In contrast, when zeroing vector masks allow any set of elements in the destination to be zeroed during the execution of any operation (specified by the base operation and the augmentation operation); in one embodiment, an element of the destination is set to 0 when the corresponding mask bit has a 0 value. A proper subset of this functionality is the ability to control the vector length of the operation being performed (that is, the span of elements being modified, from the first to the last one); however, it is not necessary that the elements that are modified be consecutive. Thus, the write mask field 7270 allows for partial vector operations, including loads, stores, arithmetic, logical, etc. While embodiments of the disclosure are described in which the write mask field’ s 7270 content selects one of a number of write mask registers that contains the write mask to be used (and thus the write mask field’s 7270 content indirectly identifies that masking to be performed), alternative embodiments instead or additional allow the mask write field’ s 7270 content to directly specify the masking to be performed.

[0476] Immediate field 7272 - its content allows for the specification of an immediate. This field is optional in the sense that is it not present in an implementation of the generic vector friendly format that does not support immediate and it is not present in instructions that do not use an immediate.

[0477] Class field 7268 - its content distinguishes between different classes of instructions. With reference to Figures 72A-B, the contents of this field select between class A and class B instructions. In Figures 72A-B, rounded comer squares are used to indicate a specific value is present in a field (e.g., class A 7268A and class B 7268B for the class field 7268 respectively in Figures 72A-B).

Instruction Templates of Class A

[0478] In the case of the non-memory access 7205 instruction templates of class A, the alpha field 7252 is interpreted as an RS field 7252A, whose content distinguishes which one of the different augmentation operation types are to be performed (e.g., round 7252A.1 and data transform 7252A.2 are respectively specified for the no memory access, round type operation 7210 and the no memory access, data transform type operation 7215 instruction templates), while the beta field 7254 distinguishes which of the operations of the specified type is to be performed. In the no memory access 7205 instruction templates, the scale field 7260, the displacement field 7262A, and the displacement scale filed 7262B are not present.

No-Memory Access Instruction Templates - Full Round Control Type Operation

[0479] In the no memory access full round control type operation 7210 instmction template, the beta field 7254 is interpreted as a round control field 7254A, whose content(s) provide static rounding. While in the described embodiments of the disclosure the round control field 7254A includes a suppress all floating point exceptions (SAE) field 7256 and a round operation control field 7258, alternative embodiments may support may encode both these concepts into the same field or only have one or the other of these concepts/fields (e.g., may have only the round operation control field 7258).

[0480] SAE field 7256 - its content distinguishes whether or not to disable the exception event reporting; when the SAE field’s 7256 content indicates suppression is enabled, a given instruction does not report any kind of floating-point exception flag and does not raise any floating point exception handler.

[0481] Round operation control field 7258 - its content distinguishes which one of a group of rounding operations to perform (e.g., Round-up, Round-down, Round-towards-zero and Round-to-nearest). Thus, the round operation control field 7258 allows for the changing of the rounding mode on a per instruction basis. In one embodiment of the disclosure where a processor includes a control register for specifying rounding modes, the round operation control field’s 7250 content overrides that register value.

No Memory Access Instruction Templates - Data Transform Type Operation

[0482] In the no memory access data transform type operation 7215 instruction template, the beta field 7254 is interpreted as a data transform field 7254B, whose content distinguishes which one of a number of data transforms is to be performed (e.g., no data transform, swizzle, broadcast).

[0483] In the case of a memory access 7220 instruction template of class A, the alpha field 7252 is interpreted as an eviction hint field 7252B, whose content distinguishes which one of the eviction hints is to be used (in Figure 72A, temporal 7252B.1 and non-temporal 7252B.2 are respectively specified for the memory access, temporal 7225 instmction template and the memory access, non-temporal 7230 instruction template), while the beta field 7254 is interpreted as a data manipulation field 7254C, whose content distinguishes which one of a number of data manipulation operations (also known as primitives) is to be performed (e.g., no manipulation; broadcast; up conversion of a source; and down conversion of a destination). The memory access 7220 instruction templates include the scale field 7260, and optionally the displacement field 7262 A or the displacement scale field 7262B.

[0484] Vector memory instructions perform vector loads from and vector stores to memory, with conversion support. As with regular vector instructions, vector memory instructions transfer data from/to memory in a data element-wise fashion, with the elements that are actually transferred is dictated by the contents of the vector mask that is selected as the write mask.

Memory Access Instruction Templates - Temporal

[0485] Temporal data is data likely to be reused soon enough to benefit from caching. This is, however, a hint, and different processors may implement it in different ways, including ignoring the hint entirely.

Memory Access Instruction Templates - Non-Temporal

[0486] Non-temporal data is data unlikely to be reused soon enough to benefit from caching in the lst-level cache and should be given priority for eviction. This is, however, a hint, and different processors may implement it in different ways, including ignoring the hint entirely.

Instruction Templates of Class B

[0487] In the case of the instruction templates of class B, the alpha field 7252 is interpreted as a write mask control (Z) field 7252C, whose content distinguishes whether the write masking controlled by the write mask field 7270 should be a merging or a zeroing.

[0488] In the case of the non-memory access 7205 instruction templates of class B, part of the beta field 7254 is interpreted as an RL field 7257A, whose content distinguishes which one of the different augmentation operation types are to be performed (e.g., round 7257A.1 and vector length (VSIZE) 7257A.2 are respectively specified for the no memory access, write mask control, partial round control type operation 7212 instruction template and the no memory access, write mask control, VSIZE type operation 7217 instruction template), while the rest of the beta field 7254 distinguishes which of the operations of the specified type is to be performed. In the no memory access 7205 instruction templates, the scale field 7260, the displacement field 7262A, and the displacement scale filed 7262B are not present.

[0489] In the no memory access, write mask control, partial round control type operation 7210 instruction template, the rest of the beta field 7254 is interpreted as a round operation field 7259A and exception event reporting is disabled (a given instruction does not report any kind of floating-point exception flag and does not raise any floating point exception handler). [0490] Round operation control field 7259A - just as round operation control field 7258, its content distinguishes which one of a group of rounding operations to perform (e.g., Round-up, Round-down, Round-towards-zero and Round- to-nearest). Thus, the round operation control field 7259A allows for the changing of the rounding mode on a per instruction basis. In one embodiment of the disclosure where a processor includes a control register for specifying rounding modes, the round operation control field’s 7250 content overrides that register value.

[0491] In the no memory access, write mask control, VSIZE type operation 7217 instruction template, the rest of the beta field 7254 is interpreted as a vector length field 7259B, whose content distinguishes which one of a number of data vector lengths is to be performed on (e.g., 128, 256, or 512 byte).

[0492] In the case of a memory access 7220 instruction template of class B, part of the beta field 7254 is interpreted as a broadcast field 7257B, whose content distinguishes whether or not the broadcast type data manipulation operation is to be performed, while the rest of the beta field 7254 is interpreted the vector length field 7259B. The memory access 7220 instruction templates include the scale field 7260, and optionally the displacement field 7262A or the displacement scale field 7262B.

[0493] With regard to the generic vector friendly instruction format 7200, a full opcode field 7274 is shown including the format field 7240, the base operation field 7242, and the data element width field 7264. While one embodiment is shown where the full opcode field 7274 includes all of these fields, the full opcode field 7274 includes less than all of these fields in embodiments that do not support all of them. The full opcode field 7274 provides the operation code (opcode).

[0494] The augmentation operation field 7250, the data element width field 7264, and the write mask field 7270 allow these features to be specified on a per instruction basis in the generic vector friendly instruction format.

[0495] The combination of write mask field and data element width field create typed instructions in that they allow the mask to be applied based on different data element widths.

[0496] The various instruction templates found within class A and class B are beneficial in different situations. In some embodiments of the disclosure, different processors or different cores within a processor may support only class A, only class B, or both classes.

For instance, a high performance general purpose out-of-order core intended for general- purpose computing may support only class B, a core intended primarily for graphics and/or scientific (throughput) computing may support only class A, and a core intended for both may support both (of course, a core that has some mix of templates and instructions from both classes but not all templates and instructions from both classes is within the purview of the disclosure). Also, a single processor may include multiple cores, all of which support the same class or in which different cores support different class. For instance, in a processor with separate graphics and general purpose cores, one of the graphics cores intended primarily for graphics and/or scientific computing may support only class A, while one or more of the general purpose cores may be high performance general purpose cores with out of order execution and register renaming intended for general-purpose computing that support only class B. Another processor that does not have a separate graphics core, may include one more general purpose in-order or out-of-order cores that support both class A and class B. Of course, features from one class may also be implement in the other class in different embodiments of the disclosure. Programs written in a high level language would be put (e.g., just in time compiled or statically compiled) into an variety of different executable forms, including: 1) a form having only instructions of the class(es) supported by the target processor for execution; or 2) a form having alternative routines written using different combinations of the instructions of all classes and having control flow code that selects the routines to execute based on the instructions supported by the processor which is currently executing the code.

Exemplary Specific Vector Friendly Instruction Format

[0497] Figure 73 is a block diagram illustrating an exemplary specific vector friendly instruction format according to embodiments of the disclosure. Figure 73 shows a specific vector friendly instruction format 7300 that is specific in the sense that it specifies the location, size, interpretation, and order of the fields, as well as values for some of those fields. The specific vector friendly instruction format 7300 may be used to extend the x86 instruction set, and thus some of the fields are similar or the same as those used in the existing x86 instruction set and extension thereof (e.g., AVX). This format remains consistent with the prefix encoding field, real opcode byte field, MOD R/M field, SIB field, displacement field, and immediate fields of the existing x86 instruction set with extensions. The fields from Figure 72 into which the fields from Figure 73 map are illustrated.

[0498] It should be understood that, although embodiments of the disclosure are described with reference to the specific vector friendly instruction format 7300 in the context of the generic vector friendly instruction format 7200 for illustrative purposes, the disclosure is not limited to the specific vector friendly instruction format 7300 except where claimed. For example, the generic vector friendly instruction format 7200 contemplates a variety of possible sizes for the various fields, while the specific vector friendly instruction format 7300 is shown as having fields of specific sizes. By way of specific example, while the data element width field 7264 is illustrated as a one bit field in the specific vector friendly instruction format 7300, the disclosure is not so limited (that is, the generic vector friendly instruction format 7200 contemplates other sizes of the data element width field 7264).

[0499] The generic vector friendly instruction format 7200 includes the following fields listed below in the order illustrated in Figure 73A.

[0500] EVEX Prefix (Bytes 0-3) 7302 - is encoded in a four-byte form.

[0501] Format Field 7240 (EVEX Byte 0, bits [7:0]) - the first byte (EVEX Byte 0) is the format field 7240 and it contains 0x62 (the unique value used for distinguishing the vector friendly instruction format in one embodiment of the disclosure).

[0502] The second-fourth bytes (EVEX Bytes 1-3) include a number of bit fields providing specific capability.

[0503] REX field 7305 (EVEX Byte 1, bits [7-5]) - consists of a EVEX.R bit field (EVEX Byte 1, bit [7] - R), EVEX.X bit field (EVEX byte 1, bit [6] - X), and 7257BEX byte 1, bit[5] - B). The EVEX.R, EVEX.X, and EVEX.B bit fields provide the same functionality as the corresponding VEX bit fields, and are encoded using ls complement form, i.e. ZMM0 is encoded as 1111B, ZMM15 is encoded as 0000B. Other fields of the instructions encode the lower three bits of the register indexes as is known in the art (rrr, xxx, and bbb), so that Rrrr, Xxxx, and Bbbb may be formed by adding EVEX.R, EVEX.X, and EVEX.B.

[0504] REX’ field 7210 - this is the first part of the REX’ field 7210 and is the EVEX.R’ bit field (EVEX Byte 1, bit [4] - R’) that is used to encode either the upper 16 or lower 16 of the extended 32 register set. In one embodiment of the disclosure, this bit, along with others as indicated below, is stored in bit inverted format to distinguish (in the well-known x86 32- bit mode) from the BOUND instruction, whose real opcode byte is 62, but does not accept in the MOD R/M field (described below) the value of 11 in the MOD field; alternative embodiments of the disclosure do not store this and the other indicated bits below in the inverted format. A value of 1 is used to encode the lower 16 registers. In other words,

R’Rrrr is formed by combining EVEX.R’, EVEX.R, and the other RRR from other fields. [0505] Opcode map field 7315 (EVEX byte 1, bits [3:0] - mmmm) - its content encodes an implied leading opcode byte (OF, OF 38, or OF 3).

[0506] Data element width field 7264 (EVEX byte 2, bit [7] - W) - is represented by the notation EVEX.W. EVEX.W is used to define the granularity (size) of the datatype (either 32-bit data elements or 64-bit data elements).

[0507] EVEX.vvvv 7320 (EVEX Byte 2, bits [6:3]-vvvv)- the role of EVEX.vvvv may include the following: 1) EVEX.vvvv encodes the first source register operand, specified in inverted (ls complement) form and is valid for instructions with 2 or more source operands;

2) EVEX.vvvv encodes the destination register operand, specified in ls complement form for certain vector shifts; or 3) EVEX.vvvv does not encode any operand, the field is reserved and should contain 111 lb. Thus, EVEX.vvvv field 7320 encodes the 4 low-order bits of the first source register specifier stored in inverted (ls complement) form. Depending on the instruction, an extra different EVEX bit field is used to extend the specifier size to 32 registers.

[0508] EVEX.U 7268 Class field (EVEX byte 2, bit [2]-U) - If EVEX.U = 0, it indicates class A or EVEX.U0; if EVEX.U = 1, it indicates class B or EVEX.U1.

[0509] Prefix encoding field 7325 (EVEX byte 2, bits [l:0]-pp) - provides additional bits for the base operation field. In addition to providing support for the legacy SSE instructions in the EVEX prefix format, this also has the benefit of compacting the SIMD prefix (rather than requiring a byte to express the SIMD prefix, the EVEX prefix requires only 2 bits). In one embodiment, to support legacy SSE instructions that use a SIMD prefix (66H, F2H, F3H) in both the legacy format and in the EVEX prefix format, these legacy SIMD prefixes are encoded into the SIMD prefix encoding field; and at runtime are expanded into the legacy SIMD prefix prior to being provided to the decoder’ s PLA (so the PLA can execute both the legacy and EVEX format of these legacy instructions without modification). Although newer instructions could use the EVEX prefix encoding field’s content directly as an opcode extension, certain embodiments expand in a similar fashion for consistency but allow for different meanings to be specified by these legacy SIMD prefixes. An alternative embodiment may redesign the PLA to support the 2 bit SIMD prefix encodings, and thus not require the expansion.

[0510] Alpha field 7252 (EVEX byte 3, bit [7] - EH; also known as EVEX.EH,

EVEX.rs, EVEX.RL, EVEX. write mask control, and EVEX.N; also illustrated with a) - as previously described, this field is context specific. [0511] Beta field 7254 (EVEX byte 3, bits [6:4]-SSS, also known as EVEX.S2-0, EVEX.r2-o, EVEX.rrl, EVEX.LLO, EVEX.LLB; also illustrated with bbb) - as previously described, this field is context specific.

[0512] REX’ field 7210 - this is the remainder of the REX’ field and is the EVEX.V’ bit field (EVEX Byte 3, bit [3] - V’) that may be used to encode either the upper 16 or lower 16 of the extended 32 register set. This bit is stored in bit inverted format. A value of 1 is used to encode the lower 16 registers. In other words, V’ VVVV is formed by combining

EVEX.V’, EVEX. vvvv.

[0513] Write mask field 7270 (EVEX byte 3, bits [2:0]-kkk) - its content specifies the index of a register in the write mask registers as previously described. In one embodiment of the disclosure, the specific value EVEX.kkk=000 has a special behavior implying no write mask is used for the particular instruction (this may be implemented in a variety of ways including the use of a write mask hardwired to all ones or hardware that bypasses the masking hardware).

[0514] Real Opcode Field 7330 (Byte 4) is also known as the opcode byte. Part of the opcode is specified in this field.

[0515] MOD R/M Field 7340 (Byte 5) includes MOD field 7342, Reg field 7344, and R/M field 7346. As previously described, the MOD field’s 7342 content distinguishes between memory access and non-memory access operations. The role of Reg field 7344 can be summarized to two situations: encoding either the destination register operand or a source register operand, or be treated as an opcode extension and not used to encode any instruction operand. The role of R/M field 7346 may include the following: encoding the instruction operand that references a memory address, or encoding either the destination register operand or a source register operand.

[0516] Scale, Index, Base (SIB) Byte (Byte 6) - As previously described, the scale field’s 5450 content is used for memory address generation. SIB.xxx 7354 and SIB.bbb 7356 - the contents of these fields have been previously referred to with regard to the register indexes Xxxx and Bbbb.

[0517] Displacement field 7262A (Bytes 7-10) - when MOD field 7342 contains 10, bytes 7-10 are the displacement field7262A, and it works the same as the legacy 32-bit displacement (disp32) and works at byte granularity.

[0518] Displacement factor field7262B (Byte 7) - when MOD field 7342 contains 01, byte 7 is the displacement factor field7262B. The location of this field is that same as that of the legacy x86 instruction set 8-bit displacement (disp8), which works at byte granularity. Since disp8 is sign extended, it can only address between -128 and 127 bytes offsets; in terms of 64 byte cache lines, disp8 uses 8 bits that can be set to only four really useful values -128, -64, 0, and 64; since a greater range is often needed, disp32 is used; however, disp32 requires 4 bytes. In contrast to disp8 and disp32, the displacement factor field7262B is a

reinterpretation of disp8; when using displacement factor field7262B, the actual displacement is determined by the content of the displacement factor field multiplied by the size of the memory operand access (N). This type of displacement is referred to as disp8*N. This reduces the average instruction length (a single byte of used for the displacement but with a much greater range). Such compressed displacement is based on the assumption that the effective displacement is multiple of the granularity of the memory access, and hence, the redundant low-order bits of the address offset do not need to be encoded. In other words, the displacement factor field7262B substitutes the legacy x86 instruction set 8-bit displacement. Thus, the displacement factor field7262B is encoded the same way as an x86 instruction set 8-bit displacement (so no changes in the ModRM/SIB encoding rules) with the only exception that disp8 is overloaded to disp8*N. In other words, there are no changes in the encoding rules or encoding lengths but only in the interpretation of the displacement value by hardware (which needs to scale the displacement by the size of the memory operand to obtain a byte-wise address offset). Immediate field7272 operates as previously described.

Full Opcode Field

[0519] Figure 73B is a block diagram illustrating the fields of the specific vector friendly instruction format 7300 that make up the full opcode field7274 according to one embodiment of the disclosure. Specifically, the full opcode field7274 includes the format field 7240, the base operation field 7242, and the data element width (W) field 7264. The base operation field 7242 includes the prefix encoding field 7325, the opcode map field 7315, and the real opcode field 7330.

Register Index Field

[0520] Figure 73C is a block diagram illustrating the fields of the specific vector friendly instruction format 7300 that make up the register index field 7244 according to one embodiment of the disclosure. Specifically, the register index field 7244 includes the REX field 7305, the REX’ field 7310, the MODR/M.reg field 7344, the MODR/M.r/m field 7346, the VVVV field 7320, xxx field 7354, and the bbb field 7356.

Augmentation Operation Field

[0521] Figure 73D is a block diagram illustrating the fields of the specific vector friendly instruction format 7300 that make up the augmentation operation field 7250 according to one embodiment of the disclosure. When the class (U) field 7268 contains 0, it signifies EVEX.U0 (class A 7268A); when it contains 1, it signifies EVEX.U1 (class B 7268B).

When U=0 and the MOD field 7342 contains 11 (signifying a no memory access operation), the alpha field 7252 (EVEX byte 3, bit [7] - EH) is interpreted as the rs field 7252A. When the rs field 7252A contains a 1 (round 7252A.1), the beta field 7254 (EVEX byte 3, bits [6:4]- SSS) is interpreted as the round control field 7254A. The round control field 7254A includes a one bit SAE field 7256 and a two bit round operation field 7258. When the rs field 7252A contains a 0 (data transform 7252A.2), the beta field 7254 (EVEX byte 3, bits [6:4]- SSS) is interpreted as a three bit data transform field 7254B. When U=0 and the MOD field 7342 contains 00, 01, or 10 (signifying a memory access operation), the alpha field 7252 (EVEX byte 3, bit [7] - EH) is interpreted as the eviction hint (EH) field 7252B and the beta field 7254 (EVEX byte 3, bits [6:4]- SSS) is interpreted as a three bit data manipulation field 7254C.

[0522] When U=l, the alpha field 7252 (EVEX byte 3, bit [7] - EH) is interpreted as the write mask control (Z) field 7252C. When U=l and the MOD field 7342 contains 11 (signifying a no memory access operation), part of the beta field 7254 (EVEX byte 3, bit [4]- So) is interpreted as the RL field 7257A; when it contains a 1 (round 7257A.1) the rest of the beta field 7254 (EVEX byte 3, bit [6-5]- S 2-i ) is interpreted as the round operation field 7259A, while when the RL field 7257A contains a 0 (VSIZE 7257. A2) the rest of the beta field 7254 (EVEX byte 3, bit [6-5]- S2-1) is interpreted as the vector length field 7259B (EVEX byte 3, bit [6-5]- L1-0). When U=l and the MOD field 7342 contains 00, 01, or 10 (signifying a memory access operation), the beta field 7254 (EVEX byte 3, bits [6:4]- SSS) is interpreted as the vector length field 7259B (EVEX byte 3, bit [6-5]- Li -0 ) and the broadcast field 7257B (EVEX byte 3, bit [4]- B).

Exemplary Register Architecture [0523] Figure 74 is a block diagram of a register architecture 7400 according to one embodiment of the disclosure. In the embodiment illustrated, there are 32 vector registers 7410 that are 512 bits wide; these registers are referenced as zmmO through zmm3l. The lower order 256 bits of the lower 16 zmm registers are overlaid on registers ymm0-l6. The lower order 128 bits of the lower 16 zmm registers (the lower order 128 bits of the ymm registers) are overlaid on registers xmm0-15. The specific vector friendly instruction format 7300 operates on these overlaid register file as illustrated in the below tables.

[0524] In other words, the vector length field 7259B selects between a maximum length and one or more other shorter lengths, where each such shorter length is half the length of the preceding length; and instructions templates without the vector length field 7259B operate on the maximum vector length. Further, in one embodiment, the class B instruction templates of the specific vector friendly instruction format 7300 operate on packed or scalar single/double precision floating point data and packed or scalar integer data. Scalar operations are operations performed on the lowest order data element position in an zmm/ymm/xmm register; the higher order data element positions are either left the same as they were prior to the instruction or zeroed depending on the embodiment.

[0525] Write mask registers 7415 - in the embodiment illustrated, there are 8 write mask registers (kO through k7), each 64 bits in size. In an alternate embodiment, the write mask registers 7415 are 16 bits in size. As previously described, in one embodiment of the disclosure, the vector mask register kO cannot be used as a write mask; when the encoding that would normally indicate kO is used for a write mask, it selects a hardwired write mask of OxFFFF, effectively disabling write masking for that instruction.

[0526] General-purpose registers 7425 - in the embodiment illustrated, there are sixteen 64-bit general-purpose registers that are used along with the existing x86 addressing modes to address memory operands. These registers are referenced by the names RAX, RBX, RCX, RDX, RBP, RSI, RDI, RSP, and R8 through R15.

[0527] Scalar floating point stack register file (x87 stack) 7445, on which is aliased the MMX packed integer flat register file 7450 - in the embodiment illustrated, the x87 stack is an eight-element stack used to perform scalar floating-point operations on 32/64/80-bit floating point data using the x87 instruction set extension; while the MMX registers are used to perform operations on 64-bit packed integer data, as well as to hold operands for some operations performed between the MMX and XMM registers.

[0528] Alternative embodiments of the disclosure may use wider or narrower registers. Additionally, alternative embodiments of the disclosure may use more, less, or different register files and registers.

Exemplary Core Architectures, Processors, and Computer Architectures

[0529] Processor cores may be implemented in different ways, for different purposes, and in different processors. For instance, implementations of such cores may include: 1) a general purpose in-order core intended for general-purpose computing; 2) a high performance general purpose out-of-order core intended for general-purpose computing; 3) a special purpose core intended primarily for graphics and/or scientific (throughput) computing.

Implementations of different processors may include: 1) a CPU including one or more general purpose in-order cores intended for general-purpose computing and/or one or more general purpose out-of-order cores intended for general-purpose computing; and 2) a coprocessor including one or more special purpose cores intended primarily for graphics and/or scientific (throughput). Such different processors lead to different computer system architectures, which may include: 1) the coprocessor on a separate chip from the CPU; 2) the coprocessor on a separate die in the same package as a CPU; 3) the coprocessor on the same die as a CPU (in which case, such a coprocessor is sometimes referred to as special purpose logic, such as integrated graphics and/or scientific (throughput) logic, or as special purpose cores); and 4) a system on a chip that may include on the same die the described CPU (sometimes referred to as the application core(s) or application processor(s)), the above described coprocessor, and additional functionality. Exemplary core architectures are described next, followed by descriptions of exemplary processors and computer architectures.

Exemplary Core Architectures

In-order and out-of-order core block diagram

[0530] Figure 75A is a block diagram illustrating both an exemplary in-order pipeline and an exemplary register renaming, out-of-order issue/execution pipeline according to embodiments of the disclosure. Figure 75B is a block diagram illustrating both an exemplary embodiment of an in-order architecture core and an exemplary register renaming, out-of- order issue/execution architecture core to be included in a processor according to

embodiments of the disclosure. The solid lined boxes in Figures 75A-B illustrate the in- order pipeline and in-order core, while the optional addition of the dashed lined boxes illustrates the register renaming, out-of-order issue/execution pipeline and core. Given that the in-order aspect is a proper subset of the out-of-order aspect, the out-of-order aspect will be described.

[0531] In Figure 75A, a processor pipeline 7500 includes a fetch stage 7502, a length decode stage 7504, a decode stage 7506, an allocation stage 7508, a renaming stage 7510, a scheduling (also known as a dispatch or issue) stage 7512, a register read/memory read stage 7514, an execute stage 7516, a write back/memory write stage 7518, an exception handling stage 7522, and a commit stage 7524.

[0532] Figure 75B shows processor core 7590 including a front end unit 7530 coupled to an execution engine unit 7550, and both are coupled to a memory unit 7570. The core 7590 may be a reduced instruction set computing (RISC) core, a complex instruction set computing (CISC) core, a very long instruction word (VLIW) core, or a hybrid or alternative core type. As yet another option, the core 7590 may be a special-purpose core, such as, for example, a network or communication core, compression engine, coprocessor core, general purpose computing graphics processing unit (GPGPU) core, graphics core, or the like.

[0533] The front end unit 7530 includes a branch prediction unit 7532 coupled to an instruction cache unit 7534, which is coupled to an instruction translation lookaside buffer (TLB) 7536, which is coupled to an instruction fetch unit 7538, which is coupled to a decode unit 7540. The decode unit 7540 (or decoder or decoder unit) may decode instructions (e.g., macro-instructions), and generate as an output one or more micro-operations, micro-code entry points, micro-instructions, other instructions, or other control signals, which are decoded from, or which otherwise reflect, or are derived from, the original instructions. The decode unit 7540 may be implemented using various different mechanisms. Examples of suitable mechanisms include, but are not limited to, look-up tables, hardware

implementations, programmable logic arrays (PLAs), microcode read only memories (ROMs), etc. In one embodiment, the core 7590 includes a microcode ROM or other medium that stores microcode for certain macro-instructions (e.g., in decode unit 7540 or otherwise within the front end unit 7530). The decode unit 7540 is coupled to a

rename/allocator unit 7552 in the execution engine unit 7550.

[0534] The execution engine unit 7550 includes the rename/allocator unit 7552 coupled to a retirement unit 7554 and a set of one or more scheduler unit(s) 7556. The scheduler unit(s) 7556 represents any number of different schedulers, including reservations stations, central instruction window, etc. The scheduler unit(s) 7556 is coupled to the physical register file(s) unit(s) 7558. Each of the physical register file(s) units 7558 represents one or more physical register files, different ones of which store one or more different data types, such as scalar integer, scalar floating point, packed integer, packed floating point, vector integer, vector floating point,, status (e.g., an instruction pointer that is the address of the next instruction to be executed), etc. In one embodiment, the physical register file(s) unit 7558 comprises a vector registers unit, a write mask registers unit, and a scalar registers unit.

These register units may provide architectural vector registers, vector mask registers, and general purpose registers. The physical register file(s) unit(s) 7558 is overlapped by the retirement unit 7554 to illustrate various ways in which register renaming and out-of-order execution may be implemented (e.g., using a reorder buffer(s) and a retirement register file(s); using a future file(s), a history buffer(s), and a retirement register file(s); using a register maps and a pool of registers; etc.). The retirement unit 7554 and the physical register file(s) unit(s) 7558 are coupled to the execution cluster(s) 7560. The execution cluster(s)

7560 includes a set of one or more execution units 7562 and a set of one or more memory access units 7564. The execution units 7562 may perform various operations (e.g., shifts, addition, subtraction, multiplication) and on various types of data (e.g., scalar floating point, packed integer, packed floating point, vector integer, vector floating point). While some embodiments may include a number of execution units dedicated to specific functions or sets of functions, other embodiments may include only one execution unit or multiple execution units that all perform all functions. The scheduler unit(s) 7556, physical register file(s) unit(s) 7558, and execution cluster(s) 7560 are shown as being possibly plural because certain embodiments create separate pipelines for certain types of data/operations (e.g., a scalar integer pipeline, a scalar floating point/packed integer/packed floating point/vector integer/vector floating point pipeline, and/or a memory access pipeline that each have their own scheduler unit, physical register file(s) unit, and/or execution cluster - and in the case of a separate memory access pipeline, certain embodiments are implemented in which only the execution cluster of this pipeline has the memory access unit(s) 7564). It should also be understood that where separate pipelines are used, one or more of these pipelines may be out- of-order issue/execution and the rest in-order.

[0535] The set of memory access units 7564 is coupled to the memory unit 7570, which includes a data TLB unit 7572 coupled to a data cache unit 7574 coupled to a level 2 (L2) cache unit 7576. In one exemplary embodiment, the memory access units 7564 may include a load unit, a store address unit, and a store data unit, each of which is coupled to the data TLB unit 7572 in the memory unit 7570. The instruction cache unit 7534 is further coupled to a level 2 (L2) cache unit 7576 in the memory unit 7570. The L2 cache unit 7576 is coupled to one or more other levels of cache and eventually to a main memory.

[0536] By way of example, the exemplary register renaming, out-of-order issue/execution core architecture may implement the pipeline 7500 as follows: 1) the instruction fetch 7538 performs the fetch and length decoding stages 7502 and 7504; 2) the decode unit 7540 performs the decode stage 7506; 3) the rename/allocator unit 7552 performs the allocation stage 7508 and renaming stage 7510; 4) the scheduler unit(s) 7556 performs the schedule stage 7512; 5) the physical register file(s) unit(s) 7558 and the memory unit 7570 perform the register read/memory read stage 7514; the execution cluster 7560 perform the execute stage 7516; 6) the memory unit 7570 and the physical register file(s) unit(s) 7558 perform the write back/memory write stage 7518; 7) various units may be involved in the exception handling stage 7522; and 8) the retirement unit 7554 and the physical register file(s) unit(s) 7558 perform the commit stage 7524.

[0537] The core 7590 may support one or more instructions sets (e.g., the x86 instruction set (with some extensions that have been added with newer versions); the MIPS instruction set of MIPS Technologies of Sunnyvale, CA; the ARM instruction set (with optional additional extensions such as NEON) of ARM Holdings of Sunnyvale, CA), including the instmction(s) described herein. In one embodiment, the core 7590 includes logic to support a packed data instruction set extension (e.g., AVX1, AVX2), thereby allowing the operations used by many multimedia applications to be performed using packed data. [0538] It should be understood that the core may support multithreading (executing two or more parallel sets of operations or threads), and may do so in a variety of ways including time sliced multithreading, simultaneous multithreading (where a single physical core provides a logical core for each of the threads that physical core is simultaneously multithreading), or a combination thereof (e.g., time sliced fetching and decoding and simultaneous multithreading thereafter such as in the Intel® Hyperthreading technology).

[0539] While register renaming is described in the context of out-of-order execution, it should be understood that register renaming may be used in an in-order architecture. While the illustrated embodiment of the processor also includes separate instruction and data cache units 7534/ 7574 and a shared L2 cache unit 7576, alternative embodiments may have a single internal cache for both instructions and data, such as, for example, a Level 1 (Ll) internal cache, or multiple levels of internal cache. In some embodiments, the system may include a combination of an internal cache and an external cache that is external to the core and/or the processor. Alternatively, all of the cache may be external to the core and/or the processor.

Specific Exemplary In-Order Core Architecture

[0540] Figures 76A-B illustrate a block diagram of a more specific exemplary in-order core architecture, which core would be one of several logic blocks (including other cores of the same type and/or different types) in a chip. The logic blocks communicate through a high-bandwidth interconnect network (e.g., a ring network) with some fixed function logic, memory I/O interfaces, and other necessary I/O logic, depending on the application.

[0541] Figure 76A is a block diagram of a single processor core, along with its connection to the on-die interconnect network 7602 and with its local proper subset of the Level 2 (L2) cache 7604, according to embodiments of the disclosure. In one embodiment, an instruction decode unit 7600 supports the x86 instruction set with a packed data instruction set extension. An Ll cache 7606 allows low-latency accesses to cache memory into the scalar and vector units. While in one embodiment (to simplify the design), a scalar unit 7608 and a vector unit 7610 use separate register sets (respectively, scalar registers 7612 and vector registers 7614) and data transferred between them is written to memory and then read back in from a level 1 (Ll) cache 7606, alternative embodiments of the disclosure may use a different approach (e.g., use a single register set or include a communication path that allow data to be transferred between the two register files without being written and read back). [0542] The local proper subset of the L2 cache 7604 is part of a global L2 cache that is divided into separate local proper subsets, one per processor core. Each processor core has a direct access path to its own local proper subset of the L2 cache 7604. Data read by a processor core is stored in its L2 cache proper subset 7604 and can be accessed quickly, in parallel with other processor cores accessing their own local L2 cache proper subsets. Data written by a processor core is stored in its own L2 cache proper subset 7604 and is flushed from other proper subsets, if necessary. The ring network ensures coherency for shared data. The ring network is bi-directional to allow agents such as processor cores, hf caches and other logic blocks to communicate with each other within the chip. Each ring data-path is l0l2-bits wide per direction.

[0543] Figure 76B is an expanded view of part of the processor core in Figure 76A according to embodiments of the disclosure. Figure 76B includes an Ll data cache 7606A part of the Ll cache 7604, as well as more detail regarding the vector unit 7610 and the vector registers 7614. Specifically, the vector unit 7610 is a 16- wide vector processing unit (VPU) (see the l6-wide ALU 7628), which executes one or more of integer, single-precision float, and double-precision float instructions. The VPU supports swizzling the register inputs with swizzle unit 7620, numeric conversion with numeric convert units 7622A-B, and replication with replication unit 7624 on the memory input. Write mask registers 7626 allow predicating resulting vector writes.

[0544] Figure 77 is a block diagram of a processor 7700 that may have more than one core, may have an integrated memory controller, and may have integrated graphics according to embodiments of the disclosure. The solid lined boxes in Figure 77 illustrate a processor 7700 with a single core 7702A, a system agent 7710, a set of one or more bus controller units 7716, while the optional addition of the dashed lined boxes illustrates an alternative processor 7700 with multiple cores 7702A-N, a set of one or more integrated memory controller unit(s) 7714 in the system agent unit 7710, and special purpose logic 7708.

[0545] Thus, different implementations of the processor 7700 may include: 1) a CPU with the special purpose logic 7708 being integrated graphics and/or scientific (throughput) logic (which may include one or more cores), and the cores 7702A-N being one or more general purpose cores (e.g., general purpose in-order cores, general purpose out-of-order cores, a combination of the two); 2) a coprocessor with the cores 7702A-N being a large number of special purpose cores intended primarily for graphics and/or scientific

(throughput); and 3) a coprocessor with the cores 7702A-N being a large number of general purpose in-order cores. Thus, the processor 7700 may be a general-purpose processor, coprocessor or special-purpose processor, such as, for example, a network or communication processor, compression engine, graphics processor, GPGPU (general purpose graphics processing unit), a high-throughput many integrated core (MIC) coprocessor (including 30 or more cores), embedded processor, or the like. The processor may be implemented on one or more chips. The processor 7700 may be a part of and/or may be implemented on one or more substrates using any of a number of process technologies, such as, for example, BiCMOS, CMOS, or NMOS.

[0546] The memory hierarchy includes one or more levels of cache within the cores, a set or one or more shared cache units 7706, and external memory (not shown) coupled to the set of integrated memory controller units 7714. The set of shared cache units 7706 may include one or more mid-level caches, such as level 2 (L2), level 3 (L3), level 4 (L4), or other levels of cache, a last level cache (LLC), and/or combinations thereof. While in one embodiment a ring based interconnect unit 7712 interconnects the integrated graphics logic 7708, the set of shared cache units 7706, and the system agent unit 77l0/integrated memory controller unit(s) 7714, alternative embodiments may use any number of well-known techniques for interconnecting such units. In one embodiment, coherency is maintained between one or more cache units 7706 and cores 7702-A-N.

[0547] In some embodiments, one or more of the cores 7702A-N are capable of multi threading. The system agent 7710 includes those components coordinating and operating cores 7702A-N. The system agent unit 7710 may include for example a power control unit (PCU) and a display unit. The PCU may be or include logic and components needed for regulating the power state of the cores 7702A-N and the integrated graphics logic 7708. The display unit is for driving one or more externally connected displays.

[0548] The cores 7702A-N may be homogenous or heterogeneous in terms of architecture instruction set; that is, two or more of the cores 7702A-N may be capable of execution the same instruction set, while others may be capable of executing only a proper subset of that instruction set or a different instruction set.

Exemplary Computer Architectures

[0549] Figures 78- 81 are block diagrams of exemplary computer architectures. Other system designs and configurations known in the arts for laptops, desktops, handheld PCs, personal digital assistants, engineering workstations, servers, network devices, network hubs, switches, embedded processors, digital signal processors (DSPs), graphics devices, video game devices, set-top boxes, micro controllers, cell phones, portable media players, hand held devices, and various other electronic devices, are also suitable. In general, a huge variety of systems or electronic devices capable of incorporating a processor and/or other execution logic as disclosed herein are generally suitable.

[0550] Referring now to Figure 78, shown is a block diagram of a system 7800 in accordance with one embodiment of the present disclosure. The system 7800 may include one or more processors 7810, 7815, which are coupled to a controller hub 7820. In one embodiment the controller hub 7820 includes a graphics memory controller hub (GMCH) 7890 and an Input/Output Hub (IOH) 7850 (which may be on separate chips); the GMCH 7890 includes memory and graphics controllers to which are coupled memory 7840 and a coprocessor 7845; the IOH 7850 is couples input/output (I/O) devices 7860 to the GMCH 7890. Alternatively, one or both of the memory and graphics controllers are integrated within the processor (as described herein), the memory 7840 and the coprocessor 7845 are coupled directly to the processor 7810, and the controller hub 7820 in a single chip with the IOH 7850. Memory 7840 may include a compiler moudle 7840A, for example, to store code that when executed causes a processor to perform any method of this disclosure.

[0551] The optional nature of additional processors 7815 is denoted in Figure 78 with broken lines. Each processor 7810, 7815 may include one or more of the processing cores described herein and may be some version of the processor 7700.

[0552] The memory 7840 may be, for example, dynamic random access memory (DRAM), phase change memory (PCM), or a combination of the two. For at least one embodiment, the controller hub 7820 communicates with the processor(s) 7810, 7815 via a multi-drop bus, such as a frontside bus (FSB), point-to-point interface such as QuickPath Interconnect (QPI), or similar connection 7895.

[0553] In one embodiment, the coprocessor 7845 is a special-purpose processor, such as, for example, a high-throughput MIC processor, a network or communication processor, compression engine, graphics processor, GPGPU, embedded processor, or the like. In one embodiment, controller hub 7820 may include an integrated graphics accelerator.

[0554] There can be a variety of differences between the physical resources 7810, 7815 in terms of a spectrum of metrics of merit including architectural, microarchitectural, thermal, power consumption characteristics, and the like. [0555] In one embodiment, the processor 7810 executes instructions that control data processing operations of a general type. Embedded within the instructions may be coprocessor instructions. The processor 7810 recognizes these coprocessor instructions as being of a type that should be executed by the attached coprocessor 7845. Accordingly, the processor 7810 issues these coprocessor instructions (or control signals representing coprocessor instructions) on a coprocessor bus or other interconnect, to coprocessor 7845. Coprocessor(s) 7845 accept and execute the received coprocessor instructions.

[0556] Referring now to Figure 79, shown is a block diagram of a first more specific exemplary system 7900 in accordance with an embodiment of the present disclosure. As shown in Figure 79, multiprocessor system 7900 is a point-to-point interconnect system, and includes a first processor 7970 and a second processor 7980 coupled via a point-to-point interconnect 7950. Each of processors 7970 and 7980 may be some version of the processor 7700. In one embodiment of the disclosure, processors 7970 and 7980 are respectively processors 7810 and 7815, while coprocessor 7938 is coprocessor 7845. In another embodiment, processors 7970 and 7980 are respectively processor 7810 coprocessor 7845.

[0557] Processors 7970 and 7980 are shown including integrated memory controller (IMC) units 7972 and 7982, respectively. Processor 7970 also includes as part of its bus controller units point-to-point (P-P) interfaces 7976 and 7978; similarly, second processor 7980 includes P-P interfaces 7986 and 7988. Processors 7970, 7980 may exchange information via a point-to-point (P-P) interface 7950 using P-P interface circuits 7978, 7988. As shown in Figure 79, IMCs 7972 and 7982 couple the processors to respective memories, namely a memory 7932 and a memory 7934, which may be portions of main memory locally attached to the respective processors.

[0558] Processors 7970, 7980 may each exchange information with a chipset 7990 via individual P-P interfaces 7952, 7954 using point to point interface circuits 7976, 7994, 7986, 7998. Chipset 7990 may optionally exchange information with the coprocessor 7938 via a high-performance interface 7939. In one embodiment, the coprocessor 7938 is a special- purpose processor, such as, for example, a high-throughput MIC processor, a network or communication processor, compression engine, graphics processor, GPGPU, embedded processor, or the like.

[0559] A shared cache (not shown) may be included in either processor or outside of both processors, yet connected with the processors via P-P interconnect, such that either or both processors’ local cache information may be stored in the shared cache if a processor is placed into a low power mode.

[0560] Chipset 7990 may be coupled to a first bus 7916 via an interface 7996. In one embodiment, first bus 7916 may be a Peripheral Component Interconnect (PCI) bus, or a bus such as a PCI Express bus or another third generation I/O interconnect bus, although the scope of the present disclosure is not so limited.

[0561] As shown in Figure 79, various I/O devices 7914 may be coupled to first bus 7916, along with a bus bridge 7918 which couples first bus 7916 to a second bus 7920. In one embodiment, one or more additional processor(s) 7915, such as coprocessors, high- throughput MIC processors, GPGPU’s, accelerators (such as, e.g., graphics accelerators or digital signal processing (DSP) units), field programmable gate arrays, or any other processor, are coupled to first bus 7916. In one embodiment, second bus 7920 may be a low pin count (LPC) bus. Various devices may be coupled to a second bus 7920 including, for example, a keyboard and/or mouse 7922, communication devices 7927 and a storage unit 7928 such as a disk drive or other mass storage device which may include instructions/code and data 7930, in one embodiment. Further, an audio I/O 7924 may be coupled to the second bus 7920. Note that other architectures are possible. For example, instead of the point-to- point architecture of Figure 79, a system may implement a multi-drop bus or other such architecture.

[0562] Referring now to Figure 80, shown is a block diagram of a second more specific exemplary system 8000 in accordance with an embodiment of the present disclosure. Like elements in Figures 79 and 80 bear like reference numerals, and certain aspects of Figure 79 have been omitted from Figure 80 in order to avoid obscuring other aspects of Figure 80.

[0563] Figure 80 illustrates that the processors 7970, 7980 may include integrated memory and I/O control logic (“CL”) 7972 and 7982, respectively. Thus, the CL 7972, 7982 include integrated memory controller units and include I/O control logic. Figure 80 illustrates that not only are the memories 7932, 7934 coupled to the CL 7972, 7982, but also that I/O devices 8014 are also coupled to the control logic 7972, 7982. Legacy I/O devices 8015 are coupled to the chipset 7990.

[0564] Referring now to Figure 81, shown is a block diagram of a SoC 8100 in accordance with an embodiment of the present disclosure. Similar elements in Figure 77 bear like reference numerals. Also, dashed lined boxes are optional features on more advanced SoCs. In Figure 81, an interconnect unit(s) 8102 is coupled to: an application processor 8110 which includes a set of one or more cores 202A-N and shared cache unit(s) 7706; a system agent unit 7710; a bus controller unit(s) 7716; an integrated memory controller unit(s) 7714; a set or one or more coprocessors 8120 which may include integrated graphics logic, an image processor, an audio processor, and a video processor; an static random access memory (SRAM) unit 8130; a direct memory access (DMA) unit 8132; and a display unit 8140 for coupling to one or more external displays. In one embodiment, the coprocessor(s) 8120 include a special-purpose processor, such as, for example, a network or communication processor, compression engine, GPGPU, a high-throughput MIC processor, embedded processor, or the like.

[0565] Embodiments (e.g., of the mechanisms) disclosed herein may be implemented in hardware, software, firmware, or a combination of such implementation approaches.

Embodiments of the disclosure may be implemented as computer programs or program code executing on programmable systems comprising at least one processor, a storage system (including volatile and non-volatile memory and/or storage elements), at least one input device, and at least one output device.

[0566] Program code, such as code 7930 illustrated in Figure 79, may be applied to input instructions to perform the functions described herein and generate output information. The output information may be applied to one or more output devices, in known fashion. For purposes of this application, a processing system includes any system that has a processor, such as, for example; a digital signal processor (DSP), a microcontroller, an application specific integrated circuit (ASIC), or a microprocessor.

[0567] The program code may be implemented in a high level procedural or object oriented programming language to communicate with a processing system. The program code may also be implemented in assembly or machine language, if desired. In fact, the mechanisms described herein are not limited in scope to any particular programming language. In any case, the language may be a compiled or interpreted language.

[0568] One or more aspects of at least one embodiment may be implemented by representative instructions stored on a machine-readable medium which represents various logic within the processor, which when read by a machine causes the machine to fabricate logic to perform the techniques described herein. Such representations, known as“IP cores” may be stored on a tangible, machine readable medium and supplied to various customers or manufacturing facilities to load into the fabrication machines that actually make the logic or processor. [0569] Such machine-readable storage media may include, without limitation, non- transitory, tangible arrangements of articles manufactured or formed by a machine or device, including storage media such as hard disks, any other type of disk including floppy disks, optical disks, compact disk read-only memories (CD-ROMs), compact disk rewritable’s (CD- RWs), and magneto-optical disks, semiconductor devices such as read-only memories (ROMs), random access memories (RAMs) such as dynamic random access memories (DRAMs), static random access memories (SRAMs), erasable programmable read-only memories (EPROMs), flash memories, electrically erasable programmable read-only memories (EEPROMs), phase change memory (PCM), magnetic or optical cards, or any other type of media suitable for storing electronic instructions.

[0570] Accordingly, embodiments of the disclosure also include non-transitory, tangible machine -readable media containing instructions or containing design data, such as Hardware Description Language (HDL), which defines structures, circuits, apparatuses, processors and/or system features described herein. Such embodiments may also be referred to as program products.

Emulation (including binary translation, code morphing, etc.)

[0571] In some cases, an instruction converter may be used to convert an instruction from a source instruction set to a target instruction set. For example, the instruction converter may translate (e.g., using static binary translation, dynamic binary translation including dynamic compilation), morph, emulate, or otherwise convert an instruction to one or more other instructions to be processed by the core. The instruction converter may be implemented in software, hardware, firmware, or a combination thereof. The instruction converter may be on processor, off processor, or part on and part off processor.

[0572] Figure 82 is a block diagram contrasting the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set according to embodiments of the disclosure. In the illustrated embodiment, the instruction converter is a software instruction converter, although alternatively the instruction converter may be implemented in software, firmware, hardware, or various combinations thereof. Figure 82 shows a program in a high level language 8202 may be compiled using an x86 compiler 8204 to generate x86 binary code 8206 that may be natively executed by a processor with at least one x86 instruction set core 8216. The processor with at least one x86 instruction set core 8216 represents any processor that can perform substantially the same functions as an Intel processor with at least one x86 instruction set core by compatibly executing or otherwise processing (1) a substantial portion of the instruction set of the Intel x86 instruction set core or (2) object code versions of applications or other software targeted to run on an Intel processor with at least one x86 instruction set core, in order to achieve substantially the same result as an Intel processor with at least one x86 instruction set core. The x86 compiler 8204 represents a compiler that is operable to generate x86 binary code 8206 (e.g., object code) that can, with or without additional linkage processing, be executed on the processor with at least one x86 instmction set core 8216. Similarly, Figure 82 shows the program in the high level language 8202 may be compiled using an alternative instmction set compiler 8208 to generate alternative instmction set binary code 8210 that may be natively executed by a processor without at least one x86 instmction set core 8214 (e.g., a processor with cores that execute the MIPS instmction set of MIPS Technologies of Sunnyvale, CA and/or that execute the ARM instmction set of ARM Holdings of Sunnyvale, CA). The instmction converter 8212 is used to convert the x86 binary code 8206 into code that may be natively executed by the processor without an x86 instmction set core 8214. This converted code is not likely to be the same as the alternative instmction set binary code 8210 because an instmction converter capable of this is difficult to make; however, the converted code will accomplish the general operation and be made up of instructions from the alternative instmction set. Thus, the instmction converter 8212 represents software, firmware, hardware, or a combination thereof that, through emulation, simulation or any other process, allows a processor or other electronic device that does not have an x86 instmction set processor or core to execute the x86 binary code 8206.