Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
BROADBAND PLASMA PROCESSING SYSTEMS AND METHODS
Document Type and Number:
WIPO Patent Application WO/2022/075975
Kind Code:
A1
Abstract:
An exemplary plasma processing system includes a plasma processing chamber, an electrode for powering plasma in the plasma processing chamber, a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency. The second frequency is at least 1.1 times the first frequency. The system includes a broadband power amplifier coupled to the tunable RF signal generator, the first frequency and the second frequency being within an operating frequency range of the broadband power amplifier. The output of the broadband power amplifier is coupled to the electrode. The broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency.

Inventors:
ZHAO JIANPING (US)
VENTZEK PETER (US)
Application Number:
PCT/US2020/054402
Publication Date:
April 14, 2022
Filing Date:
October 06, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOKYO ELECTRON LTD (JP)
TOKYO ELECTRON US HOLDINGS INC (US)
International Classes:
H01J37/32
Foreign References:
US6043607A2000-03-28
US20070107844A12007-05-17
US20040060660A12004-04-01
US5865937A1999-02-02
US20120064726A12012-03-15
US20210082666A12021-03-18
Attorney, Agent or Firm:
MEHIGAN, Jason, D. (US)
Download PDF:
Claims:
WHAT IS CLAIMED IS:

1. A plasma processing system comprising: a plasma processing chamber; a first electrode for powering a plasma in the plasma processing chamber; a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency, the second frequency being at least 1.1 times the first frequency; and a broadband power amplifier coupled to the tunable RF signal generator, the first frequency and the second frequency being within an operating frequency range of the broadband power amplifier, wherein an output of the broadband power amplifier is coupled to the first electrode, wherein the broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency.

2. The plasma processing system of claim 1, wherein the broadband power amplifier is configured to supply simultaneously, at the output, the first power and the second power.

3. The plasma processing system of claim 1, wherein the broadband power amplifier is configured to supply sequentially, at the output, the first power and the second power.

4. The plasma processing system of claim 1, further comprising: a second electrode disposed in the plasma processing chamber, the second electrode being coupled to the output of the broadband power amplifier.

5. The plasma processing system of claim 4, further comprising: a first bandpass filter disposed between the output of the broadband power amplifier and the first electrode, the first bandpass filter having a first passband to pass through the first frequency and filter the second frequency; and a second bandpass filter disposed between the output of the broadband power amplifier and the second electrode, the second bandpass filter having a second passband to pass through the second frequency and filter the first frequency.

25

6. The plasma processing system of claim 1, further comprising: a chopper circuit disposed between the tunable RF signal generator and the broadband power amplifier, the chopper circuit configured to modulate the first signal and the second signal with a lower frequency pulse signal.

7. The plasma processing system of claim 6, wherein the chopper circuit comprises : a low frequency pulse generator; and electronic switches disposed in a signal path between the tunable RF signal generator and the broadband power amplifier, the electronic switches being controlled by the low frequency pulse generator.

8. The plasma processing system of claim 1, wherein the output of the broadband power amplifier comprises a first output port to output the first power at the first frequency and a second output port to output the second power at the second frequency.

9. The plasma processing system of claim 1, wherein the output of the broadband power amplifier comprises a single output port to output the first power at the first frequency and the second power at the second frequency.

10. A plasma processing system comprising: a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency, the second frequency being at least 1.1 times the first frequency; a broadband power amplifier coupled to the tunable RF signal generator, the first frequency and the second frequency being within an operating frequency range of the broadband power amplifier, wherein an output of the broadband power amplifier is configured to be coupled to an electrode of a plasma processing chamber, wherein the broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency, and provide a feedback to tune the output of the broadband power amplifier to the first frequency or the second frequency.

11. The plasma processing system of claim 10, wherein the broadband power amplifier comprises: a plurality of power amplifiers coupled to an input of the broadband power amplifier; a combiner coupled to an output of the plurality of power amplifiers ; a directional coupler coupled to an output of the combiner and having an output coupled to the output of the broadband power amplifier; and an impedance power analyzer circuit coupled to the directional coupler or a V-I sensor and configured to provide a feedback signal.

12. The plasma processing system of claim 11, further comprising: an output matching network circuit coupled between the output of the combiner and the directional coupler or a V-I sensor, the output matching network circuit comprising electronically configurable network of passive elements.

13. The plasma processing system of claim 12, further comprising: a programmable controller; a first signal path coupling the programmable controller to the tunable RF signal generator; a second signal path coupling the programmable controller to the output matching network circuit; and a third signal path coupling the impedance power analyzer circuit to the programmable controller.

14. The plasma processing system of claim 13, wherein the programmable controller is configured to: receive the feedback signal from the impedance power analyzer circuit through the third signal path; provide a first control signal to the tunable RF signal generator through the first signal path; and provide a second control signal to the output matching network circuit.

15. The plasma processing system of claim 14, wherein the output matching network circuit is configured to reconfigure the output matching network circuit based on the second control signal.

16. A method of operating a plasma processing system, the method comprising: generating a radio frequency (RF) signal at tunable RF signal generator; at a broadband power amplifier, amplifying the RF signal to generate an amplified RF signal; supplying the amplified RF signal to power a plasma within a plasma processing chamber; generating a feedback signal by measuring an impedance of the plasma; and adjusting a frequency of the RF signal at the tunable RF signal generator based on the feedback signal.

17. The method of claim 16, further comprising reconfiguring an output matching network circuit based on the feedback signal.

18. The method of claim 16, further comprising: supplying the amplified RF signal comprises supplying the amplified RF signal to a top electrode within the plasma processing chamber or to a substrate holder within the plasma processing chamber.

19. The method of claim 16, further comprising: supplying the amplified RF signal comprises supplying the amplified RF signal to a first bandpass filter; outputting the amplified RF signal through the first bandpass filter when the amplified RF signal has a frequency within a first frequency range; coupling the output of the first bandpass filter to atop electrode within the plasma processing chamber;

28 supplying the amplified RF signal comprises supplying the amplified RF signal to a second bandpass filter; outputting the amplified RF signal through the second bandpass filter when the amplified RF signal has a frequency within a second frequency range; and coupling the output of the second bandpass filter to a substrate holder within the plasma processing chamber.

20. The method of claim 16, further comprising modulating the amplified RF signal with a lower frequency pulse signal, wherein supplying the amplified RF signal to power the plasma comprises supplying the modulated amplified RF signal to power the plasma.

29

Description:
BROADBAND PLASMA PROCESSING SYSTEMS AND METHODS

TECHNICAL FIELD

[0001] The present invention relates generally to plasma systems and methods of operation, and, in particular embodiments, to broadband plasma processing systems and methods.

BACKGROUND

[0002] Generally, semiconductor devices, such as integrated circuits (ICs) are fabricated by sequentially depositing and patterning layers of dielectric, conductive, and semiconductor materials over a semiconductor substrate using photolithography and etch to form structures for circuit components and interconnect elements (e.g, transistors, resistors, capacitors, metal lines, contacts, and vias). Some components comprise intricate three-dimensional structures, for example, stackcapacitors in dynamic random access memory (DRAM) cells and fin field-effect transistors (FinFETs). Plasma-assisted techniques such as reactive ion etching (RIE), plasma-enhanced chemical vapor deposition (PECVD), plasma-enhanced atomic layer etch and deposition (PEALE and PEALD) have become indispensable in the deposition and etching processes used to form the semiconductor device structures.

[0003] The minimum feature sizes are periodically reduced to reduce cost by increasing packing density. Features of a few nanometers can be patterned with innovations such as immersion lithography and multiple patterning. This scaling trend intensifies the technological challenge in forming dense, high aspect ratio nanostructures. In particular, plasma processes provide the capability of forming nanostructures of accurate dimensions along with precisely controlled structural features (e.g, width, depth, edge profile, film thickness, conformality, and anisotropy), often at atomic scale dimensions, uniformly across a wide (eg., 300 mm) wafer. A variety of plasma processing techniques such as selective deposition and etch, concurrent deposition and etch, pulsed plasma processes, and cyclic processes using alternating deposition and etch cycles have been developed to overcome some of the hurdles in fabricating scaled semiconductor devices. Successful deployment of such techniques in semiconductor manufacturing may need further innovations in plasma equipment design that consider factors such as processing cost, equipment configurability, and equipment cost. SUMMARY

[0004] In accordance with an embodiment of the present invention, a plasma processing system includes a plasma processing chamber, a first electrode for powering a plasma in the plasma processing chamber, a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency. The second frequency is at least 1. 1 times the first frequency. The system further includes a broadband power amplifier coupled to the tunable RF signal generator, the first frequency and the second frequency being within an operating frequency range of the broadband power amplifier. The output of the broadband power amplifier is coupled to the first electrode. The broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency.

[0005] In accordance with an embodiment of the present invention, a plasma processing system includes a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency. The second frequency is at least 1.1 times the first frequency. The system further includes a broadband power amplifier coupled to the tunable RF signal generator. The first frequency and the second frequency is within an operating frequency range of the broadband power amplifier. The output of the broadband power amplifier is configured to be coupled to an electrode of a plasma processing chamber. The broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency, and provide a feedback to tune the output of the broadband power amplifier to the first frequency or the second frequency.

[0006] In accordance with an embodiment of the present invention, a method of operating a plasma processing system comprises generating a radio frequency (RF) signal at tunable RF signal generator; at a broadband power amplifier, amplifying the RF signal to generate an amplified RF signal; supplying the amplified RF signal to power a plasma within a plasma processing chamber; generating a feedback signal by measuring an impedance of the plasma; and adjusting a frequency of the RF signal at the tunable RF signal generator based on the feedback signal. BRIEF DESCRIPTION OF THE DRAWINGS

[0007] For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:

[0008] Figure 1 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an embodiment of the invention;

[0009] Figure 2 is a schematic of a single channel broadband RF power amplifier in accordance with an embodiment of the invention;

[0010] Figure 3 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an alternative embodiment of the invention;

[0011] Figure 4 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an alternative embodiment of the invention;

[0012] Figure 5 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an alternative embodiment of the invention;

[0013] Figure 6 is a schematic of a dual channel broadband RF power amplifier in accordance with an alternative embodiment of the invention;

[0014] Figure 7 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an embodiment of the invention;

[0015] Figure 8 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an alternative embodiment of the invention; [0016] Figure 9 is a schematic of a broadband plasma system comprising a plasma processing apparatus illustrated in a cross-sectional view in accordance with an alternative embodiment of the invention; and

[0017] Figure 10 discloses a method of operating a plasma processing system in accordance with an embodiment of the present invention.

DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

[0018] In conventional plasma processing, etching tools and deposition tools have separate processing chambers. However, many process recipes require repeated etching and deposition, which can take a significant amount of processing time as the wafer has to be transferred without breaking vacuum between the differait chambers. Combining deposition and etch processes in a single chamber can reduce processing time when such multiple deposition and etching processes are being performed. For example, a single chamber that can do a variety of etch and deposition processes can help to eliminate w afer transfer between different etch and deposition chambers. Different plasma deposition and etch processes (e.g, isotropic and directional deposition/etch) may operate at widely different radio frequencies and hence use very different hardware especially having different RF sources and matching networks. The different RF source also would have separate RF isolation, making the system more complex. Further complexity may occur if, for example, the plasma processing apparatus comprises a first RF electrode connected to an RF signal having a first RF frequency, and a second RF electrode concurrently connected to an RF signal having a second RF frequency. In addition, traditionally, tuning several separate matching networks is very timeconsuming. Not only would the tuning be done at each operating frequency but also the tuning is a slow mechanically driven procedure.

[0019] Embodiments of the present application disclose a single-chamber design, eg, for doing both etching and deposition processes. Embodiments of the present application disclose a broadband pow er amplifier coupled with an electronic feedback control system that helps to quickly toggle between etch and deposition processes. The electronic feedback control system is designed to tune a broadband RF signal generator for ultrafast impedance matching. [0020] An embodiment of a broadband plasma system utilizing a single channel broadband RF pow er amplifier is first described using Figure 1. The single channel broadband RF pow er amplifier is then described in further detail with reference to Figure 2. Other example embodiments of broadband plasma systems utilizing the single channel broadband pow er amplifier are described with reference to Figures 3 and 4. A broadband plasma system, wherein the plasma processing chamber may be operated in a dual-frequency mode using a dual channel broadband RF power amplifier is described using Figure 5. The dual channel broadband RF power amplifier is then described in further detail with reference to Figure 6. Embodiments illustrating pulsed mode operation using broadband plasma systems comprising an electronically controlled pulse generator are described with reference to Figures 7-9. An exemplary method of operating a plasma processing system will then be discussed in Figure 10.

[0021] Figure 1 illustrates a schematic representation of a broadband plasma processing system 1111 comprising a plasma processing apparatus 111 which includes, for example, a plasma processing chamber 150 illustrated in a cross-sectional view.

[0022] In one embodiment, the plasma processing chamber 150 is fitted with a first RF electrode 156 near the top and a substrate holder 158 near the bottom inside the chamber, as illustrated in Figure 1. The first RF electrode 156 and the substrate holder 158 may be circular in one embodiment. During a plasma processing step, a substrate (e.g, a semiconductor wafer) may be placed on the substrate holder 158. The substrate temperature may be adjusted by a feedback temperature control system 154 using heaters and coolers in the substrate holder 158 to maintain a specified temperature.

[0023] A programmable controller 110 may be programmed with a process recipe 120, e.g, stored in a memory of the broadband plasma processing system 1111 as programmable instructions. The process recipe 120 provides the information used to select a center frequency of a narrow band of the broadband tunable RF signal generator 100. Generally, the RF signal generator 100 generates a sinusoidal waveform In some embodiments, other waveforms may be generated, for example, a sawtooth waveform. Based on the process recipe 120, the programmable controller 110 generates a first control signal that is then transmitted to a tunable RF signal generator 100. During processing, the programmable controller 110 may refine the RF frequency with a small frequency offset within the selected narrow operating frequency band, as explained further below.

[0024] During operation, the plasma process is driven by RF pow er and DC bias supplied to one or more electrodes of the plasma processing chamber. The operation mode of the plasma processing chamber may be altered by a programmable controller with control signals controlling the frequency and magnitude of the RF power and DC bias applied at each electrode.

[0025] Based on the first control signal, the tunable RF signal generator 100 generates an RF signal that is provided at an input port I of a broadband RF power amplifier 1000. The broadband RF pow er amplifier 1000 outputs an amplified RF signal at its output port O, which is then provided to pow er the plasma, g., through the first RF electrode 156. The broadband RF power amplifier 1000 has an operating frequency range between at least 0. 1 MHz and 10 GHz. In one exemplary design of the broadband RF power amplifier 1000 an operating frequency range between 400 kHz to 3 GHz is used.

[0026] In the example embodiment illustrated in Figure 1, a direct plasma may be sustained between the substrate and the first RF electrode 156 using RF pow er coupled to the plasma from the first RF electrode 156 and a grounded substrate. In one embodiment, the grounded substrate comprises connecting the substrate holder 158 to a reference potential, referred to as ground.

[0027] The process recipe 120 is also used by the programmable controller 110 to send a second control signal to a signal port S of the broadband RF power amplifier 1000, where the second control signal is used to configure internal switches (e.g. , solid-state electronic switches such as thyristors and insulated-gate bipolar transistors (IGBTs)) that select an output matching network in the broadband RF power amplifier 1000. The selected output matching network corresponds to the center frequency of the narrow operating frequency band selected using the initial first control signal, thereby ensuring that the components of the output matching network are aligned with the operating frequency band, as specified by the process recipe 120. . [0028] A feedback signal from a feedback port Z of the broadband RF power amplifier 1000 to the programmable controller 110 fine tunes the output frequency at the output port O of the broadband RF power amplifier 1000. The feedback signal is a measure of the efficiency with which RF pow er is transferred from the broadband RF power amplifier 1000 to the plasma and may be used by the programmable controller 110 to fine tune the frequency of the RF signal by adjusting the first control signal to the RF signal generator to dynamically tune the RF signal frequency, for example, to maintain maximum power transfer efficiency. The power transfer efficiency is indicated by the fraction of power reflected at the output port O. Accordingly, in one embodiment, the forward pow er and reflected power is measured and a feedback signal proportional to the reflected pow er is generated using circuitry internal to the broadband RF power amplifier 1000, as explained in further detail below with reference to Figure 2.

[0029] Still referring to Figure 1, the plasma processing chamber 150 comprises a tubular sidewall 101, a base 105, and a top cover 103 that collectively substantially enclose the plasma processing chamber 150. The sidewall 101, base 105, and top cover 103 may be made of a conductive material such as stainless steel or aluminum coated with a film such as yttria ( g., Y x O y or Y x O y F z ), or a film consistent with the process (eg., carbon or silicon), or as known to a person skilled in the art Generally, the plasma processing chamber 150 is connected to ground although, in some embodiments, the plasma processing chamber 150 may be floating.

[0030] In the example embodiments in this disclosure, carrier and process gases are introduced into the plasma processing chamber 150 by a gas input system comprising inlets 155 in the sidewalls 101. The gas input system may include multiple inlets and may input different gases into the plasma processing chamber 150 at different times, as specified in the process recipe. For example, the design may include additional gas inlets through the top cover 103. A gas exhaust system comprising, for example, outlets 107 in the base 106 and vacuum pumps 152 may be used to remove exhaust gases such as product gases from the plasma processing chamber 150. The vacuum pumps 152 maintain a gas flow between the inlets 155 and outlets 107 of the plasma processing chamber 150. [0031] Various other components of the input and exhaust systems (eg., flow meters, pressure sensors, and control valves), plasma parameter sensors (eg., optical emission spectroscopy (OES) sensor, a quadrupole mass spectrometer (QMS), and Langmuir probe), electrostatic grids, electrical connectors, etc. inside the plasma processing chamber 150 are not shown and would be known to a person skilled in the art.

[0032] The design of the first RF electrode 156 (eg., its diameter, thickness, resistance, and selfinductance), the design of the substrate holder (e.g, its diameter, pedestal height, geometry of the built-in heating and cooling elements would be known to a person skilled in the art and therefore not discussed further.

[0033] Although components of the plasma processing apparatus 111 illustrated in Figure 1 have specific geometrical shapes and placements, it is understood that these shapes and placements are for illustrative purposes only; other embodiments may have other shapes and/or placements.

[0034] In the broadband plasma processing system 1111 illustrated in Figure 1, RF power from the broadband RF power amplifier 1000 is transferred to a direct plasma using the first RF electrode 156, disposed within the plasma processing chamber 150.

[0035] In further embodiments, the first RF electrode 156 may be placed outside the plasma processing chamber 150 and coupled inductively/capacitively to the plasma within the plasma processing chamber 150. In some other embodiments, the first RF electrode 156 may be replaced with a planar spiral coil disposed over the top cover 103, wherein a portion of the top cover 103 below the planar coil comprises a dielectric window. In yet other embodiments, a helical coil wrapped around the outer surface of the tubular sidewall 101 may be used, wherein the sidewall 101 comprises a dielectric material. In some embodiments, the plasma inside the plasma processing chamber 150 may be sustained by a microwave pow er source (e.g, a magnetron or solid state microwave generator) using a slotted antenna such as an annular waveguide located outside the tubular sidewall 101 or a slotted antenna disk disposed over the top cover 103. The microwave power from the annular waveguide may be coupled to the plasma using dielectric cover along the annular waveguide and the sidewall 101, and 1he microwave power from the slotted antenna disk may be coupled to the plasma using a portion of the top cover 103 below the slotted antenna disk as a dielectric window .

[0036] Also, in further embodiments, a remote plasma (as opposed to a direct plasma) may be used to process the substrate by using a chamber design wherein the plasma discharge is located remote from the substrate holder.

[0037] Next we refer to the schematic view in Figure 2 to describe a construct of the single channel broadband RF power amplifier 1000 having four ports: input port I, output port O, signal port S, and feedback port Z, connected to various other circuits of the broadband plasma system as described above with reference to Figure 1.

[0038] As illustrated in Figure 2, an input signal at some RF frequency applied to input port I is distributed to an array of power amplifiers 220 powered by DC power supplies 210 indicated by the wide arrow drawn across the amplifier array. Collectively, the array of power amplifiers 220 span a wide range of frequency, although any one of the eight power amplifiers 220 in Figure 2 may be designed to amplify an RF signal within a relatively narrow frequency band. The power amplifiers 220 may comprise laterally-diffused or extended-drain metal-oxide-semiconductor (LDMOS or EDMOS) silicon transistors, or silicon carbide, or gallium nitride based power devices, or the like, depending on power and frequency.

[0039] The outputs from the power amplifiers 220 are combined by a set of combiners in one or more stages, for example, the set of two four-input intermediate combiners 230 and one two-input final combiner 240. Collectively, the array of power amplifiers 220, the four-input intermediate combiners 230 and the final combiner 240 function as a broadband power amplifier unit. As is known to a person skilled in the art, the number of amplifiers and combiners may be chosen based on the individual design requirements of the plasma system.

[0040] The output of the final combiner 240 is connected to an electronically configurable network of passive elements, referred to as an output matching network, comprising, for example, a tuning capacitor (CT), a load capacitor CL , and an optional inductor (/.). As known to a person skilled in the art, the impedance of an output matching network comprising capacitors (or inductors) is frequency-dependent Since the broadband plasma system 1111 may be operating the plasma processing apparatus 111 at widely spaced frequency bands, the capacitance (or inductance) value used has to be aligned with the operating frequency specified in the process recipe 120. Accordingly, whenever the process recipe 120 specifies a different operating RF frequency, the programmable controller 110 may generate a new second control signal for the broadband RF power amplifier 1000 to select one or more components from multiple passive components in an output matching network circuit 250.

[0041] In one embodiment, the output matching network circuit 250 uses the second control signal received at the signal port S of the broadband RF power amplifier 1000 to configure matching network circuit 250 using built-in solid-state electronic switches such as thyristors and IGBTs to select, for example, one of several tuning capacitors and connect it in series and/or in parallel to a combination of a fixed inductor and a fixed load capacitor. The three passive elements then form an output matching network using a specific combination of CT, CL, and L aligned with the respective operating frequency band.

[0042] Although the passive component (e.g., atuning capacitor) corresponding to the RF frequency specified in the process recipe 120 may be selected, it may still not be sufficient for adequate impedance matching between the broadband RF power amplifier 1000 and the load impedance connected at the output port O, such as the impedance of the plasma processing apparatus 111 in Figure 1. The impedance of the output matching network may have to be adjusted further because the load impedance comprises not only the impedance of the hardware (e.g., the RF electrode and cables) but also the impedance of the plasma that may be variable, even during the same process step. Sub-optimal impedance matching degrades the power transfer efficiency and is indicated by a larger reflected power from the output port O normalized to the forward power dissipated in the load.

[0043] Embodiments in this disclosure may rapidly minimize the normalized reflected power by continually adjusting the impedance of the selected output matching network using a feedback control system that continually fine-tunes the frequency of RF signal with continual adjustments made to the first control signal transmitted to the RF signal generator 100. In various embodiments, output power from the array of power amplifiers 220 may also be changed while fine tuning the frequency for minimal reflected power. Several methods of generating an appropriate feedback signal that may be used to optimize the impedance matching are described further below.

[0044] Still referring to Figure 2, in one embodiment, the reflected power and forward power are measured by a power analyzer circuit 260. The output of the output matching network circuit 250 is input to an incident port of the power analyzer circuit 260. The incident power mostly passes through the power analyzer circuit 260 to the output port O of the broadband RF power amplifier 1000.

[0045] In one or more embodiments, the reflected power and forward power may be measured by using a broadband RF V-I sensor that independently senses the magnitudes and phases of the voltage (V) and current (I) of the RF signal that exits the power analyzer circuit 260. Circuitry internal to the power analyzer circuit 260 may analyze the measured V and I to generate a feedback signal proportional to the normalized reflected power (indicated as R in Figure 2) at the feedback port Z.

[0046] In some embodiments, a V-I sensor is used to measure the reflected power and forward pow er, as described above, while in some other embodiments, a directional coupler may be used to directly detect the forward and reflected pow er. The output of the output matching network circuit 250 may be input to an incident port of the directional coupler placed within the power analyzer circuit 260. The through port of the directional coupler may be connected to the output port O. A known small fraction of the forward RF signal appears at the coupled port and the reflected RF signal appears at the isolated port of the directional coupler. Other circuitry in the power analyzer circuit 260 may generate the feedback signal (R) proportional to the normalized reflected power at the feedback port Z.

[0047] The broadband plasma processing system 1111 described in this disclosure comprises the broadband RF power amplifier 1000 with several built-in circuits, such as the matching network circuit 250 and the power analyzer circuit 260. However, it is understood that, in some other embodiment, components that are integrated in the broadband RF power amplifier 1000 may be relocated outside, and components outside the broadband RF power amplifier 1000 may be integrated without altering the functionality of the broadband plasma processing system 1111. For example, the matching network circuit 250 or the power analyzer circuit 260 may be integrated in a separate component from the broadband RF power amplifier 1000. Similarly, in another illustration, the RF signal generator 100 may be integrated within the broadband RF power amplifier 1000.

[0048] As shown in Figure 1, the feedback signal from the feedback port Z is sent to the programmable controller 110. The programmable controller 110 continuously processes the feedback signal from the feedback port Z and refines the first control signal to adjust the frequency of the tunable RF signal generator 100 within a narrow band centered at the frequency specified in the process recipe. This feedback method of continually fine-tuning the RF frequency till the impedance is matched for maximum power transfer efficiency from the broadband RF power amplifier 1000 to the first RF electrode 156 and the plasma is referred to as frequency sweep tuning with center frequency offset, and may be used for ultrafast impedance matching.

[0049] Figure 3 shows a schematic representation of a broadband plasma processing system 3333, wherein the RF signal power for sustaining the plasma is generated and controlled using the same method as described for the broadband plasma processing system 1111 illustrated schematically in Figure 1. However, in this embodiment, the plasma processing apparatus 333 comprises a plasma processing chamber 350, where the substrate holder 158 is used as a first RF electrode. In particular, there is no separate first RF electrode 156 (or top electrode), as in the embodiment illustrated in Figure 1. As illustrated in Figure 3, instead of connecting the substrate holder 158 to ground, the substrate holder 158 of the plasma processing apparatus 333 is connected to the output port O of the broadband RF pow er amplifier 1000, and the RF power is used to sustain a direct plasma in close proximity to the substrate (not shown). The broadband RF power amplifier 1000 of Figure 3 may be similar to the broadband RF power amplifier 1000 described using Figure 2.

[0050] In various embodiments, the broadband plasma processing systems 1111 and 3333 may operate the respective plasma processing apparatus 111/333 between a first frequency band and a second frequency band sequentially or alternately. In a sequential operation mode, the substrate undergoes at least two sequential plasma process steps before exiting the plasma processing chamber 150/350. A first plasma process step (eg., aPECVD process) is first performed using RF pow er at the first frequency, and is followed by a second plasma process step (eg , an RIE process step) performed using RF power at the second frequency.

[0051] In the alternating operation mode, a cyclic plasma process step (eg., a Bosch etch process) may be performed by alternating between plasma etching and plasma deposition using, for example, the first frequency during the plasma deposition and the second frequency during the plasma etch.

[0052] In order to provide RF power at multiple frequencies to the first RF electrode 156 (for the plasma processing apparatus 111) or the substrate holder 158 (for the plasma processing apparatus 333), the process recipe 120 may include explicit instructions for the programmable controller 110 to configure the output matching network of the broadband RF power amplifier 1000 and the tunable RF signal generator 100 to switch between two frequency bands synchronously by using the pair of first and second control signals, as discussed above. Alternatively, the programmable controller 110 may by itself determine the different configurations for the output matching network circuit 250 and/or input for the tunable RF signal generator 100, based on the operating frequency defined by the process recipe 120.

[0053] Although the sequential operation mode and the alternating operation mode are described herein with reference to a first frequency and a second frequency, it is understood that the embodiments disclosed in this application can be used to accommodate more than two frequencies.

[0054] Figure 4 illustrates a broadband plasma processing system 4444, wherein the designs of the plasma processing apparatus 111 and 333, described above with reference to Figures 1 through 3, are combined to enhance the flexibility in adjusting the properties of plasma discharge in the plasma processing chamber 450. The RF signal power for sustaining the plasma is generated and controlled using the same method as described for the broadband plasma processing systems 1111 and 3333. The broadband RF power amplifier 1000 of Figure 4 may be similar to the broadband RF pow er amplifier 1000 described using Figure 2.

[0055] As illustrated in Figure 4, the plasma processing apparatus 444 uses two RF electrodes: a first RF electrode 156 located near the top of the plasma processing chamber 450 and a second RF electrode which is the substrate holder 158 near the base 105. Both the first RF electrode 156 and the substrate holder 158 receive power from the output port O of the broadband RF power amplifier 1000.

[0056] However, in this embodiment, the signal to the first RF electrode 156 is filtered by a first bandpass filter 440 that blocks RF power outside a first frequency band and the signal to the substrate holder 158 is filtered by a second bandpass filter 455 that blocks RF power outside a second frequency band. By inserting the first and second bandpass filters 440 and 455 in the respective signal paths, the broadband plasma processing system 4444 provides a method to perform sequential and alternating plasma processes on a semiconductor substrate. Thus, the first RF electrode 156 may be pow ered at the same time as the substrate holder 158 or they may be alternatively powered However, the first bandpass filter 440 ensures that the first RF electrode 156 is powered at the first frequency band while the substrate holder 158 is powered at the second frequency band.

[0057] The broadband plasma processing system 4444 may additionally include two independent DC power supplies, one used to superimpose a first DC bias VI on the first RF electrode 156 and the other used to superimpose a second DC bias V2 on the substrate holder 158 (the second RF electrode). The first and second DC bias values may be controlled by the programmable controller 110 or disabled, in accordance with the process recipe 120 of the broadband plasma processing system 4444.

[0058] Figure 5 illustrates an example of a dual-frequency broadband plasma processing system 5555 comprising the plasma processing apparatus 444 having two RF electrodes (described with reference to Figure 4). The two RF electrodes may be simultaneously powered by independent RF signals at two discrete frequencies during dual-frequency operation. [0059] As mentioned above, it is understood that the choice of dual-frequency in this embodiment and prior embodiments is for illustration only; the various plasma systems discussed in this application such as the dual-frequency broadband plasma processing system 5555 can be used to accommodate more than two frequencies.

[0060] As illustrated in Figure 5, RF power from two isolated output ports Oi and Oz of a dual channel broadband RF pow er amplifier 5000 of the dual-frequency broadband plasma processing system 5555 may be used to independently pow er the first RF electrode 156 and the substrate holder 158 (the second RF electrode). Two independent RF signals, generated by a tunable dual channel RF signal generator 500, drives input ports Ii and I2 of the dual channel broadband RF power amplifier 5000, as illustrated in Figure 5.

[0061] The frequency bands of the two RF input signals are synchronously controlled with a first control signal sent to the tunable dual channel RF signal generator 500 from a dual channel programmable controller 510. The dual channel programmable controller 510 also sends two control signals to the signal ports Si and S2 of the dual channel broadband RF power amplifier 5000 to synchronize the frequency bands to the respective output matching networks in the dual channel broadband RF power amplifier 5000. The control signals used to select the frequency bands and configure the output matching networks synchronously are in accordancewith a process recipe 520 of the dual-frequency broadband plasma processing system 5555.

[0062] Feedback signals from two impedance ports Zi and Z2 of the dual channel broadband RF pow er amplifier 5000 are used to fine tune the frequencies. As in the prior embodiments, the feedback signals may be used to adjust the impedance of the output matching networks for the most efficient power transfer during plasma processing and the input to the dual channel RF signal generator 500.

[0063] As in the prior embodiment, the dual-frequency broadband plasma processing system 5555 may additionally include two independent DC power supplies for applying a first DC bias VI and a second DV bias V2 to the first RF electrode 156 and the substrate holder 158. The applied DC bias voltages may be controlled by the dual channel programmable controller 510, as described above for the broadband plasma processing system 4444.

[0064] The dual channel broadband RF pow er amplifier 5000, used in the dual-frequency broadband plasma processing system 5555 in Figure 5, is illustrated in further detail in Figure 6. As indicated schematically by the two dashed rectangles in Figure 6, each channel of the eight-port dual channel broadband RF power amplifier 5000 is a four-port single channel broadband RF pow er amplifier, similar to the single channel broadband RF power amplifier 1000 illustrated in Figure 2. Thus, the dual channel broadband RF power amplifier 5000 may include two of the single channel RF pow er amplifier described in prior embodiments such as Figure 2. Accordingly, the operation of the dual channel broadband RF power amplifier 5000 may be similar except that due to more number of components, separate channels can be processed in parallel to simultaneously produce a dualfrequency output at output ports 01 and 02.

[0065] Figures 7 and 8 illustrate two examples of broadband plasma processing systems 7777 and 8888 suitable for pulsed sequential or alternating plasma processing. Pulsed plasma processing differs from continuous plasma processing in that RF power to the plasma discharge is chopped into short pulses (eg., 10 millisecond pulses) using, for example, a programmable chopper circuit comprising electronic switching devices and pulse generator circuitry. The plasma processing system is otherwise the same as the respective system used for continuous plasma processing.

[0066] As illustrated in Figures 7 and 8, the broadband plasma processing systems 7777 and 8888 have a chopper circuit 700 inserted in the signal path connecting the output of the tunable RF signal generator 100 to the input port I of the broadband RF power amplifier 1000 of the broadband plasma processing systems 1111 and 4444, respectively. The chopper circuit 700 may be controlled by a programmable controller 710.

[0067] Except for the chopper circuit 700 and the control path from the programmable controller 710, the schematic view of broadband plasma processing system 7777 in Figure 7 is same as that of the broadband plasma processing system 1111 in Figure 1. Likewise, the schematic view of broadband plasma processing system 8888 in Figure 8 is same as that of the broadband plasma processing system 4444 in Figure 4 except for the chopper circuit 700 and the corresponding control path. Although not shown, the chopper circuit 700 may be added similarly to the embodiment described in Figure 3.

[0068] The chopper circuit of the chopper circuit 700 interrupts/modulates the continuous RF signal by periodic opening and closing of electronic switches controlled by a low frequency pulse generator in the chopper circuit 700. The frequencies of the low frequency pulse waveform are of the order of 100 Hz, whereas the frequencies of the RF signal from the tunable RF signal generator 100 are between about 100 kHz to about 10 GHz. The chopper circuit 700 may be triggered and the frequency and duty cycle of the low frequency pulses used to modulate the RF signal from the tunable RF signal generator 100 may be controlled by the programmable controller 710 with a control signal sent to a control terminal P of the chopper circuit 700, as illustrated in Figures 7 and 8.

[0069] In some pulsed processing applications, the RF signal may be switched off during certain pulses during which no plasma processing is performed For example, PEALD and PEALE utilize alternating reaction and purge pulses during one reaction cycle. Accordingly, the RF signal generator 100 is toggled on (reaction pulse) and off (purge pulse) by the programmable controller 710. The instantaneous RF power waveform at the output port O of the broadband RF pow er amplifier 1000 could exhibit transient variations for a brief time after the plasma discharge is initiated at the start of a reaction pulse. The transient may be a result of the impedance mismatch caused by the plasma component of the load impedance changing rapidly with time as the plasma is ignited when RF power is turned on. The ultrafast impedance matching (e.g., a response time of less than 100 microsecond) using the frequency sweep tuning with center frequency offset, as described above for the broadband plasma processing systems 1111 and 3333, provides the advantage of reducing transients in RF power supplied to the plasma processing apparatus 444 during pulsed plasma processing. Precise control of RF power supplied to the plasma during each pulse of a pulsed plasma process step may be achieved by reducing (or even eliminating) the uncontrolled transient variations. [0070] Figure 9 illustrates an alternative embodiment of a broadband plasma processing systems 9999 suitable for pulsed sequential or alternating plasma processing. This embodiment is similar to the prior embodiments of Figures 8-9 to include a chopper circuit that may interrupt/modulate continuous RF signals. However, unlike the embodiment described in Figure 5, the example embodiment in Figure 9 may include a dual channel chopper circuit 900 in the signal paths between a tunable dual channel RF signal generator 500 and a dual channel broadband RF power amplifier 5000. The dual channel chopper circuit 900 may include multiple units of the chopper circuit 700 described in prior embodiments and may receive multiple pulse control signals at its control terminals Pl and P2 from the dual channel programmable controller 910 (which operates similar to the previously discussed dual channel programmable controller 510 besides also providing the control signals for the dual channel chopper circuit 900).

[0071] Figure 10 discloses a method of operating a plasma processing system in accordance with an embodiment of the present invention. The method of Figure 10 may be applied to any of the systems described in Figures 1 - 9.

[0072] Referring to Figure 10, at a tunable RF signal generator (e.g, tunable RF signal generator 100 of Figure 1), a radio frequency (RF) signal is generated (box 21).

[0073] At a broadband RF power amplifier (e.g, at broadband RF power amplifier 1000 of Figure 1), the RF signal is amplified to generate an amplified RF signal (box 22). This amplified RF signal is supplied to an RF electrode (e.g, first RF electrode 156 of Figure 1) to power a plasma within a plasma processing chamber (box 23). A feedback signal is generated at, eg., the broadband RF power amplifier 1000 that incorporates a measurement of a reflected power at the output of the broadband power amplifier (box 24). The reflected power includes the effect of the impedance of the plasma and is measured using a broadband V-I sensor or a directional coupler. The frequency of the RF signal is adjusted at, e.g., tunable RF signal generator 100, based on the feedback signal.

[0074] In an illustrative embodiment (see Figure 1 as representative system), a deposition process step may be performed first At the deposition process step, the tunable RF signal generator 100 sends a signal at the first frequency (eg. a high RF frequency (fi)) at desired amplitude to the broadband RF power amplifier 1000, which amplifies the power and outputs it to the first electrode 156 to ignite a high density first plasma As soon as the first plasma is ignited, the tunable RF signal generator 100 starts a frequency sweep around the high RF frequency fi with a certain offset range □fi to minimize the reflected power being measured by the power analyzer circuit 270 within the broadband RF power amplifier 1000. The deposition step will begin, and deposition can continue for several reaction cycles (sequential reaction and purge pulses) as in plasma enhanced atomic layer deposition (PEALD).

[0075] Next, an exemplary etch process step may be initiated. At the etch step, the tunable RF signal generator 100 switches output frequency and sends a signal at the second frequency (e.g. a low RF frequency f2) at a desired amplitude to the broadband RF power amplifier 1000. For example, in one illustration, the high RF frequency fi is at least 1.1 times the low RF frequency f2. For example, an embodiment may use a low RF frequency of 27MHz and a high RF frequency of 40MHz while another embodiment may use alow RF frequency of 40MHz and a high RF frequency of 60MHz. Alternately, in other examples, the the high RF frequency fi is at least two times the low RF frequency f 2 . The broadband RF power amplifier 1000 amplifies the received signal at the second frequency and outputs it to the first electrode 156 to ignite a second plasma. As soon as the second plasma is ignited, the tunable RF signal generator 100 starts a frequency sweep around the low frequency f 2 with a certain offset range > f 2 to minimize the reflected power being measured by the power analyzer circuit 270 within the broadband RF power amplifier 1000. This starts the etching of the material, e.g., a substrate on substrate holder 158 in the plasma processing chamber. Additional method steps especially those particular to a particular hardware design are discussed in more detail above (Figs. 1 - 9) while discussing those features and are not repeated herein for brevity.

[0076] Accordingly, in various embodiments, the process can quickly toggle between etching and deposition by changing the frequency at the tunable RF signal generator 100 without having to use mechanically driven tuning, as done in conventional equipment. [0077] Accordingly, embodiments of the present application disclose broadband plasma systems and methods for operating a plasma processing apparatus in a sequential, alternating, or pulsed mode.

[0078] Accordingly, various embodiments of this invention can achieve fast and smooth transitions of RF power between discrete pow er levels (e.g, between on and off) or between discrete RF frequencies (e.g, between 10 MHz and 100 MHz), as programmed in a plasma process recipe. In addition, fine tuning of the RF frequency within a narrow band can be achieved by using a programmable controller in a feedback control system The feedback control system comprises an externally tunable broadband RF signal generator connected to a broadband RF power amplifier fitted with an electronically configurable output matching network, and may be configured by the programmable electronic controller. The fine frequency tuning can be used to rapidly adjust the frequency-dependent impedance of the matching network to match the impedance of the plasma processing apparatus (including the impedance of the plasma) with the output impedance of the broadband RF power amplifier to achieve efficient power transfer. As described in further detail above, the electronic controller dynamically tunes the output frequency of the RF signal generator using feedback of the plasma processing apparatus impedance measured using, for example, an RF voltage-current (V-I) sensor integrated into the output stage of the broadband RF power amplifier.

[0079] Example embodiments of the invention are summarized here. Other embodiments can also be understood from the entirety of the specification as well as the claims filed herein.

[0080] Example 1. A plasma processing system includes a plasma processing chamber, a first electrode for powering a plasma in the plasma processing chamber, a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency. The second frequency is at least 1.1 times the first frequency. The system further includes a broadband power amplifier coupled to the tunable RF signal generator, the first frequency and the second frequency being within an operating frequency range of the broadband power amplifier. The output of the broadband power amplifier is coupled to the first electrode. The broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency. [0081] Example 2. The plasma processing system of example 1, where the broadband power amplifier is configured to supply simultaneously, at the output, the first power and the second power.

[0082] Example 3. The plasma processing system of example 1, where the broadband power amplifier is configured to supply sequentially, at the output, the first power and the second power.

[0083] Example 4. The plasma processing system of one of examples 1 to 3, further including: a second electrode disposed in the plasma processing chamber, the second electrode being coupled to the output of the broadband power amplifier.

[0084] Example 5. The plasma processing system of one of examples 1 to 4, further including: a first bandpass filter disposed between the output of the broadband power amplifier and the first electrode, the first bandpass filter having a first passband to pass through the first frequency and filter the second frequency; and a second bandpass filter disposed between the output of the broadband power amplifier and the second electrode, the second bandpass filter having a second passband to pass through the second frequency and filter the first frequency.

[0085] Example 6. The plasma processing system of one of examples 1 to 5, further including: a chopper circuit disposed between the tunable RF signal generator and the broadband power amplifier, the chopper circuit configured to modulate the first signal and the second signal with a lower frequency pulse signal.

[0086] Example 7. The plasma processing system of one of examples 1 to 6, where the chopper circuit includes : a low frequency pulse generator; and electronic switches disposed in a signal path between the tunable RF signal generator and the broadband power amplifier, the electronic switches being controlled by the low frequency pulse generator.

[0087] Example 8. The plasma processing system of one of examples 1 to 7, where the output of the broadband power amplifier includes a first output port to output the first power at the first frequency and a second output port to output the second power at the second frequency. [0088] Example 9. The plasma processing system of one of examples 1 to 7, where the output of the broadband power amplifier includes a single output port to output the first power at the first frequency and the second power at the second frequency.

[0089] Example 10. A plasma processing system includes a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency. The second frequency is at least 1.1 times the first frequency. The system further includes a broadband power amplifier coupled to the tunable RF signal generator. The first frequency and the second frequency is within an operating frequency range of the broadband power amplifier. The output of the broadband power amplifier is configured to be coupled to an electrode of a plasma processing chamber. The broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency, and provide a feedback to tune the output of the broadband power amplifier to the first frequency or the second frequency.

[0090] Example 11. The plasma processing system of example 10, where the broadband power amplifier includes: a plurality of power amplifiers coupled to an input of the broadband power amplifier; a combiner coupled to an output of the plurality of power amplifiers; a directional coupler coupled to an output of the combiner and having an output coupled to the output of the broadband power amplifier; and an impedance power analyzer circuit coupled to the directional coupler or a V-I sensor and configured to provide a feedback signal.

[0091] Example 12. The plasma processing system of one of examples 10 or 11, further including: an output matching network circuit coupled between the output of the combiner and the directional coupler or a V-I sensor, the output matching network circuit including electronically configurable network of passive elements.

[0092] Example 13. The plasma processing system of one of examples 10 to 12, further including: a programmable controller; a first signal path coupling the programmable controller to the tunable RF signal generator; a second signal path coupling the programmable controller to the output matching network circuit; and a third signal path coupling the impedance power analyzer circuit to the programmable controller.

[0093] Example 14. The plasma processing system of one of examples 10 to 13, where the programmable controller is configured to: receive the feedback signal from the impedance power analyzer circuit through the third signal path; provide a first control signal to the tunable RF signal generator through the first signal path; and provide a second control signal to the output matching network circuit.

[0094] Example 15. The plasma processing system of one of examples 10 to 14, where the output matching network circuit is configured to reconfigure the output matching network circuit based on the second control signal.

[0095] Example 16. A method of operating a plasma processing system comprises generating a radio frequency (RF) signal at tunable RF signal generator; at a broadband power amplifier, amplifying the RF signal to generate an amplified RF signal; supplying the amplified RF signal to power a plasma within a plasma processing chamber; generating a feedback signal by measuring an impedance of the plasma; and adjusting a frequency of the RF signal at the tunable RF signal generator based on the feedback signal.

[0096] Example 17. The method of example 16, further including reconfiguring an output matching network circuit based on the feedback signal.

[0097] Example 18. The method of one of examples 16 or 17, further including: supplying the amplified RF signal includes supplying the amplified RF signal to atop electrode within the plasma processing chamber.

[0098] Example 19. The method of one of examples 16 or 17, further including: supplying the amplified RF signal includes supplying the amplified RF signal to a substrate holder within the plasma processing chamber.

[0099] Example 20. The method of one of examples 16 to 19, further including: supplying the amplified RF signal includes supplying the amplified RF signal to a first bandpass filter; outputting the amplified RF signal through the first bandpass filter when the amplified RF signal has a frequency within a first frequency range; coupling the output of the first bandpass filter to a top electrode within the plasma processing chamber; supplying the amplified RF signal includes supplying the amplified RF signal to a second bandpass filter; outputting the amplified RF signal through the second bandpass filter when the amplified RF signal has a frequency within a second frequency range; and coupling the output of the second bandpass filter to a substrate holder within the plasma processing chamber.

[0100] Example 21. The method of one of examples 16 to 20, further including modulating the amplified RF signal with a lower frequency pulse signal, where supplying the amplified RF signal to power the plasma includes supplying the modulated amplified RF signal to power the plasma. [0101] While this invention has been described with reference to illustrative embodiments, this description is not intended to be constmed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments.