Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
CONFORMAL SILICON OXIDE DEPOSITION USING AMINOSILANE AND CHLOROSILANE PRECURSORS
Document Type and Number:
WIPO Patent Application WO/2023/122557
Kind Code:
A1
Abstract:
Methods and apparatus for forming silicon oxide using chlorosilane and aminosilane precursors are provided herein.

Inventors:
GUPTA AWNISH (US)
VAN SCHRAVENDIJK BART J (US)
HENRI JON (US)
WEI FENGYAN (US)
SAVCHAK OKSANA (US)
SRINIVASAN EASWAR (US)
Application Number:
PCT/US2022/081972
Publication Date:
June 29, 2023
Filing Date:
December 19, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/02; C23C16/40; C23C16/455; C23C16/56
Foreign References:
US20180076023A12018-03-15
US20130273704A12013-10-17
US20160293398A12016-10-06
US20190041756A12019-02-07
US20150167163A12015-06-18
Attorney, Agent or Firm:
TSAI, Patricia et al. (US)
Download PDF:
Claims:
CLAIMS What is claimed is: 1. A method for processing substrates, the method comprising: introducing a substrate having a feature; exposing the substrate to a silicon-containing precursor for a duration sufficient to adsorb at least some silicon-containing precursor to a surface of the substrate; exposing the surface of the substrate to an oxygen-containing gas without igniting a plasma to form a silicon oxide film; and annealing the silicon oxide film to form an annealed silicon oxide film, wherein the silicon-containing precursor is selected from the group consisting of halosilane precursors and aminosilane precursors. 2. The method of claim 1, wherein the silicon-containing precursor is dichlorosilane. 3. The method of claim 1, wherein the silicon-containing precursor is diisopropylamino silane (DIPAS). 4. The method of claim 1, wherein annealing is performed at a temperature of at least about 500°C. 5. The method of claim 1, wherein annealing comprises exposing the silicon oxide film to a plasma generated from igniting hydrogen gas and oxygen gas. 6. The method of claim 1, wherein annealing comprises exposing the silicon oxide film to a plasma generated from igniting nitrogen gas. 7. The method of claim 1, wherein the annealed silicon oxide film has a chlorine content of less than about 0.5%. 8. The method of claim 1, wherein the annealed silicon oxide film has a hydrogen content of less than about 0.5%. 9. The method of claim 1, wherein the silicon oxide film is conformal.

10. An apparatus for processing substrates, the apparatus comprising: one or more process chambers, each process chamber comprising a chuck; one or more gas inlets into the process chambers and associated flow-control hardware; and a controller having at least one processor and a memory, wherein the at least one processor and the memory are communicatively connected with one another, the at least one processor is at least operatively connected with the flow-control hardware, and the memory stores computer-executable instructions for controlling the at least one processor to at least control the flow-control hardware to: cause introduction of an aminosilane or halosilane precursor to the one or more process chambers for a duration sufficient to adsorb at least some of the aminosilane or halosilane precursor to adsorb to a surface of a substrate; cause introduction of an oxygen-containing gas without igniting a plasma to form a silicon oxide film; cause annealing of the silicon oxide film to form an annealed silicon oxide film.

Description:
CONFORMAL SILICON OXIDE DEPOSITION USING AMINOSILANE AND CHLOROSILANE PRECURSORS INCORPORATION BY REFERENCE [0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in their entireties and for all purposes. BACKGROUND [0002] Semiconductor device fabrication may involve deposition of silicon oxide films. Such films are used in a variety of applications. Silicon oxide films, for example, may be used in self aligned double patterning, and/or quad patterning or other fabrication processes. [0003] The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure. SUMMARY [0004] One aspect involves a method for processing substrates, the method including: introducing a substrate having a feature; exposing the substrate to a silicon-containing precursor for a duration sufficient to adsorb at least some silicon-containing precursor to a surface of the substrate; exposing the surface of the substrate to an oxygen-containing gas without igniting a plasma to form a silicon oxide film; annealing the silicon oxide film to form an annealed silicon oxide film, whereby the silicon-containing precursor is selected from the group consisting of halosilane precursors and aminosilane precursors. [0005] In various embodiments, the silicon-containing precursor is dichlorosilane. [0006] In various embodiments, the silicon-containing precursor is diisopropylamino silane (DIPAS). [0007] In various embodiments, annealing is performed at a temperature of at least about 500°C. [0008] In various embodiments, exposing the substrate to the silicon-containing precursor, exposing the surface to the oxygen-containing gas, and annealing are performed at a temperature of at least about 500°C. [0009] In various embodiments, annealing includes exposing the silicon oxide film to hydrogen gas and oxygen gas for a duration of about 0.1 second to about 900 seconds. [0010] In various embodiments, annealing includes exposing the silicon oxide film to a plasma generated from igniting hydrogen gas and oxygen gas. [0011] In various embodiments, hydrogen is introduced at a flow rate of about 0 sccm to about 5000 sccm. [0012] In various embodiments, oxygen is introduced at a flow rate of about 0 sccm to about 20000 sccm. In various embodiments, the plasma reduces halogen content of the silicon oxide film. [0013] In various embodiments, the method also includes modulating process conditions during the plasma to change stress of the silicon oxide film. [0014] In various embodiments, the method also includes modulating process conditions during the plasma to reduce wet etch rate of the silicon oxide film. [0015] In various embodiments, annealing includes exposing the silicon oxide film to a plasma generated from igniting nitrogen gas. [0016] In various embodiments, the annealed silicon oxide film has a chlorine content of less than about 0.5%. [0017] In various embodiments, the annealed silicon oxide film has a hydrogen content of less than about 0.5%. [0018] In various embodiments, the silicon oxide film is conformal. [0019] In various embodiments, the method also includes repeating exposing the substrate to the silicon-containing precursor and exposing the surface of the substrate to the oxygen-containing gas in cycles. [0020] In various embodiments, the method also includes purging after exposing the substrate to the silicon-containing precursor and before exposing the surface of the substrate to the oxygen- containing gas. [0021] In various embodiments, the method also includes purging after exposing the surface of the substrate to the oxygen-containing gas. [0022] In various embodiments, the repeating is performed for about 1 to about 100 cycles. [0023] Another aspect involves an apparatus for processing substrates, the apparatus including: one or more process chambers, each process chamber including a chuck; one or more gas inlets into the process chambers and associated flow-control hardware; and a controller having at least one processor and a memory, whereby the at least one processor and the memory are communicatively connected with one another, the at least one processor is at least operatively connected with the flow-control hardware, and the memory stores computer-executable instructions for controlling the at least one processor to at least control the flow-control hardware to: cause introduction of an aminosilane or halosilane precursor to the one or more process chambers for a duration sufficient to adsorb at least some of the aminosilane or halosilane precursor to adsorb to a surface of a substrate; cause introduction of an oxygen-containing gas without igniting a plasma to form a silicon oxide film; cause annealing of the silicon oxide film to form an annealed silicon oxide film. [0024] In various embodiments, the chuck is set to a temperature of at least about 500°C. [0025] In various embodiments, the computer-executable instructions further include instructions for causing generation of a plasma during the annealing. [0026] In various embodiments, the computer-executable instructions further include instructions for causing introduction of hydrogen gas, oxygen gas, a mixture of hydrogen and oxygen gas, or nitrogen gas during the annealing. [0027] These and other aspects are described further below with reference to the drawings. BRIEF DESCRIPTION OF THE DRAWINGS [0028] Figure 1 is a process flow diagram depicting operations for a method in accordance with certain disclosed embodiments. [0029] Figure 2 is a schematic diagram of an example process chamber for performing certain disclosed embodiments. [0030] Figure 3 is a schematic diagram of an example process tool for performing certain disclosed embodiments. [0031] Figure 4 is a schematic diagram of an example process tool for performing certain disclosed embodiments. [0032] Figure 5 is an Fourier transform infrared spectroscopy (FTIR) spectrum of a silicon oxide material deposited using certain disclosed embodiments. [0033] Figure 6 is a graph showing carbon, fluorine, hydrogen, and chlorine concentration and silicon and oxygen intensity of a silicon oxide film deposited using certain disclosed embodiments. DETAILED DESCRIPTION [0034] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments. [0035] Semiconductor fabrication processes often involve deposition of silicon oxide. However, some methods of depositing silicon oxide may face challenges. [0036] Provided herein are methods and apparatuses for depositing silicon oxide on semiconductor substrates using a silicon-containing precursor in a thermal atomic layer deposition (ALD) process. Silicon-containing precursors may be an aminosilane or halosilane. Methods can be performed in the same tool as used to deposit silicon nitride, resulting in more efficient processes and higher throughput. Certain disclosed embodiments form silicon oxide films with superior properties, such as uniform conformal deposition, conformal deposition in high aspect ratio structures such as structures having an aspect ratio of about 180:1 or more, low impurity content, neutral stress, and controlled deposition. [0037] Techniques described herein involve thermal atomic layer deposition (ALD). That is, in various embodiments, the reaction between an aminosilane or halosilane and an oxygen-containing reactant to form silicon oxide is performed without igniting a plasma. ALD is a technique that deposits thin layers of material using sequential self-limiting reactions. Typically, an ALD cycle includes operations to deliver and adsorb at least one reactant to the substrate surface, and then react the adsorbed reactant with one or more reactants to form the partial layer of film. As another example, a silicon oxide deposition cycle may include the following operations: (i) delivery/adsorption of a silane precursor, (ii) purging of the silane precursor from the chamber, (iii) delivery of an oxygen-containing gas, and (iv) purging of the oxygen-containing gas from the chamber. [0038] Unlike a chemical vapor deposition (CVD) technique, ALD processes use surface mediated deposition reactions to deposit films on a layer-by-layer basis. In one example of an ALD process, a substrate surface that includes a population of surface active sites is exposed to a gas phase distribution of a first precursor, such as a silicon-containing precursor, in a dose provided to a chamber housing a substrate. Molecules of this first precursor are adsorbed onto the substrate surface, including chemisorbed species and/or physisorbed molecules of the first precursor. It should be understood that when the compound is adsorbed onto the substrate surface as described herein, the adsorbed layer may include the compound as well as derivatives of the compound. For example, an adsorbed layer of a halosilane precursor may include the halosilane precursor as well as derivatives of the halosilane precursor. After a first precursor dose, the chamber is then evacuated to remove most or all of the halosilane precursor remaining in gas phase so that mostly or only the adsorbed species remain. In some implementations, the chamber may not be fully evacuated. For example, the chamber may be evacuated such that the partial pressure of the first precursor in gas phase is sufficiently low to mitigate a reaction. A second reactant, such as an oxygen-containing reactant, is introduced to the chamber so that some of these molecules react with the halosilane precursor adsorbed on the surface. In some processes, the second reactant reacts immediately with the adsorbed halosilane precursor. The chamber may then be evacuated again to remove unbound second reactant molecules. As described above, in some embodiments the chamber may not be completely evacuated. Additional ALD cycles may be used to build film thickness. [0039] In certain embodiments, an ALD first precursor dose partially saturates the substrate surface. In some embodiments, the dose phase of an ALD cycle concludes before the precursor contacts the substrate to evenly saturate the surface. Typically, the precursor flow is turned off or diverted at this point, and only purge gas flows. By operating in this sub saturation regime, the ALD process reduces the cycle time and increases throughput. However, because precursor adsorption is not saturation limited, the adsorbed precursor concentration may vary slightly across the substrate surface. Examples of ALD processes operating in the sub-saturation regime are provided in U.S. Patent Application No. 14/061,587 (now U.S. Patent No. 9,355,839), filed October 23, 2013, titled “SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION,” which is incorporated herein by reference in its entirety. [0040] In some implementations, ALD methods may include plasma activation. However, in thermal ALD processes described herein, plasma is not ignited. As described herein, the ALD methods and apparatuses described herein may be conformal film deposition (CFD) methods, which are described generally in U.S. Patent Application No. 13/084,399 (now U.S. Patent No.8,728,956), filed April 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION,” and in U.S. Patent Application No. 13/084,305, filed April 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” which are herein incorporated by reference in their entireties. [0041] Figure 1 is a process flow diagram depicting operations that may be performed in accordance with certain disclosed embodiments. In an operation 102, a substrate is provided to a process chamber. In various embodiments, the process chamber is a single-wafer chamber. In some embodiments, the process chamber is a station within a multi-station chamber. Process conditions described herein are suitable for a single-wafer chamber. [0042] The process chamber may be set to a chamber pressure about 10 mTorr to about 25 Torr or about 10 Torr to about 25 Torr. Such chamber pressures may be used throughout operations 102-116 as described herein. In some embodiments, chamber pressure may be different during different operations. [0043] The substrate may be heated to a substrate temperature about 25°C to about 800°C, or about 500°C to about 700°C, or at least about 650°C during operations 104-112. It will be understood that substrate temperature as used herein refers to the temperature that the pedestal holding the substrate is set at and that in some embodiments, the substrate when provided to the process chamber on the pedestal may be heated to the desired substrate temperature prior to processing the substrate. The substrate temperature may be the same throughout operations 102- 114 as described herein. [0044] The substrate may be any suitable substrate. The substrate may be a silicon wafer, e.g., a 200-mm wafer, a 300-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. Non-limiting examples of under layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers. In some embodiments, the substrate includes silicon oxide and silicon. In some embodiments, the substrate includes a partially fabricated 3D-NAND structure. [0045] In some embodiments, the feature(s) may have an aspect ratio of at least about 1:1, at least about 2:1, at least about 4:1, at least about 6:1, at least about 10:1, or higher. The feature(s) may also have a dimension near the opening, e.g., an opening diameter or line width of between about 10 nm to 500 nm, for example between about 25 nm and about 300 nm. Disclosed methods may be performed on substrates with feature(s) having an opening less than about 150 nm. A via, trench or other recessed feature may be referred to as an unfilled feature or a feature. According to various embodiments, the feature profile may narrow gradually and/or include an overhang at the feature opening. A re-entrant profile is one that narrows from the bottom, closed end, or interior of the feature to the feature opening. A re-entrant profile may be generated by asymmetric etching kinetics during patterning and/or the overhang due to non-conformal film step coverage in the previous film deposition, such as deposition of a diffusion barrier. In various examples, the feature may have a width smaller in the opening at the top of the feature than the width of the bottom of the feature. [0046] One or more features may have a high aspect ratio, which is defined as having an aspect ratio of greater than about 100:1 or greater than about 150:1 or greater than about 180:1. [0047] In some embodiments, the substrate may be partially fabricated for forming a memory device. In some embodiments, exposed regions of the substrate include silicon-containing surfaces, including but not limited to silicon and silicon oxynitride. [0048] In an operation 104, a silicon-containing precursor is introduced to the process chamber. In particular embodiments, the silicon-containing precursor includes an aminosilane precursor. [0049] An aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si(NH 2 ) 4 , respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS), tert-butyl silylcarbamate, SiH(CH 3 )-(N(CH 3 ) 2 ) 2 , SiHCl-(N(CH 3 ) 2 ) 2 , (Si(CH 3 ) 2 NH) 3 , di(sec-butylamino)silane (DSBAS), di(isopropylamido)silane (DIPAS), bis(diethylamino)silane (BDEAS), and the like. A further example of an aminosilane is trisilylamine (N(SiH 3 ) 3 ). [0050] A silicon-containing precursor can include one or more optionally substituted amino groups, thereby providing a non-limiting amino silane. In one embodiment, the precursor has a formula of (Rʹ) 4-x Si(NRʺ 2 ) x , wherein: x is 1, 2, 3, or 4; each Rʹ is, independently, H, aliphatic, aliphatic-carbonyl, aliphatic-carbonyloxy, aliphatic-oxy, aliphatic-oxycarbonyl, heteroaliphatic, heteroaliphatic-carbonyl, heteroaliphatic- carbonyloxy, heteroaliphatic-oxy, heteroaliphatic-oxycarbonyl, aromatic, aromatic-carbonyl, aromatic-carbonyloxy, aromatic-oxy, aromatic-oxycarbonyl, heteroaromatic, heteroaromatic-oxy, amino, hydrazino, azido, hydroxyl, silyl, silyloxy, cyanato, isocyanato, cyano, or isocyano, in which any of these may be optionally substituted; and each Rʺ is, independently, H, aliphatic, heteroaliphatic, aromatic, heteroaromatic, or amino, in which any of these may be optionally substituted; or optionally in which two Rʺ can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl. [0051] In another embodiment, the precursor has a formula of (Rʺ 2 N) x (Rʹ) 3-x Si−L−Si(Rʹ) 3-x (NRʺ 2 ) x , wherein: each x is, independently, 0, 1, 2, or 3; L is a linker, such as a covalent bond, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, oxy (-O-), imino, or silyl; each Rʹ is, independently, H, aliphatic, aliphatic-carbonyl, aliphatic-carbonyloxy, aliphatic-oxy, aliphatic-oxycarbonyl, heteroaliphatic, heteroaliphatic-carbonyl, heteroaliphatic- carbonyloxy, heteroaliphatic-oxy, heteroaliphatic-oxycarbonyl, aromatic, aromatic-carbonyl, aromatic-carbonyloxy, aromatic-oxy, aromatic-oxycarbonyl, heteroaromatic, heteroaromatic-oxy, amino, hydrazino, azido, hydroxyl, silyl, silyloxy, cyanato, isocyanato, cyano, or isocyano, in which any of these may be optionally substituted; and each Rʺ is, independently, H, aliphatic, heteroaliphatic, aromatic, heteroaromatic, or amino, in which any of these may be optionally substituted; or optionally in which two Rʺ can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl. [0052] In particular embodiments, L is optionally substituted imino, such as -NR-, in which R is H, optionally substituted aliphatic, optionally substituted alkyl, optionally substituted alkenyl, optionally substituted alkynyl, or optionally substituted aromatic. In other embodiments, L is optionally substituted silyl, such as -SiR 2 -, in which each R is, independently, H, optionally substituted aliphatic, optionally substituted alkyl, optionally substituted alkenyl, optionally substituted alkynyl, or optionally substituted aromatic. [0053] In one instance, at least one x is not 0. In another embodiment, x can be 0 (e.g., if L includes a carbon atom or a heteroatom). In yet another embodiment, x is 0; and/or L includes optionally substituted aliphatic, optionally substituted alkylene, optionally substituted alkenylene, optionally substituted alkynylene, optionally substituted heteroaliphatic, optionally substituted heteroalkylene, optionally substituted heteroalkenylene, optionally substituted heteroalkynylene, optionally substituted aromatic, optionally substituted arylene, optionally substituted heteroaromatic, optionally substituted heteroarylene, oxy (-O-), imino, or silyl. [0054] In particular embodiments, at least one Rʹ or Rʺ is not H. The precursor can have any useful combination of Rʹ groups and amino groups (NRʺ 2 ) attached to one or more silicon atoms. [0055] In some embodiments, Rʹ is H, optionally substituted amino (e.g., -NR 2 ), aliphatic-oxy (e.g., alkoxy or -OR), aliphatic-carbonyl (e.g., alkanoyl or -C(O)R), aliphatic-carbonyloxy (e.g., alkanoyloxy or -OC(O)R), aliphatic-oxycarbonyl (e.g., alkoxycarbonyl or -C(O)OR), silyl (e.g., -SiR 3 ), aliphatic-oxy-silyl (e.g., alkoxysilyl or -Si(R) a (OR) b ), aminosilyl (e.g., -Si(R)a(NR 2 ) b ), silyloxy (e.g., -O-SiR 3 ), aliphatic-oxy-silyloxy (e.g., alkoxysilyloxy or -O-Si(R) a (OR) b ), aminosilyloxy (e.g., -O-Si(R) a (NR 2 ) b ), aromatic (e.g., aryl), aromatic-oxy (e.g., aryloxy or -OR), hydroxyl (-OH), formyl (-C(O)H), and the like. In particular embodiments, each R is, independently, H, optionally substituted aliphatic, optionally substituted alkyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted aryl, and optionally substituted heteroaromatic; a ≥ 0; b ≥ 1; and a + b = 3. In some embodiments, two R groups can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl. In other embodiments, each R is, independently, H, optionally substituted alkyl, optionally substituted alkenyl, optionally substituted alkynyl, or optionally substituted aryl. [0056] In other embodiments, Rʺ is H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted alkyl, optionally substituted silyl, or optionally substituted silyloxy. In some embodiments, Rʺ is optionally substituted alkyl (e.g., Me, Et, nPr, iPr, sBu, or tBu). In other embodiments, Rʺ is -SiRʹ 3 , -SiR 3 , -Si(Rʹ)a(OR) b , -Si(R)a(OR) b , -Si(Rʹ)a(NR 2 ) b , -Si(R)a(NR 2 ) b , -Si(Rʹ)a(OR) b (NR 2 ) c , -Si(R)a(OR) b (NR 2 ) c , -O-SiRʹ 3 , -O-SiR 3 , -O-Si(Rʹ)a(OR) b , -O-Si(R) a (OR) b , -O-Si(Rʹ) a (NR 2 ) b , -O-Si(R) a (NR 2 ) b , -O-Si(Rʹ) a (OR) b (NR 2 ) c , or -O-Si(R)a(OR) b (NR 2 ) c in which each Rʹ is, independently, H, aliphatic, heteroaliphatic, aromatic, heteroaromatic, amino, hydrazino, azido, hydroxyl, silyl, silyloxy, cyanato, isocyanato, cyano, or isocyano, in which any of these may be optionally substituted; each R is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic; each of a, b, and c ≥ 0; and a + b + c = 3 or a + b = 3 (if c is not present). In particular embodiments, R is H, optionally substituted alkyl, optionally substituted alkenyl, or optionally substituted alkynyl. [0057] The precursor can include at least one Rʹ group attached to the silicon atom. In one embodiment, the precursor has a formula of (Rʹ)(H) 3-x Si(NRʺ 2 ) x , wherein Rʹ and Rʺ can be any described herein, and wherein x is 1, 2, or 3. In another embodiment, the precursor has a formula of (Rʹ)(H) 2 Si(NRʺ 2 ), wherein Rʹ and Rʺ can be any described herein. In one embodiment, the precursor has a formula of (Rʹ)(H)Si(NRʺ 2 ) 2 , wherein Rʹ and Rʺ can be any described herein. In another embodiment, the precursor has a formula of (Rʹ) 2 (H)Si(NRʺ 2 ), wherein Rʹ and Rʺ can be any described herein. In yet another embodiment, the precursor has a formula of (Rʹ) 2 Si(NRʺ 2 ) 2 , wherein Rʹ and Rʺ can be any described herein. In one embodiment, the precursor has a formula of (Rʹ) 3 Si(NRʺ 2 ), wherein Rʹ and Rʺ can be any described herein. [0058] The precursor can lack an Rʹ group attached to the silicon atom. In one embodiment, the precursor has a formula of (H) 4-x Si(NRʺ 2 ) x , wherein each Rʺ can independently be any described herein, and wherein x is 1, 2, 3, or 4. In another embodiment, the precursor has a formula of Si(NRʺ 2 ) x , wherein each Rʺ can independently be any described herein. In particular embodiments, each Rʺ is, independently, aliphatic, heteroaliphatic, aromatic, or heteroaromatic. [0059] The precursor can include one or more hydrogen atoms attached to the silicon atom. In one embodiment, the precursor has a formula of (H) 3 Si(NRʺ 2 ) or (H) 2 Si(NRʺ 2 ) 2 or (H)Si(NRʺ 2 ) 3 , wherein each Rʺ can independently be any described herein. In particular embodiments, each Rʺ is, independently, aliphatic, heteroaliphatic, aromatic, heteroaromatic, or amino, in which any of these may be optionally substituted. [0060] The precursor can include a heterocyclyl group having a nitrogen atom. In one embodiment, the formula has a formula of H 3 Si-Het, in which Het is an optionally substituted heterocyclyl including at least one nitrogen atom. In particular embodiments, the precursor has a formula of , in which the heterocyclyl group can be optionally substituted (e.g., with any substituent described herein as a substitution for alkyl), and wherein n is 1,2, 3, 4, or 5. In one embodiment, the formula has a formula of Rʹ 3 Si-Het, in which Het is an optionally substituted heterocyclyl including at least one nitrogen atom, and each Rʹ can independently be any described herein. In particular embodiments, the precursor has a formula of , in which the heterocyclyl group can be optionally substituted (e.g., with any substituent described herein as a substitution for alkyl); each Rʹ can independently be any described herein; and wherein n is 1,2, 3, 4, or 5. [0061] In some instances, the precursor can have two or more silicon atoms, in which the precursor can include a Si-Si bond. In a particular embodiment, the precursor has a formula of (Rʺ 2 N)x(Rʹ) 3-x Si−Si(Rʹ) 3-x (NRʺ 2 )x, wherein Rʹ and Rʺ can be any described herein. In one embodiment, the precursor has a formula of (Rʺ 2 N)(Rʹ) 2 Si−Si(Rʹ) 2 (NRʺ 2 ), wherein Rʹ and Rʺ can be any described herein. In another embodiment, the precursor has a formula of (Rʺ 2 N) 2 (Rʹ)Si− Si(Rʹ)(NRʺ 2 ) 2 , wherein Rʹ and Rʺ can be any described herein. In yet another embodiment, the precursor has a formula of (Rʺ 2 N) 3 Si−Si(NRʺ 2 ) 3 , wherein each Rʺ can independently be any described herein. [0062] The precursor can include differing groups attached to the silicon atoms. In one instance, the precursor has a formula of (Rʺ 2 N) x (Rʹ) 3-x Si−SiH 3 , wherein Rʹ and Rʺ can be any described herein. [0063] A linker can be present between two silicon atoms. In one instance, the precursor has a formula of (Rʺ 2 N) x (Rʹ) 3-x Si−NR−Si(Rʹ) 3-x (NRʺ 2 ) x , wherein Rʹ and Rʺ can be any described herein, and in which R is H, optionally substituted aliphatic, optionally substituted alkyl, optionally substituted alkenyl, optionally substituted alkynyl, or optionally substituted aromatic. In another instance, the precursor has a formula of (Rʺ 2 N)x(H) 3-x Si−NR−Si(H) 3-x (NRʺ 2 )x, wherein R, Rʹ, and Rʺ can be any described herein. [0064] The precursor can include a combination of Rʹ groups with a linker having a heteroatom. In one instance, the precursor has a formula of (Rʹ) 3 Si−NR−Si(Rʹ) 3 , wherein R and Rʹ can be any described herein. In another instance, the precursor has a formula of (Rʹ) 3 Si−L−Si(Rʹ) 3 , wherein L and Rʹ can be any described herein. In particular embodiments, L is oxy (-O-), optionally substituted imino (e.g., -NR-), or optionally substituted silyl (e.g., -SiR 2 -). [0065] The precursor can include any useful combination of Rʹ and NRʺ 2 groups in combination with two silicon atoms. In one instance, the precursor has a formula of (Rʺ 2 N)(Rʹ) 2 Si−L−Si(Rʹ) 2 (NRʺ 2 ) x , wherein L, Rʹ, and Rʺ can be any described herein. [0066] The precursor can include heterocyclic groups including the silicon and nitrogen atoms. In one embodiment, the precursor has a formula of , wherein Rʹ and Rʺ can be any described herein, and wherein n is 1, 2, 3, or 4. [0067] In another embodiment, the precursor has a formula of , wherein Rʹ and Rʺ can be any described herein, and wherein n is 1, 2, 3, or 4. In yet another embodiment, the precursor has a formula of , in which each Rʺ can independently be any described herein; and wherein n is 1,2, 3, or 4. In another embodiment, the precursor has a formula of , wherein Rʹ and Rʺ can be any described herein, and wherein n is 1, 2, 3, or 4. In yet another embodiment, the precursor has a formula of , wherein Rʺ can independently be any described herein, and wherein n is 1, 2, 3, or 4. [0068] In any precursor herein, two Rʺ can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl. [0069] Precursors can include any of the following, e.g., (R Ak )Si(NH 2 )(NR Ak 2 ) 2 , (R Ak )Si(NR Ak 2 ) 3 , (R Ak ) 2 Si(NHR Ak 2 ) 2 , (R Ak )(H)Si(NHR Ak ) 2 , (R Ak ) 3 Si(NR Ak 2 ), (R Ak ) 3 Si(NHR Ak ), H 2 Si(NHR Ak 2 ) 2 , (R Ak )(H)Si(NR Ak 2 ) 2 , HSi(NH 2 )(NR Ak 2 ) 2 , HSi(NR Ak 2 ) 3 , Si(NR Ak 2 ) 4 , (Rʹ)(H)Si(NRʺ 2 ) 2 , (Rʹ) 2 Si(NR Ak 2 ) 2 , (Rʹ) 2 Si(N[SiH 3 ] 2 ) 2 , (Rʹ) 2 Si(N[SiRʺ 3 ] 2 ) 2 , or (Rʹ) 3 Si(NHR Ak ). In some embodiments, each of Rʹ and Rʺ, independently, can be any described herein (e.g., H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted alkyl, optionally substituted alkenyl, or optionally substituted alkynyl). In other embodiments, each R Ak is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted alkyl, optionally substituted alkenyl, or optionally substituted alkynyl. In particular embodiments, R Ak is methyl (Me), ethyl (Et), n-propyl (nPr), iso-propyl (iPr), n-butyl (nBu), sec-butyl (sBu), iso-butyl (iBu), tert-butyl (tBu), and the like. [0070] Non-limiting examples of precursor include any of the following: methylaminotrimethylsilane (SiMe 3 [NHMe]); dimethylaminodimethylsilane (SiMe 2 H[NMe 2 ]); dimethylaminotrimethylsilane (SiMe 3 [NMe 2 ]); dimethylaminodiethylsilane (SiHEt 2 [NMe 2 ]); dimethylaminotriethylsilane (SiEt 3 [NMe 2 ]); ethylmethylaminodimethylsilane (SiHMe 2 [NMeEt]); ethylmethylaminotrimethylsilane (SiMe 3 [NMeEt]); ethylmethylaminodiethylsilane (SiHEt 2 [NMeEt]); ethylmethylaminotriethylsilane (SiEt 3 [NMeEt]); diethylaminomethylsilane (SiH 2 Me[NEt 2 ]); diethylaminoethylsilane (SiH 2 Et[NEt 2 ]); ethylaminotrimethylsilane (SiMe 3 [NHEt]); diethylaminodimethylsilane (SiHMe 2 [NEt 2 ]); diethylaminodiethylsilane (SiHEt 2 [NEt 2 ]); diethylaminotrimethylsilane (SiMe 3 [NEt 2 ]); diethylaminotriethylsilane (SiEt 3 [NEt 2 ]); iso-propylaminodimethylsilane (SiHMe 2 [NHiPr]); iso-propylaminotrimethylsilane (SiMe 3 [NHiPr]); iso-propylaminodiethylsilane (SiHEt 2 [NHiPr]); iso-propylaminotriethylsilane (SiEt 3 [NHiPr]); di-isopropylaminotrimethylsilane (SiMe 3 [NiPr2]); di-iso-propylaminosilane (SiH 3 [NiPr 2 ], C 6 H 17 NSi, or DIPAS); di-iso-propylaminomethylsilane (SiH 2 Me[NiPr 2 ]); di- isopropylaminodimethylsilane (SiHMe 2 [NiPr2]); di-isopropylaminodiethylsilane (SiHEt 2 [NiPr2]); di-isopropylaminotriethylsilane (SiEt 3 [NiPr 2 ]); n-propylaminotrimethylsilane (SiMe 3 [NHnPr]); di-sec-butylaminosilane (SiH 3 [NsBu2] or DSBAS); di-sec-butylaminomethylsilane (SiH 2 Me[NsBu 2 ]); iso-butylaminotrimethylsilane (SiMe 3 [NHiBu]); n-butylaminotrimethylsilane (SiMe 3 [NHnBu]); tert-butylaminodimethylsilane (SiHMe 2 [NHtBu]); tert- butylaminotrimethylsilane (SiMe 3 [NHtBu]); tert-butylaminodiethylsilane (SiHEt 2 [NHtBu]); tert- butylaminotriethylsilane (SiEt 3 [NHtBu]); dicyclohexylaminosilane (SiH 3 [NCy 2 ], in which Cy is cyclohexyl); N-propylisopropylaminosilane (SiH 3 [NiPrnPr]); N-methylcyclohexylaminosilane (SiH 3 [NMeCy]); N-ethylcyclohexylaminosilane (SiH 3 [NEtCy]); allylphenylaminosilane (SiH 3 [NAllPh]); N-isopropylcyclohexylaminosilane (SiH 3 [NiPrCy]); allylcyclopentylaminosilane (SiH 3 [NAllCp]); phenylcyclohexylaminosilane (SiH 3 [NPhCy]); cyclohexylaminotrimethylsilane (SiMe 3 [NHCy], in which Cy is cyclohexyl); pyrrolyltrimethylsilane (SiMe 3 [NHPy], in which Py is pyrrolyl); pyrrolidinotrimethylsilane (SiMe 3 [NHPyr], in which Pyr is pyrrolindyl); piperidino trimethylsilane (SiMe 3 [NHPip], in which Pip is piperidinyl); piperazinotrimethylsilane (SiMe 3 [NHPz], in which Pz is piperazinyl); imidazolyltrimethylsilane (SiMe 3 [NHIm], in which Im is imidazolyl); bis(dimethylamino)silane (SiH 2 [NMe 2 ] 2 or BDMAS); bis(dimethylamino) methylsilane (SiMeH[NMe 2 ] 2 ); bis(dimethylamino)dimethylsilane (SiMe 2 [NMe 2 ] 2 or BDMADMS); bis(dimethylamino)diethylsilane (SiEt 2 [NMe 2 ] 2 ); bis(dimethylamino) methylvinylsilane (SiMeVi[NMe 2 ] 2 ); bis(ethylamino)dimethylsilane (SiMe 2 [NHEt] 2 ); bis(ethylmethylamino)silane (SiH 2 [NMeEt] 2 ); bis(ethylmethylamino)dimethylsilane (SiMe 2 [NMeEt] 2 ); bis(ethylmethylamino)diethylsilane (SiEt 2 [NMeEt] 2 ); bis(ethylmethylamino) methylvinylsilane (SiMeVi[NMeEt] 2 ); bis(diethylamino)silane (SiH 2 [NEt 2 ] 2 , C 8 H 22 N 2 Si, or BDEAS); bis(diethylamino)dimethylsilane (SiMe 2 [NEt 2 ] 2 ); bis(diethylamino)methylvinylsilane (SiMeVi[NEt 2 ] 2 ); bis(diethylamino)diethylsilane (SiEt 2 [NEt 2 ] 2 ); bis(iso-propylamino) dimethylsilane (SiMe 2 [NHiPr] 2 ); bis(iso-propylamino)diethylsilane (SiEt 2 [NHiPr] 2 ); bis(iso- propylamino)methylvinylsilane (SiMeVi[NHiPr] 2 ); bis(di-iso-propylamino)silane (SiH 2 [NiPr 2 ] 2 ); bis(di-iso-propylamino)dimethylsilane (SiMe 2 [NiPr2] 2 ); bis(di-iso-propylamino) diethylsilane (SiEt 2 [NiPr 2 ] 2 ); bis(di-iso-propylamino)methylvinylsilane (SiMeVi[NiPr 2 ] 2 ); bis(methylamino)silane (SiH 2 [NHMe] 2 ); bis(sec-butylamino)silane (SiH 2 [NHsBu] 2 ); bis(sec- butylamino)methylsilane (SiHMe[NHsBu] 2 ); bis(sec-butylamino)ethylsilane (SiHEt[NHsBu] 2 ); bis(tert-butylamino)silane (SiH 2 [NHtBu] 2 or BTBAS); bis(tert-butylamino)dimethylsilane (SiMe 2 [NHtBu] 2 ); bis(tert-butylamino) methylvinylsilane (SiMeVi[NHtBu] 2 ); bis(tert- butylamino)diethylsilane (SiEt 2 [NHtBu] 2 ); bis(1-imidazolyl)dimethylsilane (SiMe 2 [Im] 2 , in which Im is imidazolyl); tris(dimethylamino)silane (SiH[NMe 2 ] 3 or 3DMAS); tris(dimethylamino)phenylsilane (SiPh[NMe 2 ] 3 ); tris(dimethylamino) methylsilane (SiMe[NMe 2 ] 3 ); tris(dimethylamino)ethylsilane (SiEt[NMe 2 ] 3 ); tris(ethylmethylamino)silane (SiH[NEtMe] 3 ); tris(diethylamino)silane (SiH[NEt 2 ] 3 ); tris(iso-propylamino)silane (SiH[NHiPr] 3 , C9H25N3Si, or TIPAS); tris(dimethylamino)silylamide (Si[NMe 2 ] 3 [NH 2 ]); tetrakis(dimethylamino)silane (Si[NMe 2 ] 4 ); tetrakis(ethylmethylamino)silane (Si[NEtMe] 4 ); tetrakis(diethylamino)silane (Si[NEt 2 ] 4 ); 1,2-diethyl-tetrakis(diethylamino) disilane ([Et 2 N] 2 EtSi−SiEt[NEt 2 ] 2 ); 1,2-dimethyl-tetrakis(dimethylamino)disilane ([Me 2 N] 2 MeSi− SiMe[NMe 2 ] 2 ); 1,2-dimethyl-tetrakis(diethylamino)disilane ([Et 2 N] 2 MeSi−SiMe[NEt 2 ] 2 ); hexakis(methylamino)disilane ([MeHN] 3 Si−Si[NHMe] 3 ); hexakis(ethylamino)disilane ([EtHN] 3 Si−Si[NHEt] 3 ); hexakis(dimethylamino)disilazane (Me 2 N−Si[NMe 2 ] 2 − Si[NMe 2 ] 2 −NMe 2 ), and the like. [0071] In some embodiments, the silane precursor is a halosilane precursor. A halosilane precursor is defined as a precursor having at least one halogen-containing atom and at least one silicon atom. Halogens include chlorine, fluorine, bromine, and iodine. In some embodiments, the halosilane precursor includes a structure of formula (I): Si(X) 4 , wherein at least one X includes a halogen atom. [0072] For example, one halosilane is tetrachlorosilane (SiCl 4 ). Another example of a chemical formula of a halosilane is Si n X y H z where X is a halogen and H is hydrogen; n is an integer greater than or equal to 1 and is equal to the number of Si atoms in the molecule; in some embodiments, y is about 1 to about 4, and z is 4-y. Additional examples include but are not limited to SiHCl 3 , SiH 2 Cl 2 , and SiH 3 Cl. [0073] Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Specific chlorosilanes include but are not limited to tetrachlorosilane, trichlorosilane, dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like. [0074] In some embodiments, the halosilane is carbon-free. In some embodiments, the halosilane is an organic silicon-containing precursor. [0075] In some embodiments, the halosilane precursor (e.g., in formula (I)) has at least one optionally substituted C 1-2 haloalkyl group. Non-limiting haloaliphatic groups include -CX y H 3-y , wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I); -CX z H 2-z CX y H 3-y , wherein z is 0, 1, or 2, wherein y is 0, 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I), in which at least one of z or y is not 0; or -CH 2 CX y H 3 -y, wherein y is 1, 2, or 3, and wherein each X is, independently, halo (F, Cl, Br, or I). Yet other non- limiting haloalkyl groups include fluoromethyl (-CH 2 F), difluoromethyl (-CHF 2 ), trifluoromethyl (-CF 3 ), chloromethyl (-CH 2 Cl), dichloromethyl (-CHCl 2 ), trichloromethyl (-CCl 3 ), bromomethyl (-CH 2 Br), dibromomethyl (-CHBr 2 ), tribromomethyl (-CBr3), iodomethyl (-CH 2 I), diiodomethyl (-CHI 2 ), triiodomethyl (-CI 3 ), bromofluoromethyl (-CHFBr), chlorofluoromethyl (-CHFCl), fluoroiodomethyl (-CHFI), 2-fluoroethyl (-CH 2 CH 2 F), 2-chloroethyl (-CH 2 CH 2 Cl), 2-bromoethyl (-CH 2 CH 2 Br), 2-iodoethyl (-CH 2 CH 2 I), 2,2-difluoroethyl (-CH 2 CHF 2 ), 2,2-dichloroethyl (- CH 2 CHCl 2 ), 2,2-dibromoethyl (-CH 2 CHBr 2 ), 2,2-diiodoethyl (-CH 2 CHI 2 ), 2,2-fluoroiodoethyl (- CH 2 CHFI), and the like. In particular embodiments, the C 1-2 haloalkyl includes β-halo-substituted ethyl. Yet other haloaliphatic groups include C 1-4 haloalkyl, C 2-4 haloalkenyl, and C 2-4 haloalkynyl. [0076] Flow rate of the halosilane precursor in operation 104 may range from about 100 sccm to about 2000 sccm. Exposure in operation 104 may range from about 0.1 seconds to about 100 seconds. [0077] During operation 104, the chamber pressure may be about 1 Torr to about 25 Torr. [0078] In some embodiments, a carrier gas may be flowed during operation 104. The carrier gas may be an inert gas, such as argon, hydrogen, helium, neon, nitrogen, and combinations thereof. The carrier gas may be diverted such that the carrier gas is used to deliver the ammonia and/or nitrogen gas to the process chamber. In some embodiments, the carrier gas may be provided to assist with pressure and/or temperature control of the process chamber. In some embodiments, the carrier gas is used to ensure more rapid delivery of a gas to the process chamber. In various embodiments, operation 104 is performed once before depositing the silicon-containing dielectric or metal-containing dielectric material on the substrate. [0079] In some embodiments, a dilution gas is used and co-flowed with the halosilane precursor. The dilution gas may be nitrogen gas in some embodiments. The flow rate of the dilution gas may be about 500 sccm to about 20000 sccm. The flow rate ratio of dilution gas to halosilane precursor may be about 0.1:1 to about 10:1. [0080] Operations 104, 106, 108, and 110 may constitute an atomic layer deposition cycle. [0081] In operation 106, the chamber is optionally purged. Purging the chamber may involve flowing a purge gas or a sweep gas, which may be a carrier gas used in other operations or may be a different gas. In some embodiments, purging may involve evacuating the chamber. Examples of purge gases include argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ), helium (He), oxygen (O 2 ), krypton (Kr), xenon (Xe), neon (Ne), and combinations thereof. In various embodiments, the purge gas is an inert gas. The purge gas may include one or more gases. In some embodiments, operation 106 may include one or more evacuation subphases for evacuating the process chamber. Alternatively, it will be appreciated that operation 106 may be omitted in some embodiments. In some embodiments, increasing a flow rate of one or more purge gases may decrease the duration of operation 106. For example, a purge gas flow rate may be adjusted according to various reactant thermodynamic characteristics and/or geometric characteristics of the process chamber and/or process chamber plumbing for modifying the duration of operation 106. In one non-limiting example, the duration of a purge phase may be adjusted by modulating purge gas flow rate. This may reduce deposition cycle time, which may improve substrate throughput. After a purge, the halosilane molecules remain adsorbed onto the substrate surface. [0082] The flow rate of the purge gas may about 1000 sccm to about 40000 sccm. The duration of operation 106 may be about 0.1 seconds to about 10 seconds. The chamber pressure during operation 106 may be about 0.5 Torr to about 22 Torr. [0083] In operation 108, an oxygen-containing conversion gas is introduced to the process chamber without a plasma to form silicon oxide on a surface of the substrate. The oxygen- containing conversion gas reacts with the halosilane to form silicon oxide. The oxygen-containing conversion gas may be any one or more of oxygen (O 2 ), nitrous oxide (N 2 O), and ozone (O 3 ). In various embodiments, the oxygen-containing conversion gas is flowed using a flow rate of about 100 sccm to about 20000 sccm. The oxygen-containing conversion gas may be optionally co- flowed with an inert gas, such as hydrogen gas. The flow rate of hydrogen gas may be 0 sccm to about 5000 sccm. In some embodiments, the oxygen-containing gas is optionally diluted with a dilution gas, such as nitrogen gas. The flow rate of nitrogen gas may be about 500 sccm to about 20000 sccm. [0084] Operation 108 may be performed for a duration of about 1 second to about 30 seconds. The chamber pressure during operation 108 may be about 5 Torr to about 25 Torr. In various embodiments, a plasma is not ignited during operation 108. In various embodiments, a plasma is not used in any of operations 104, 106, 108, or 110. [0085] In operation 110, the chamber is optionally purged. Purging may be performed using any of the chemistries, methods, and process conditions described above with respect to operation 106. In some embodiments, the chamber is purged using nitrogen as a purge gas. Nitrogen may be flowed at a flow rate of about 1000 sccm to about 40000 sccm. Operation 110 may be performed for a duration of about 0.1 second to about 10 seconds. The chamber pressure may be about 0.5 Torr to about 25 Torr. [0086] In operation 112, the substrate is optionally annealed. Annealing may be performed in situ. During annealing, hydrogen, oxygen gas, or both may be flowed. The flow rate of hydrogen is about 0 sccm to about 5000 sccm. The flow rate of oxygen is about 0 sccm to about 20000 sccm. [0087] In some embodiments, operation 112 involves extending operation 108 to performing for a longer duration such as performing a combined duration of about 0.1 second to about 900 seconds. In some embodiments, operation 108 and 112 are performed at the same temperature. [0088] In some embodiments, operation 112 involves a separate thermal anneal using an oxygen-containing gas and hydrogen gas. In some embodiments, operation 112 involve a separate plasma-based anneal using an oxygen-containing gas and hydrogen gas. The plasma may be generated using a plasma power for a single wafer of about 100W to about 10000W. [0089] In some embodiments, annealing in operation 112 is performed in an external chamber using nitrogen at a temperature of at least about 700°C or at least about 800°C. In some embodiments, annealing in an external chamber is performed in addition to performing an anneal in situ to further tune film properties. [0090] In some embodiments, an optional purge operation 114 may be performed after operation 112. Operation 114 may utilize chemistries, process conditions, and methods such as those described above with respect to operation 106 and 110. [0091] In various embodiments, operations 104-110 are repeated in cycles. It may be determined whether the desired thickness of silicon nitride film has been deposited. If not, operations 104-110 are repeated in sufficient cycles to deposit a desired thickness of silicon oxide. Any suitable number of deposition cycles may be included in an ALD process to deposit a desired film thickness of silicon oxide. For example, about 20 to about 40 deposition cycles may be performed to deposit a silicon nitride film on the substrate using disclosed embodiments. In some embodiments, 20 to 40 depositions are performed. [0092] In each cycle, the amount of thickness of silicon oxide film formed may be about 0.5 Å to about 2 Å. In various embodiments, operations 104-112 are performed in cycles. In some embodiments, operation 112 and optionally operation 114 is performed after numerous cycles of operations 104-110. In various embodiments, operations 104-114 are performed in cycles. [0093] The as-deposited silicon oxide film deposited using certain disclosed embodiments contain very low hydrogen content, very low chlorine content, or both. For example, the atomic hydrogen content of silicon oxide deposited using certain disclosed embodiments may be less than about 0.5% or less than about 0.3%. The atomic chlorine content of silicon oxide deposited using certain disclosed embodiments may be less than about 0.5% or less than about 0.05%. An FTIR of silicon oxide films deposited using certain disclosed embodiments may have no visible -OH peaks. The hydrogen and chlorine content can be further reduced by performing the anneal, or performing anneal at higher temperature or with higher plasma power or for a longer duration. The silicon oxide film may exhibit a high concentration of Si-O bonds and low concentration of carbon. The wet etch rate of silicon oxide films deposited using certain disclosed embodiments in 100:1 HF may be about 2:1 or less as compared to thermal oxide grown at temperatures greater than about 1000°C. [0094] The silicon oxide film may also be modulated to having a desired stress. The stress may be modulated to a tensile film or a compressive film. The stress may be about +50 MPa to about -350 MPa. [0095] In various embodiments, using certain disclosed embodiments, the silicon oxide film has neutral stress. The process conditions that may be modulated to change stress include but are not limited to process conditions of and whether to perform an anneal, and temperature during deposition. Films deposited using certain disclosed embodiments may also exhibit high conformality, such as having a step coverage of at least about 80%, or at least about 90%, or at least about 95%, or at least about 99%. [0096] Certain disclosed embodiments may be used to deposit a high quality silicon oxide material, such as a silicon oxide liner used as a tunnel oxide in 3D-NAND memory storage. Certain disclosed embodiments are useful to form tunnel oxide layers in 3D-NAND memory fabrication to replace or supplement deposition of silicon nitride followed by converting the silicon nitride to a silicon oxide, which involves multiple fabrication operations and can involve multiple tools, as certain disclosed embodiments can be used to improve efficiency and increase throughput. Certain disclosed embodiments address problems converting silicon nitride to silicon oxide which may cause induced stress due to the volumetric expansion of the film in features in a semiconductor substrate. Some current processes may be performed in a furnace, which may also utilize extremely high temperatures, long cycle times, and limited tunability; in contrast, certain disclosed embodiments allow wide tunability windows for obtaining different film properties APPARATUS [0097] Figure 2 depicts a schematic illustration of an embodiment of an atomic layer deposition (ALD) process station 200 having a process chamber body 202 for maintaining a low-pressure environment. In various embodiments, a single process station 200 is implemented in a tool such as shown in Figure 3. In some embodiments, a plurality of ALD process stations 200 may be included in a common low pressure process tool environment. For example, Figure 4 depicts an embodiment of a multi-station processing tool 400. In some embodiments, one or more hardware parameters of ALD process station 200 including those discussed in detail below may be adjusted programmatically by one or more computer controllers 250. [0098] ALD process station 200 fluidly communicates with reactant delivery system 201a for delivering process gases to a showerhead 206. Reactant delivery system 201a includes a mixing vessel 209 for blending and/or conditioning process gases, such as a silicon-containing precursor gas, or oxygen-containing gas, for delivery to showerhead 206. One or more mixing vessel inlet valves 220 may control introduction of process gases to mixing vessel 204. One or more valves 205 may control introduction of gases to the showerhead 206. [0099] As an example, the embodiment of Figure 2 includes a vaporization point 203 for vaporizing liquid reactant to be supplied to the mixing vessel 204. In some embodiments, vaporization point 203 may be a heated vaporizer. The saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve purging and/or evacuating the delivery piping to remove residual reactant. However, purging the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 203 may be heat traced. In some examples, mixing vessel (not shown) may also be heat traced. In one non-limiting example, piping downstream of vaporization point 203 has an increasing temperature profile extending from approximately 40°C to approximately 55°C or from about 60°C to about 65°C at mixing vessel. [0100] In some embodiments, liquid precursor or liquid reactant may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one embodiment, a liquid injector may vaporize the reactant by flashing the liquid from a higher pressure to a lower pressure. In another example, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. Smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 203. In one scenario, a liquid injector may be mounted directly to mixing vessel. In another scenario, a liquid injector may be mounted directly to showerhead 206. [0101] In some embodiments, a liquid flow controller (LFC) upstream of vaporization point 203 may be provided for controlling a mass flow of liquid for vaporization and delivery to ALD process station 200. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, this may be performed by disabling a sense tube of the LFC and the PID controller. [0102] Showerhead 206 distributes process gases toward substrate 212. In the embodiment shown in Figure 2, the substrate 212 is located beneath showerhead 206 and is shown resting on a pedestal 208. Showerhead 206 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing process gases to substrate 212. [0103] In some embodiments, pedestal 208 may be raised or lowered to expose substrate 212 to a volume between the substrate 212 and the showerhead 206. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 250. [0104] In another scenario, adjusting a height of pedestal 208 may allow a plasma density to be varied during plasma activation in the process in embodiments where a plasma is ignited. At the conclusion of the process phase, pedestal 208 may be lowered during another substrate transfer phase to allow removal of substrate 212 from pedestal 208. [0105] In some embodiments, pedestal 208 may be temperature controlled via heater 210. In some embodiments, the pedestal 208 may be heated to a temperature of about 25°C to about 800°C, or about 200°C to about 700°C, during deposition of silicon oxide films as described in disclosed embodiments. In some embodiments, the pedestal is set at a temperature of about 25°C to about 800°C, or about 200°C to about 300°C. In some embodiments, the same pedestal 208 is used for subsequent annealing. [0106] Further, in some embodiments, pressure control for ALD process station 200 may be provided by butterfly valve 218. As shown in the embodiment of Figure 2, butterfly valve 218 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of ALD process station 200 may also be adjusted by varying a flow rate of one or more gases introduced to the ALD process station 200. [0107] In some embodiments, a position of showerhead 206 may be adjusted relative to pedestal 208 to vary a volume between the substrate 212 and the showerhead 206. Further, it will be appreciated that a vertical position of pedestal 208 and/or showerhead 206 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 208 may include a rotational axis for rotating an orientation of substrate 212. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 250. [0108] In some embodiments where plasma may be used as discussed above, showerhead 206 and pedestal 208 electrically communicate with a radio frequency (RF) power supply 214 and matching network 216 for powering a plasma. For example, plasma may be used for treating a silicon oxide surface prior to depositing silicon nitride. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 214 and matching network 216 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are about 150W to about 10000W for a single-station chamber. For a 4-station chamber, the plasma power may include four generators each powered up to about 10000W, for a total of about 40000W. For annealing a silicon oxide film , the substrate may be exposed to a nitrogen-containing gas, or a mixture of nitrogen-containing gases and optional inert gases while igniting a plasma using the RF power supply 214 and matching network 216. [0109] In some embodiments, the substrate may be exposed to nitrogen-containing gas while igniting a plasma to anneal silicon oxide using plasma powers such as between about 500W and about 10000W per surface area of a 300mm wafer. The plasma may be generated remotely (such as in a remote plasma generator) or directly in a chamber housing the substrate (i.e. in situ). RF power supply 214 may provide RF power of any suitable frequency. In some embodiments, RF power supply 214 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 0 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz, or greater than about 13.56 MHz, or greater than 27 MHz, or greater than 30 MHz, or greater than 60 MHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. [0110] In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers. [0111] In some embodiments, instructions for a controller 250 may be provided via input/output control (IOC) sequencing instructions. In one example, the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in a recipe phase. For example, a first recipe phase may include instructions for setting a flow rate of a halosilane gas, instructions for setting a flow rate of a carrier gas (such as argon), and time delay instructions for the first recipe phase. A second recipe phase may include modulating or stopping a flow rate of an inert and/or a reactant gas, instructions for setting a flow rate of a carrier gas (such as argon), and time delay instructions for a second recipe phase. A third, subsequent recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, and instructions for modulating a flow rate of an oxygen-containing gas and time delay instructions for the third recipe phase. A fourth recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, instructions for modulating the flow rate of a carrier or purge gas, and time delay instructions for the fourth recipe phase. A fifth, subsequent recipe phase may include instructions for modulating or stopping a flow rate of an inert and/or a reactant gas, instructions for heating or igniting a plasma, and instructions for modulating a flow rate of a carrier or purge gas and time delay instructions for the fifth recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the disclosed embodiments. In some embodiments, the controller 250 may include any of the features described below with respect to system controller 350 of Figure 3 and system controller 450 of Figure 4. [0112] A process station may be included in a single-station chamber or single-chamber tool such as shown in Figure 3. Figure 3 depicts an example processing apparatus according to disclosed embodiments. Tool 300 includes a processing chamber 314 which includes a processing station 390 may process a wafer. The processing chamber 314 is configured to deposit silicon oxide, deposit silicon nitride, anneal substrates using thermal or plasma anneals, and the like. [0113] Tool 300 also includes a wafer transfer unit configured to transport wafers within the tool 300. Additional features of tool 300 will be discussed in greater detail below, and various features are discussed here with respect to some of the described techniques. In the depicted illustration, the wafer transfer unit includes a first robotic arm unit 326 in a first wafer transfer module and a second robotic arm unit 306 in a second wafer transfer module that may be considered an equipment front end module (EFEM) configured to received containers for wafers, such as a front opening unified module (FOUP) 308. The first robotic arm unit 326 is configured to transport a wafer between the processing chamber 314 and the second robotic arm unit via module 304 which may hold multiple wafers such as shown in module 302 with substrate 312. The second robotic arm unit 306 is configured to transport the wafer between a FOUP and module 304, or from module 302 to FOUP. After a wafer has been prepared in the module 304, the wafer transfer unit is able to transfer the wafer to first processing chamber 314 for deposition and optional anneal in situ. [0114] Similar to above, the first wafer transfer module may a vacuum transfer module (VTM). Airlock or module 304, also known as a loadlock, is shown and may be individually optimized to perform various fabrication processes. The tool 300 also includes a FOUP 308 that is configured to lower the pressure of the tool 300 to a vacuum or low pressure, e.g., between about 1 mTorr and about 10 Torr, and maintain the tool 300 at this pressure. This includes maintaining the processing chamber 314, and the first wafer transfer module at the vacuum or low pressure. The second wafer transfer module may be at a different pressure, such as atmospheric. As the wafer is transferred throughout the tool 300, it is therefore maintained at the vacuum or low pressure. [0115] In a further example, a substrate is placed in one of the FOUPs 308 and the second robot arm unit 306, or front-end robot, transfers the substrate from the FOUP 318 to an aligner, which allows the substrate to be properly centered before it is etched, or deposited upon, or otherwise processed. After being aligned, the substrate is moved by the second robot arm unit 306 into the airlock module 304. Because airlock modules have the ability to match the environment between an ATM and a VTM, the substrate is able to move between the two pressure environments without being damaged. From the airlock module 304, the substrate is moved by the first robot arm unit 326 through the first wafer transfer module, or VTM, and into the processing chamber 314. In order to achieve this substrate movement, the first robot arm unit 326 uses end effectors on each of its arms. [0116] Figure 3 also depicts an embodiment of a system controller 350 employed to control process conditions and hardware states of process tool 300. System controller 350 may include one or more memory devices 356, one or more mass storage devices 354, and one or more processors 352. Processor 352 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. In some embodiments, system controller 350 includes machine-readable instructions for performing operations such as those described above with respect to Figure 2 and below with respect to Figure 4. [0117] As described above, one or more process stations may be included in a multi-station processing tool. Figure 4 depicts an example processing apparatus according to disclosed embodiments. Tool 400 includes a first processing chamber 402 and a second processing chamber 404. The first processing chamber 402 includes a plurality of processing stations, four stations 480A–D, that each may process a wafer. The first processing chamber 402 is configured to perform plasma treatment operations on the wafers. The second processing chamber 404 is configured to perform deposition on the wafer and may be considered a deposition chamber. The second processing chamber 404 also includes a plurality of processing stations, four stations 482A–D, that each may process a wafer. The first and second processing chambers 402 and 404 may be considered multi-station processing chambers. [0118] Tool 400 also includes a wafer transfer unit configured to transport one or more wafers within the tool 400. Additional features of tool 400 will be discussed in greater detail below, and various features are discussed here with respect to some of the described techniques. In the depicted illustration, the wafer transfer unit includes a first robotic arm unit 408 in a first wafer transfer module 410 and a second robotic arm unit 412 in a second wafer transfer module 414 that may be considered an equipment front end module (EFEM) configured to received containers for wafers, such as a front opening unified module (FOUP) 416. The first robotic arm unit 408 is configured to transport a wafer between the first processing chamber 402 and the second processing chamber 404, and between the second the second robotic arm unit 412. The second robotic arm unit 412 is configured to transport the wafer between a FOUP and the first robotic arm unit 408. After a wafer has been treated in the first processing chamber 402, the wafer transfer unit is able to transfer the wafer from the first processing chamber 402, to the second processing chamber 404 where one or more layers of encapsulation material may be deposited on one or more wafers. [0119] Similar to above, the first wafer transfer module 410 may a vacuum transfer module (VTM). Airlock 420, also known as a loadlock or transfer module, is shown and may be individually optimized to perform various fabrication processes. The tool 400 also includes a FOUP 416 that is configured to lower the pressure of the tool 400 to a vacuum or low pressure, e.g., between about 1 mTorr and about 10 Torr, and maintain the tool 400 at this pressure. This includes maintaining the first and second processing chambers 402 and 404, and the first wafer transfer module 410 at the vacuum or low pressure. The second wafer transfer module 414 may be at a different pressure, such as atmospheric. As the wafer is transferred throughout the tool 400, it is therefore maintained at the vacuum or low pressure. For example, as the wafer is transferred from the first processing chamber 402, into the first wafer transfer module 410, and to the second processing chamber 404, the wafer is maintained at the vacuum or low pressure and not exposed to atmospheric pressure. [0120] In a further example, a substrate is placed in one of the FOUPs 418 and the second robot arm unit 412, or front-end robot, transfers the substrate from the FOUP 418 to an aligner, which allows the substrate to be properly centered before it is etched, or deposited upon, or otherwise processed. After being aligned, the substrate is moved by the second robot arm unit 412 into the airlock 420. Because airlock modules have the ability to match the environment between an ATM and a VTM, the substrate is able to move between the two pressure environments without being damaged. From the airlock 420, the substrate is moved by the first robot arm unit 408 through the first wafer transfer module 410, or VTM 410, and into the first processing chamber 402. In order to achieve this substrate movement, the first robot arm unit 408 uses end effectors on each of its arms. [0121] Figure 4 also depicts an embodiment of a system controller 429 employed to control process conditions and hardware states of tool 400. System controller 429 may include one or more memory devices (not shown), one or more mass storage devices (not shown), and one or more processors (not shown). Processors may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc. [0122] In some embodiments, system controller 429 controls all of the activities of tool 400. System controller 429 executes system control software stored in mass storage device, loaded into memory device, and executed on processor. Alternatively, the control logic may be hard coded in the system controller 429. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and parameters of a particular process performed by tool 400. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language. [0123] In some embodiments, system control software may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device and/or memory device associated with system controller 429 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program. [0124] A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal and to control the spacing between the substrate and other parts of tool 400. [0125] A process gas control program may include code for controlling gas composition (e.g., silicon-containing precursor gases, nitrogen-containing gases, carrier gases, inert gases, and/or purge gases as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc. [0126] A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium or nitrogen) to the substrate. [0127] A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein. [0128] A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein. [0129] In some embodiments, there may be a user interface associated with system controller 429. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc. [0130] In some embodiments, parameters adjusted by system controller 429 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface. [0131] Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 429 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of tool 400. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions. [0132] System controller 429 may provide program instructions for implementing the above- described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein. [0133] The system controller 429 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 429. [0134] In some implementations, the system controller 429 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The system controller 429, depending on the processing conditions and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system. [0135] Broadly speaking, the system controller 429 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the system controller 429 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. [0136] The system controller 429, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 429 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 429 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the system controller 429 is configured to interface with or control. Thus as described above, the system controller 429 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber. [0137] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers. [0138] As noted above, depending on the process step or steps to be performed by the tool, the system controller 429 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. [0139] An appropriate apparatus for performing the methods disclosed herein is further discussed and described in U.S. Patent Application Nos. 13/084,399 (now U.S. Patent No.8,728,956), filed April 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”; and 13/084,305, filed April 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” each of which is incorporated herein in its entireties. [0140] The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. EXPERIMENTAL [0141] Silicon oxide was deposited on a substrate using atomic layer deposition cycles involving exposure to dichlorosilane, purge, oxygen gas, and purge, at 650°C. The as-deposited silicon oxide was assessed by FTIR which is generated in Figure 5 which shows no visible -OH peaks and a strong peak at 1072 cm -1 for Si-O bonds suggesting high quality silicon oxide formation. [0142] The atomic content of silicon, oxygen, carbon, hydrogen, chlorine, and fluorine were evaluated per depth of the silicon oxide deposited film. The impurity level of fluorine was 0.001%, the impurity level of chlorine was 0.1%, and the impurity level of hydrogen was 0.2%. DEFINITIONS [0143] The term “acyl,” or “alkanoyl,” as used interchangeably herein, represents groups of 1, 2, 3, 4, 5, 6, 7, 8 or more carbon atoms of a straight, branched, cyclic configuration, saturated, unsaturated and aromatic, and combinations thereof, or hydrogen, attached to the parent molecular group through a carbonyl group, as defined herein. This group is exemplified by formyl (-C(O)H), acetyl (Ac or -C(O)Me), propionyl, isobutyryl, butanoyl, and the like. In some embodiments, the acyl or alkanoyl group is -C(O)-R, in which R is hydrogen, an aliphatic group, or an aromatic group, as defined herein. [0144] By “alkanoyloxy” is meant an alkanoyl group, as defined herein, attached to the parent molecular group through an oxy group, as defined herein. This group is exemplified by acetoxy (-OAc or -OC(O)Me). In some embodiments, the alkanoyloxy group is -OC(O)-R, in which R is hydrogen, an aliphatic group, or an aromatic group, as defined herein. [0145] By “aliphatic” is meant a hydrocarbon group having at least one carbon atom to 50 carbon atoms (C 1-50 ), such as one to 25 carbon atoms (C 1-25 ), or one to ten carbon atoms (C 1-10 ), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well. An aliphatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the aliphatic group can be substituted with one or more substitution groups, as described herein for alkyl. [0146] By “aliphatic-carbonyl” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through a carbonyl group (-C(O)-). In some embodiments, the aliphatic-carbonyl group is -C(O)-R, in which R is an optionally substituted aliphatic group, as defined herein. [0147] By “aliphatic-carbonyloxy” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through a carbonyloxy group (-OC(O)-). In some embodiments, the aliphatic-carbonyloxy group is -OC(O)- R, in which R is an optionally substituted aliphatic group, as defined herein. [0148] By “aliphatic-oxy” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through an oxy group (-C(O)- ). In some embodiments, the aliphatic-oxy group is -O-R, in which R is an optionally substituted aliphatic group, as defined herein. [0149] By “aliphatic-oxycarbonyl” is meant an aliphatic group that is or can be coupled to a compound disclosed herein, wherein the aliphatic group is or becomes coupled through an oxycarbonyl group (-C(O)O-). In some embodiments, the aliphatic-oxycarbonyl group is -C(O)O- R, in which R is an optionally substituted aliphatic group, as defined herein. [0150] By “alkyl-aryl,” “alkenyl-aryl,” and “alkynyl-aryl” is meant an alkyl, alkenyl, or alkynyl group, respectively and as defined herein, that is or can be coupled (or attached) to the parent molecular group through an aryl group, as defined herein. The alkyl-aryl, alkenyl-aryl, and/or alkynyl-aryl group can be substituted or unsubstituted. For example, the alkyl-aryl, alkenyl-aryl, and/or alkynyl-aryl group can be substituted with one or more substitution groups, as described herein for alkyl and/or aryl. Exemplary unsubstituted alkyl-aryl groups are of from 7 to 16 carbons (C 7-16 alkyl-aryl), as well as those having an alkyl group with 1 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C 1-6 alkyl-C 4-18 aryl). Exemplary unsubstituted alkenyl-aryl groups are of from 7 to 16 carbons (C 7-16 alkenyl-aryl), as well as those having an alkenyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C 2-6 alkenyl-C 4-18 aryl). Exemplary unsubstituted alkynyl-aryl groups are of from 7 to 16 carbons (C 7-16 alkynyl-aryl), as well as those having an alkynyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C 2-6 alkynyl-C 4-18 aryl). In some embodiments, the alkyl-aryl group is -L-R, in which L is an aryl group or an arylene group, as defined herein, and R is an alkyl group, as defined herein. In some embodiments, the alkenyl-aryl group is -L-R, in which L is an aryl group or an arylene group, as defined herein, and R is an alkenyl group, as defined herein. In some embodiments, the alkynyl- aryl group is -L-R, in which L is an aryl group or an arylene group, as defined herein, and R is an alkynyl group, as defined herein. [0151] By “alkenyl” is meant an unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C 2-50 ), such as two to 25 carbon atoms (C 2-25 ), or two to ten carbon atoms (C 2-10 ), and at least one carbon-carbon double bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkene. An alkenyl group can be branched, straight-chain, cyclic (e.g., cycloalkenyl), cis, or trans (e.g., E or Z). An exemplary alkenyl includes an optionally substituted C 2-24 alkyl group having one or more double bonds. The alkenyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. The alkenyl group can also be substituted or unsubstituted. For example, the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl. Non-limiting alkenyl groups include allyl (All), vinyl (Vi), 1-butenyl, 2-butenyl, and the like. [0152] By “alkoxy” is meant -OR, where R is an optionally substituted aliphatic group, as described herein. Exemplary alkoxy groups include, but are not limited to, methoxy, ethoxy, n- propoxy, isopropoxy, n-butoxy, t-butoxy, sec-butoxy, n-pentoxy, trihaloalkoxy, such as trifluoromethoxy, etc. The alkoxy group can be substituted or unsubstituted. For example, the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxy groups include C 1-3 , C 1-6 , C 1-12 , C 1-16 , C 1-18 , C 1-20 , or C 1-24 alkoxy groups. [0153] By “alkoxyalkyl” is meant an alkyl group, as defined herein, which is substituted with an alkoxy group, as defined herein. Exemplary unsubstituted alkoxyalkyl groups include between 2 to 12 carbons (C 2-12 alkoxyalkyl), as well as those having an alkyl group with 1 to 6 carbons and an alkoxy group with 1 to 6 carbons (i.e., C 1-6 alkoxy-C 1-6 alkyl). In some embodiments, the alkoxyalkyl group is -L-O-R, in which each of L and R is, independently, an alkyl group, as defined herein. [0154] By “alkoxycarbonyl” is meant -C(O)-OR, where R is an optionally substituted aliphatic group, as described herein. In particular embodiments, the alkoxycarbonyl group is -C(O)-OAk, in which Ak is an alkyl group, as defined herein. The alkoxycarbonyl group can be substituted or unsubstituted. For example, the alkoxycarbonyl group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxycarbonyl groups include C 2-3 , C 2-6 , C 2-7 , C 2-12 , C 2-16 , C 2-18 , C 2-20 , or C 2-24 alkoxycarbonyl groups. [0155] By “alkyl” is meant a saturated monovalent hydrocarbon having at least one carbon atom to 50 carbon atoms (C 1-50 ), such as one to 25 carbon atoms (C 1-25 ), or one to ten carbon atoms (C 1 - 10 ), wherein the saturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent compound (e.g., alkane). An alkyl group can be branched, straight-chain, or cyclic (e.g., cycloalkyl). An exemplary alkyl includes a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl (Me), ethyl (Et), n-propyl (nPr), iso-propyl (iPr), n-butyl (nBu), iso-butyl (iBu), sec-butyl (sBu), tert-butyl (tBu), pentyl (Pe), n-pentyl (nPe), isopentyl (iPe), s-pentyl (sPe), neopentyl (neoPe), tert-pentyl (tPe), hexyl (Hx), heptyl (Hp), octyl (Oc), nonyl (Nn), decyl (De), dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like. The alkyl group can also be substituted or unsubstituted. The alkyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. For example, the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) C 1-6 alkoxy (e.g., -O-R, in which R is C 1-6 alkyl); (2) C 1- 6 alkylsulfinyl (e.g., -S(O)-R, in which R is C 1-6 alkyl); (3) C 1-6 alkylsulfonyl (e.g., -SO 2 -R, in which R is C 1-6 alkyl); (4) amino (e.g., -NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein); (5) aryl; (6) arylalkoxy (e.g., -O-L-R, in which L is alkyl and R is aryl); (7) aryloyl (e.g., -C(O)-R, in which R is aryl); (8) azido (e.g., -N 3 ); (9) cyano (e.g., -CN); (10) aldehyde (e.g., -C(O)H); (11) C 3-8 cycloalkyl; (12) halo; (13) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (14) heterocyclyloxy (e.g., -O-R, in which R is heterocyclyl, as defined herein); (15) heterocyclyloyl (e.g., -C(O)-R, in which R is heterocyclyl, as defined herein); (16) hydroxyl (e.g., -OH); (17) N-protected amino; (18) nitro (e.g., -NO 2 ); (19) oxo (e.g., =O); (20) C 1-6 thioalkyl (e.g., -S-R, in which R is alkyl); (21) thiol (e.g., -SH); (22) -CO 2 R 1 , where R 1 is selected from the group consisting of (a) hydrogen, (b) C 1-6 alkyl, (c) C 4- 18 aryl, and (d) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (23) -C(O)NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) C 1-6 alkyl, (c) C 4-18 aryl, and (d) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (24) -SO 2 R 1 , where R 1 is selected from the group consisting of (a) C 1-6 alkyl, (b) C 4-18 aryl, and (c) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (25) -SO 2 NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) C 1-6 alkyl, (c) C 4-18 aryl, and (d) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); and (26) -NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C 1-6 alkyl, (d) C 2-6 alkenyl, (e) C 2-6 alkynyl, (f) C 4-18 aryl, (g) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl), (h) C 3-8 cycloalkyl, and (i) C 3-8 cycloalkyl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group. The alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e.g., one or more halo or alkoxy). In some embodiments, the unsubstituted alkyl group is a C 1-3 , C 1-6 , C 1-12 , C 1-16 , C 1-18 , C 1-20 , or C 1-24 alkyl group. [0156] By “alkylene,” “alkenylene,” or “alkynylene” is meant a multivalent (e.g., bivalent) form of an alkyl, alkenyl, or alkynyl group, respectively, as described herein. Exemplary alkylene groups include methylene, ethylene, propylene, butylene, etc. In some embodiments, the alkylene group is a C 1-3 , C 1-6 , C 1-12 , C 1-16 , C 1-18 , C 1-20 , C 1-24 , C 2-3 , C 2-6 , C 2-12 , C 2-16 , C 2-18 , C 2-20 , or C 2-24 alkylene group. In other embodiments, the alkylene group is a C 2-3 , C 2-6 , C 2-12 , C 2-16 , C 2-18 , C 2-20 , or C 2-24 alkenylene or alkynylene group. The alkylene, alkenylene, or alkynylene group can be branched or unbranched. The alkylene, alkenylene, or alkynylene group can also be substituted or unsubstituted. For example, the alkylene, alkenylene, or alkynylene group can be substituted with one or more substitution groups, as described herein for alkyl. [0157] By “alkylsulfinyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through an -S(O)- group. In some embodiments, the unsubstituted alkylsulfinyl group is a C 1-6 or C 1-12 alkylsulfinyl group. In other embodiments, the alkylsulfinyl group is -S(O)- R, in which R is an alkyl group, as defined herein. [0158] By “alkylsulfinylalkyl” is meant an alkyl group, as defined herein, substituted by an alkylsulfinyl group. In some embodiments, the unsubstituted alkylsulfinylalkyl group is a C 2-12 or C 2-24 alkylsulfinylalkyl group (e.g., C 1-6 alkylsulfinyl-C 1-6 alkyl or C 1-12 alkylsulfinyl-C 1-12 alkyl). In other embodiments, the alkylsulfinylalkyl group is -L-S(O)-R, in which each of L and R is, independently, an alkyl group, as defined herein. [0159] By “alkylsulfonyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through an -SO 2 - group. In some embodiments, the unsubstituted alkylsulfonyl group is a C 1-6 or C 1-12 alkylsulfonyl group. In other embodiments, the alkylsulfonyl group is -SO 2 - R, where R is an optionally substituted alkyl (e.g., as described herein, including optionally substituted C 1-12 alkyl, haloalkyl, or perfluoroalkyl). [0160] By “alkylsulfonylalkyl” is meant an alkyl group, as defined herein, substituted by an alkylsulfonyl group. In some embodiments, the unsubstituted alkylsulfonylalkyl group is a C 2-12 or C 2-24 alkylsulfonylalkyl group (e.g., C 1-6 alkylsulfonyl-C 1-6 alkyl or C 1-12 alkylsulfonyl-C 1-12 alkyl). In other embodiments, the alkylsulfonylalkyl group is -L-SO 2 -R, in which each of L and R is, independently, an alkyl group, as defined herein. [0161] By “alkynyl” is meant an unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C 2-50 ), such as two to 25 carbon atoms (C 2-25 ), or two to ten carbon atoms (C 2-10 ), and at least one carbon-carbon triple bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkyne. An alkynyl group can be branched, straight-chain, or cyclic (e.g., cycloalkynyl). An exemplary alkynyl includes an optionally substituted C 2-24 alkyl group having one or more triple bonds. The alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like. The alkynyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. The alkynyl group can also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl. [0162] By “ambient temperature” is meant a temperature ranging from 16°C to 26°C, such as from 19°C to 25°C or from 20°C to 25°C. [0163] By “amide” is mean -C(O)NR 1 R 2 or -NHCOR 1 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, aromatic, as defined herein, or any combination thereof, or where R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. [0164] By “amino” is meant -NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In particular embodiments, each of R 1 and R 2 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, optionally substituted silyl, or optionally substituted silyloxy. In particular embodiments, R 1 and R 2 can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl. [0165] By “aminoalkyl” is meant an alkyl group, as defined herein, substituted by an amino group, as defined herein. In some embodiments, the aminoalkyl group is -L-NR 1 R 2 , in which L is an alkyl group, as defined herein, and each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, or aromatic, as defined herein, or any combination thereof; or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In other embodiments, the aminoalkyl group is -L-C(NR 1 R 2 )(R 3 )-R 4 , in which L is a covalent bond or an alkyl group, as defined herein; each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, or aromatic, as defined herein, or any combination thereof; or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein; and each of R 3 and R 4 is, independently, H or alkyl, as defined herein. [0166] By “aminooxy” is meant an oxy group, as defined herein, substituted by an amino group, as defined herein. In some embodiments, the aminooxy group is -O-NR 1 R 2 , in which each of R 1 and R 2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In particular embodiments, each of R 1 and R 2 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, optionally substituted silyl, or optionally substituted silyloxy. [0167] By “aromatic” is meant a cyclic, conjugated group or moiety of, unless specified otherwise, from 5 to 15 ring atoms having a single ring (e.g., phenyl) or multiple condensed rings in which at least one ring is aromatic (e.g., naphthyl, indolyl, or pyrazolopyridinyl); that is, at least one ring, and optionally multiple condensed rings, have a continuous, delocalized π-electron system. Typically, the number of out of plane π-electrons corresponds to the Huckel rule (4n+2). The point of attachment to the parent structure typically is through an aromatic portion of the condensed ring system. An aromatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the aromatic group can be substituted with one or more substitution groups, as described herein for alkyl and/or aryl. [0168] By “aromatic-carbonyl” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through a carbonyl group (-C(O)-). In some embodiments, the aromatic-carbonyl group is -C(O)-R, in which R is an optionally substituted aromatic group, as defined herein. [0169] By “aromatic-carbonyloxy” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through a carbonyloxy group (-OC(O)-). In some embodiments, the aromatic-carbonyloxy group is -OC(O)- R, in which R is an optionally substituted aromatic group, as defined herein. [0170] By “aromatic-oxy” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through an oxy group (-O-). In some embodiments, the aromatic-oxy group is -O-R, in which R is an optionally substituted aromatic group, as defined herein. [0171] By “aromatic-oxycarbonyl” is meant an aromatic group that is or can be coupled to a compound disclosed herein, wherein the aromatic group is or becomes coupled through an oxycarbonyl group (-C(O)O-). In some embodiments, the aromatic-carbonyl group is -C(O)O-R, in which R is an optionally substituted aromatic group, as defined herein. [0172] By “aryl” is meant an aromatic carbocyclic group comprising at least five carbon atoms to 15 carbon atoms (C 5-15 ), such as five to ten carbon atoms (C 5-10 ), having a single ring or multiple condensed rings, which condensed rings can or may not be aromatic provided that the point of attachment to a remaining position of the compounds disclosed herein is through an atom of the aromatic carbocyclic group. Aryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. Exemplary aryl groups include, but are not limited to, benzyl, naphthalene, phenyl, biphenyl, phenoxybenzene, and the like. The term aryl also includes heteroaryl, which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom. The aryl group can be substituted or unsubstituted. The aryl group can be substituted with one, two, three, four, or five substituents independently selected from the group consisting of: (1) C 1-6 alkanoyl (e.g., -C(O)-R, in which R is C 1-6 alkyl); (2) C 1-6 alkyl; (3) C 1-6 alkoxy (e.g., -O-R, in which R is C 1-6 alkyl); (4) C 1-6 alkoxy-C 1-6 alkyl (e.g., -L-O-R, in which each of L and R is, independently, C 1-6 alkyl); (5) C 1-6 alkylsulfinyl (e.g., -S(O)-R, in which R is C 1-6 alkyl); (6) C 1-6 alkylsulfinyl-C 1-6 alkyl (e.g., -L-S(O)-R, in which each of L and R is, independently, C 1-6 alkyl); (7) C 1-6 alkylsulfonyl (e.g., -SO 2 -R, in which R is C 1-6 alkyl); (8) C 1-6 alkylsulfonyl-C 1-6 alkyl (e.g., -L-SO 2 -R, in which each of L and R is, independently, C 1-6 alkyl); (9) aryl; (10) amino (e.g., - NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof; or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein); (11) C 1-6 aminoalkyl (e.g., -L 1 -NR 1 R 2 or -L 2 - C(NR 1 R 2 )(R 3 )-R 4 , in which L 1 is C 1-6 alkyl; L 2 is a covalent bond or C 1-6 alkyl; each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof; or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein; and each of R 3 and R 4 is, independently, H or C 1-6 alkyl); (12) heteroaryl; (13) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (14) aryloyl (e.g., -C(O)-R, in which R is aryl); (15) azido (e.g., -N 3 ); (16) cyano (e.g., -CN); (17) C 1-6 azidoalkyl (e.g., -L-N 3 , in which L is C 1-6 alkyl); (18) aldehyde (e.g., -C(O)H); (19) aldehyde-C 1-6 alkyl (e.g., -L-C(O)H, in which L is C 1-6 alkyl); (20) C 3-8 cycloalkyl; (21) C 3-8 cycloalkyl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 3-8 cycloalkyl); (22) halo; (23) C 1-6 haloalkyl (e.g., -L 1 -X or -L 2 -C(X)(R 1 )- R 2 , in which L 1 is C 1-6 alkyl; L 2 is a covalent bond or C 1-6 alkyl; X is fluoro, bromo, chloro, or iodo; and each of R 1 and R 2 is, independently, H or C 1-6 alkyl); (24) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (25) heterocyclyloxy (e.g., -O-R, in which R is heterocyclyl, as defined herein); (26) heterocyclyloyl (e.g., -C(O)-R, in which R is heterocyclyl, as defined herein); (27) hydroxyl (-OH); (28) C 1-6 hydroxyalkyl (e.g., -L 1 -OH or -L 2 -C(OH)(R 1 )-R 2 , in which L 1 is C 1-6 alkyl; L 2 is a covalent bond or alkyl; and each of R 1 and R 2 is, independently, H or C 1-6 alkyl, as defined herein); (29) nitro; (30) C 1-6 nitroalkyl (e.g., -L 1 -NO or -L 2 -C(NO)(R 1 )-R 2 , in which L 1 is C 1-6 alkyl; L 2 is a covalent bond or alkyl; and each of R 1 and R 2 is, independently, H or C 1-6 alkyl, as defined herein); (31) N-protected amino; (32) N-protected amino-C 1-6 alkyl; (33) oxo (e.g., =O); (34) C 1-6 thioalkyl (e.g., -S-R, in which R is C 1-6 alkyl); (35) thio-C 1-6 alkoxy-C 1-6 alkyl (e.g., -L- S-R, in which each of L and R is, independently, C 1-6 alkyl); (36) -(CH 2 ) r CO 2 R 1 , where r is an integer of from zero to four, and R 1 is selected from the group consisting of (a) hydrogen, (b) C 1-6 alkyl, (c) C 4-18 aryl, and (d) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (37) -(CH 2 ) r CONR 1 R 2 , where r is an integer of from zero to four and where each R 1 and R 2 is independently selected from the group consisting of (a) hydrogen, (b) C 1-6 alkyl, (c) C 4-18 aryl, and (d) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (38) -(CH 2 ) r SO 2 R 1 , where r is an integer of from zero to four and where R 1 is selected from the group consisting of (a) C 1-6 alkyl, (b) C 4-18 aryl, and (c) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (39) -(CH 2 ) r SO 2 NR 1 R 2 , where r is an integer of from zero to four and where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) C 1-6 alkyl, (c) C 4-18 aryl, and (d) C 4-18 aryl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl); (40) -(CH 2 ) r NR 1 R 2 , where r is an integer of from zero to four and where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C 1-6 alkyl, (d) C 2-6 alkenyl, (e) C 2-6 alkynyl, (f) C 4-18 aryl, (g) C 4-18 aryl- C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 4-18 aryl), (h) C 3-8 cycloalkyl, and (i) C 3-8 cycloalkyl-C 1-6 alkyl (e.g., -L-R, in which L is C 1-6 alkyl and R is C 3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group; (41) thiol (e.g., -SH); (42) perfluoroalkyl (e.g., -(CF 2 ) n CF 3 , in which n is an integer from 0 to 10); (43) perfluoroalkoxy (e.g., -O-(CF 2 ) n CF 3 , in which n is an integer from 0 to 10); (44) aryloxy (e.g., -O-R, in which R is aryl); (45) cycloalkoxy (e.g., -O-R, in which R is cycloalkyl); (46) cycloalkylalkoxy (e.g., -O-L-R, in which L is alkyl and R is cycloalkyl); and (47) arylalkoxy (e.g., -O-L-R, in which L is alkyl and R is aryl). In particular embodiments, an unsubstituted aryl group is a C 4-18 , C 4-14 , C 4-12 , C 4-10 , C 6-18 , C 6-14 , C 6-12 , or C 6-10 aryl group. [0173] By “aryl-alkyl,” “aryl-alkenyl,” and “aryl-alkynyl” is meant an aryl group, as defined herein, that is or can be coupled (or attached) to the parent molecular group through an alkyl, alkenyl, or alkynyl group, respectively, as defined herein. The aryl-alkyl, aryl-alkenyl, and/or aryl- alkynyl group can be substituted or unsubstituted. For example, the aryl-alkyl, aryl-alkenyl, and/or aryl-alkynyl group can be substituted with one or more substitution groups, as described herein for aryl and/or alkyl. Exemplary unsubstituted aryl-alkyl groups are of from 7 to 16 carbons (C 7-16 aryl-alkyl), as well as those having an aryl group with 4 to 18 carbons and an alkyl group with 1 to 6 carbons (i.e., C 4-18 aryl-C 1-6 alkyl). Exemplary unsubstituted aryl-alkenyl groups are of from 7 to 16 carbons (C 7-16 aryl-alkenyl), as well as those having an aryl group with 4 to 18 carbons and an alkenyl group with 2 to 6 carbons (i.e., C 4-18 aryl-C 2-6 alkenyl). Exemplary unsubstituted aryl- alkynyl groups are of from 7 to 16 carbons (C 7-16 aryl-alkynyl), as well as those having an aryl group with 4 to 18 carbons and an alkynyl group with 2 to 6 carbons (i.e., C 4-18 aryl-C 2-6 alkynyl). In some embodiments, the aryl-alkyl group is -L-R, in which L is an alkyl group or an alkylene group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the aryl-alkenyl group is -L-R, in which L is an alkenyl group or an alkenylene group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the aryl-alkynyl group is -L-R, in which L is an alkynyl group or an alkynylene group, as defined herein, and R is an aryl group, as defined herein. [0174] By “arylene” is meant a multivalent (e.g., bivalent) form of an aryl group, as described herein. Exemplary arylene groups include phenylene, naphthylene, biphenylene, triphenylene, diphenyl ether, acenaphthenylene, anthrylene, or phenanthrylene. In some embodiments, the arylene group is a C 4-18 , C 4-14 , C 4-12 , C 4-10 , C 6-18 , C 6-14 , C 6-12 , or C 6-10 arylene group. The arylene group can be branched or unbranched. The arylene group can also be substituted or unsubstituted. For example, the arylene group can be substituted with one or more substitution groups, as described herein for aryl. [0175] By “arylalkoxy” is meant an aryl-alkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the arylalkoxy group is -O-L- R, in which L is an alkyl group, as defined herein, and R is an aryl group, as defined herein. [0176] By “aryloxy” is meant -OR, where R is an optionally substituted aryl group, as described herein. In some embodiments, an unsubstituted aryloxy group is a C 4-18 or C 6-18 aryloxy group. In other embodiments, R is an aryl group that is optionally substituted with alkyl, alkanoyl, amino, hydroxyl, and the like. [0177] By “aryloxycarbonyl” is meant an aryloxy group, as defined herein, that is attached to the parent molecular group through a carbonyl group. In some embodiments, an unsubstituted aryloxycarbonyl group is a C 5-19 aryloxycarbonyl group. In other embodiments, the aryloxycarbonyl group is -C(O)O-R, in which R is an aryl group, as defined herein. [0178] By “aryloyl” is meant an aryl group that is attached to the parent molecular group through a carbonyl group. In some embodiments, an unsubstituted aryloyl group is a C 7-11 aryloyl or C 5-19 aryloyl group. In other embodiments, the aryloyl group is -C(O)-R, in which R is an aryl group, as defined herein. [0179] By “aryloyloxy” is meant an aryloyl group, as defined herein, that is attached to the parent molecular group through an oxy group. In some embodiments, an unsubstituted aryloyloxy group is a C 5-19 aryloyloxy group. In other embodiments, the aryloyloxy group is -OC(O)-R, in which R is an aryl group, as defined herein. [0180] By “azido” is meant an -N 3 group. [0181] By “azidoalkyl” is meant an azido group attached to the parent molecular group through an alkyl group, as defined herein. In some embodiments, the azidoalkyl group is -L-N 3 , in which L is an alkyl group, as defined herein. [0182] By “azo” is meant an -N=N- group. [0183] By “carbamoyl” is meant an amino group attached to the parent molecular group through a carbonyl group, as defined herein. In some embodiments, the carbamoyl is -C(O)NR 1 R 2 group, where each of R 1 and R 2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. [0184] By “carbamoyloxy” is meant a carbamoyl group, as defined herein, attached to the parent molecular group through n oxy group, as defined herein. In some embodiments, the carbamoyl is -OC(O)NR 1 R 2 group, where each of R 1 and R 2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where R 1 and R 2 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. [0185] By “carbonimidoyl” is meant a -C(NR)- group. In some embodiments, R is selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl, optionally substituted silyloxy, as defined herein, or any combination thereof. [0186] By “carbonyl” is meant a -C(O)- group, which can also be represented as >C=O. [0187] By “carboxyl” is meant a -CO 2 H group or an anion thereof. [0188] By “catalyst” is meant a compound, usually present in small amounts relative to reactants, capable of catalyzing a synthetic reaction, as would be readily understood by a person of ordinary skill in the art. In some embodiments, catalysts may include transition metal coordination complex. [0189] By “cyanato” is meant a -OCN group. [0190] By “cyano” is meant a -CN group. [0191] By “cycloaliphatic” is meant an aliphatic group, as defined herein, that is cyclic. [0192] By “cycloalkoxy” is meant a cycloalkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the cycloalkoxy group is -O-R, in which R is a cycloalkyl group, as defined herein. [0193] By “cycloalkylalkoxy” is meant a -O-L-R group, in which L is an alkyl group or an alkylene group, as defined herein, and R is a cycloalkyl group, as defined herein. [0194] By “cycloalkyl” is meant a monovalent saturated or unsaturated non-aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1.heptyl], and the like. The cycloalkyl group can also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl. Further, cycloalkyl may include one or more double bonds and/or triple bonds. [0195] By “cycloheteroaliphatic” is meant a heteroaliphatic group, as defined herein, that is cyclic. [0196] By “disilanyl” is meant a group containing an Si-Si bond. In some embodiments, the disilanyl group is a -SiR S1 R S2 -SiR S3 R S4 R S5 or -SiR S1 R S2 -SiR S3 R S4 - group, in which each of R S1 , R S2 , R S3 , R S4 , and R S5 is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, or optionally substituted amino. [0197] By “disulfide” is meant -SSR, where R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof. [0198] By “electron-donating group” is meant a functional group capable of donating at least a portion of its electron density into the ring to which it is directly attached, such as by resonance. [0199] By “electron-withdrawing group” is meant a functional group capable of accepting electron density from the ring to which it is directly attached, such as by inductive electron withdrawal. [0200] By “halo” is meant F, Cl, Br, or I. [0201] By “haloaliphatic” is meant an aliphatic group, as defined herein, in which one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo. [0202] By “haloalkyl” is meant an alkyl group, as defined herein, where one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo. In an independent embodiment, haloalkyl can be a -CX 3 group, wherein each X independently can be selected from fluoro, bromo, chloro, or iodo. In some embodiments, the haloalkyl group is -L-X, in which L is an alkyl group, as defined herein, and X is fluoro, bromo, chloro, or iodo. In other embodiments, the haloalkyl group is -L-C(X)(R 1 )-R 2 , in which L is a covalent bond or an alkyl group, as defined herein; X is fluoro, bromo, chloro, or iodo; and each of R 1 and R 2 is, independently, H or alkyl, as defined herein. [0203] By “haloheteroaliphatic” is meant a heteroaliphatic, as defined herein, in which one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo. [0204] By “heteroaliphatic” is meant an aliphatic group, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group. A heteroaliphatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the heteroaliphatic group can be substituted with one or more substitution groups, as described herein for alkyl. [0205] By “heteroaliphatic-carbonyl” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through a carbonyl group (-C(O)-). In some embodiments, the heteroaliphatic-carbonyl group is -C(O)-R, in which R is an optionally substituted heteroaliphatic group, as defined herein. [0206] By “heteroaliphatic-carbonyloxy” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through a carbonyloxy group (-OC(O)-). In some embodiments, the heteroaliphatic-carbonyloxy group is -OC(O)-R, in which R is an optionally substituted heteroaliphatic group, as defined herein. [0207] By “heteroaliphatic-oxy” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through an oxy group (-C(O)-). In some embodiments, the heteroaliphatic-oxy group is -O-R, in which R is an optionally substituted heteroaliphatic group, as defined herein. [0208] By “heteroaliphatic-oxycarbonyl” is meant a heteroaliphatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaliphatic group is or becomes coupled through an oxycarbonyl group (-C(O)O-). In some embodiments, the heteroaliphatic-oxycarbonyl group is -C(O)O-R, in which R is an optionally substituted heteroaliphatic group, as defined herein. [0209] By “heteroalkyl,” “heteroalkenyl,” and “heteroalkynyl” is meant an alkyl, alkenyl, or alkynyl group (which can be branched, straight-chain, or cyclic), respectively, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group. [0210] By “heteroalkylene,” “heteroalkenylene,” and “heteroalkynylene” is meant a multivalent (e.g., bivalent) form of a heteroalkyl, heteroalkenyl, or heteroalkynyl group, respectively, as described herein. [0211] By “heteroaromatic” is meant an aromatic group, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group. A heteroaromatic group is unsubstituted or substituted, e.g., by a functional group described herein. For example, the heteroaromatic group can be substituted with one or more substitution groups, as described herein for alkyl and/or aryl. [0212] By “heteroaromatic-carbonyl” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through a carbonyl group (-C(O)-). In some embodiments, the heteroaromatic-carbonyl group is -C(O)-R, in which R is an optionally substituted heteroaromatic group, as defined herein. [0213] By “heteroaromatic-carbonyloxy” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through a carbonyloxy group (-OC(O)-). In some embodiments, the heteroaromatic-carbonyloxy group is -OC(O)-R, in which R is an optionally substituted heteroaromatic group, as defined herein. [0214] By “heteroaromatic-oxy” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through an oxy group (-O-). In some embodiments, the heteroaromatic-oxy group is -O-R, in which R is an optionally substituted heteroaromatic group, as defined herein. [0215] By “heteroaromatic-oxycarbonyl” is meant a heteroaromatic group that is or can be coupled to a compound disclosed herein, wherein the heteroaromatic group is or becomes coupled through an oxycarbonyl group (-C(O)O-). In some embodiments, the heteroaromatic-carbonyl group is -C(O)O-R, in which R is an optionally substituted heteroaromatic group, as defined herein. [0216] By “heteroaryl” is meant an aryl group including at least one heteroatom to six heteroatoms, such as one to four heteroatoms, which can be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the ring. Such heteroaryl groups can have a single ring or multiple condensed rings, where the condensed rings may or may not be aromatic and/or contain a heteroatom, provided that the point of attachment is through an atom of the aromatic heteroaryl group. Heteroaryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. An exemplary heteroaryl includes a subset of heterocyclyl groups, as defined herein, which are aromatic, i.e., they contain 4n+2 pi electrons within the mono- or multicyclic ring system. [0217] By “heteroarylene” is meant a multivalent (e.g., bivalent) form of a heteroaryl group, as described herein. [0218] By “heteroatom” is meant an atom other than carbon, such as oxygen, nitrogen, sulfur, silicon, boron, selenium, or phosphorous. In particular disclosed embodiments, such as when valency constraints do not permit, a heteroatom does not include a halogen atom. [0219] By “heterocyclyl” is meant a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, or halo). The 5-membered ring has zero to two double bonds and the 6- and 7-membered rings have zero to three double bonds. The term “heterocyclyl” also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like. Heterocyclics include thiiranyl, thietanyl, tetrahydrothienyl, thianyl, thiepanyl, aziridinyl, azetidinyl, pyrrolidinyl, piperidinyl, azepanyl, pyrrolyl, pyrrolinyl, pyrazolyl, pyrazolinyl, pyrazolidinyl, imidazolyl, imidazolinyl, imidazolidinyl, pyridyl, homopiperidinyl, pyrazinyl, piperazinyl, pyrimidinyl, pyridazinyl, oxazolyl, oxazolidinyl, oxazolidonyl, isoxazolyl, isoxazolidiniyl, morpholinyl, thiomorpholinyl, thiazolyl, thiazolidinyl, isothiazolyl, isothiazolidinyl, indolyl, quinolinyl, isoquinolinyl, benzimidazolyl, benzothiazolyl, benzoxazolyl, furyl, thienyl, thiazolidinyl, isothiazolyl, isoindazoyl, triazolyl, tetrazolyl, oxadiazolyl, uricyl, thiadiazolyl, pyrimidyl, tetrahydrofuranyl, dihydrofuranyl, dihydrothienyl, dihydroindolyl, tetrahydroquinolyl, tetrahydroisoquinolyl, pyranyl, dihydropyranyl, tetrahydropyranyl, dithiazolyl, dioxanyl, dioxinyl, dithianyl, trithianyl, oxazinyl, thiazinyl, oxothiolanyl, triazinyl, benzofuranyl, benzothienyl, and the like. [0220] By “heterocyclyloxy” is meant a heterocyclyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the heterocyclyloxy group is -O-R, in which R is a heterocyclyl group, as defined herein. [0221] By “heterocyclyloyl” is meant a heterocyclyl group, as defined herein, attached to the parent molecular group through a carbonyl group. In some embodiments, the heterocyclyloyl group is -C(O)-R, in which R is a heterocyclyl group, as defined herein. [0222] By “hydrazino” is meant -NR 1 -NR 2 R 3 , where each of R 1 , R 2 , and R 3 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, or optionally substituted silyloxy, as defined herein, or any combination thereof; or where a combination of R 1 and R 2 or a combination of R 2 and R 3 , taken together with the nitrogen atom to which each are attached, can form a heterocyclyl group, as defined herein. In some embodiments, each of R 1 , R 2 , or R 3 is, independently, H, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl. In particular embodiments, R 2 and R 3 can be taken together, with the nitrogen atom to which each is attached, to form an optionally substituted heterocyclyl. [0223] By “hydroxyl” is meant -OH. [0224] By “hydroxyalkyl” is meant an alkyl group, as defined herein, substituted by one to three hydroxyl groups, with the proviso that no more than one hydroxyl group may be attached to a single carbon atom of the alkyl group and is exemplified by hydroxymethyl, dihydroxypropyl, and the like. In some embodiments, the hydroxyalkyl group is -L-OH, in which L is an alkyl group, as defined herein. In other embodiments, the hydroxyalkyl group is -L-C(OH)(R 1 )-R 2 , in which L is a covalent bond or an alkyl group, as defined herein, and each of R 1 and R 2 is, independently, H or alkyl, as defined herein. [0225] By “imidoyl” is meant a moiety including a carbonimidoyl group. In some embodiments, the imidoyl group is C(NR 1 )R 2 , in which each of R 1 and R 2 is, independently, selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl, optionally substituted silyloxy, as defined herein, or any combination thereof. In other embodiments, the imidoyl group is -C(NR 1 )H, -C(NR 1 )R Ak , or -C(NR N1 )R Ar , in which R 1 is hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, optionally substituted silyl, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl, or optionally substituted silyloxy; R Ak is an optionally substituted alkyl or an optionally substituted aliphatic; and R Ar is an optionally substituted aryl or an optionally substituted aromatic. [0226] By “imino” is meant a -NR- group. In some embodiments, R is selected from hydrogen, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic. In particular embodiments, R is H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl. [0227] By “isocyanato” is meant a -NCO group. [0228] By “isocyano” is meant a -NC group. [0229] By “ketone” is meant -C(O)R or a compound including such a group, where R is selected from aliphatic, heteroaliphatic, aromatic, as defined herein, or any combination thereof. An example of a ketone can include R 1 C(O)R, in which each of R and R 1 is, independently, selected from aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, as defined herein, or any combination thereof. [0230] By “nitro” is meant an -NO 2 group. [0231] By “nitroalkyl” is meant an alkyl group, as defined herein, substituted by one to three nitro groups. In some embodiments, the nitroalkyl group is -L-NO, in which L is an alkyl group, as defined herein. In other embodiments, the nitroalkyl group is -L-C(NO)(R 1 )-R 2 , in which L is a covalent bond or an alkyl group, as defined herein, and each of R 1 and R 2 is, independently, H or alkyl, as defined herein. [0232] By “oxo” is meant an =O group. [0233] By “oxy” is meant -O-. [0234] By “perfluoroalkyl” is meant an alkyl group, as defined herein, having each hydrogen atom substituted with a fluorine atom. Exemplary perfluoroalkyl groups include trifluoromethyl, pentafluoroethyl, etc. In some embodiments, the perfluoroalkyl group is -(CF 2 ) n CF 3 , in which n is an integer from 0 to 10. [0235] By “perfluoroalkoxy” is meant an alkoxy group, as defined herein, having each hydrogen atom substituted with a fluorine atom. In some embodiments, the perfluoroalkoxy group is -O-R, in which R is a perfluoroalkyl group, as defined herein. [0236] By “salt” is meant an ionic form of a compound or structure (e.g., any formulas, compounds, or compositions described herein), which includes a cation or anion compound to form an electrically neutral compound or structure. Salts are well known in the art. For example, non-toxic salts are described in Berge S. M. et al., “Pharmaceutical salts,” J. Pharm. Sci. 1977 January; 66(1):1-19; and in “Handbook of Pharmaceutical Salts: Properties, Selection, and Use,” Wiley-VCH, April 2011 (2nd rev. ed., eds. P. H. Stahl and C. G. Wermuth. The salts can be prepared in situ during the final isolation and purification of the compounds of the invention or separately by reacting the free base group with a suitable organic acid (thereby producing an anionic salt) or by reacting the acid group with a suitable metal or organic salt (thereby producing a cationic salt). Representative anionic salts include acetate, adipate, alginate, ascorbate, aspartate, benzenesulfonate, benzoate, bicarbonate, bisulfate, bitartrate, borate, bromide, butyrate, camphorate, camphorsulfonate, chloride, citrate, cyclopentanepropionate, digluconate, dihydrochloride, diphosphate, dodecylsulfate, edetate, ethanesulfonate, fumarate, glucoheptonate, gluconate, glutamate, glycerophosphate, hemisulfate, heptonate, hexanoate, hydrobromide, hydrochloride, hydroiodide, hydroxyethanesulfonate, hydroxynaphthoate, iodide, lactate, lactobionate, laurate, lauryl sulfate, malate, maleate, malonate, mandelate, mesylate, methanesulfonate, methylbromide, methylnitrate, methylsulfate, mucate, 2-naphthalenesulfonate, nicotinate, nitrate, oleate, oxalate, palmitate, pamoate, pectinate, persulfate, 3-phenylpropionate, phosphate, picrate, pivalate, polygalacturonate, propionate, salicylate, stearate, subacetate, succinate, sulfate, tannate, tartrate, theophyllinate, thiocyanate, triethiodide, toluenesulfonate, undecanoate, valerate salts, and the like. Representative cationic salts include metal salts, such as alkali or alkaline earth salts, e.g., barium, calcium (e.g., calcium edetate), lithium, magnesium, potassium, sodium, and the like; other metal salts, such as aluminum, bismuth, iron, and zinc; as well as nontoxic ammonium, quaternary ammonium, and amino cations, including, but not limited to ammonium, tetramethylammonium, tetraethylammonium, methylamine, dimethylamine, trimethylamine, triethylamine, ethylamine, pyridinium, and the like. Other cationic salts include organic salts, such as chloroprocaine, choline, dibenzylethylenediamine, diethanolamine, ethylenediamine, methylglucamine, and procaine. Yet other salts include ammonium, sulfonium, sulfoxonium, phosphonium, iminium, imidazolium, benzimidazolium, amidinium, guanidinium, phosphazinium, phosphazenium, pyridinium, etc., as well as other cationic groups described herein (e.g., optionally substituted isoxazolium, optionally substituted oxazolium, optionally substituted thiazolium, optionally substituted pyrrolium, optionally substituted furanium, optionally substituted thiophenium, optionally substituted imidazolium, optionally substituted pyrazolium, optionally substituted isothiazolium, optionally substituted triazolium, optionally substituted tetrazolium, optionally substituted furazanium, optionally substituted pyridinium, optionally substituted pyrimidinium, optionally substituted pyrazinium, optionally substituted triazinium, optionally substituted tetrazinium, optionally substituted pyridazinium, optionally substituted oxazinium, optionally substituted pyrrolidinium, optionally substituted pyrazolidinium, optionally substituted imidazolinium, optionally substituted isoxazolidinium, optionally substituted oxazolidinium, optionally substituted piperazinium, optionally substituted piperidinium, optionally substituted morpholinium, optionally substituted azepanium, optionally substituted azepinium, optionally substituted indolium, optionally substituted isoindolium, optionally substituted indolizinium, optionally substituted indazolium, optionally substituted benzimidazolium, optionally substituted isoquinolinum, optionally substituted quinolizinium, optionally substituted dehydroquinolizinium, optionally substituted quinolinium, optionally substituted isoindolinium, optionally substituted benzimidazolinium, and optionally substituted purinium). [0237] By “silyl” is meant a -SiR 1 R 2 R 3 or -SiR 1 R 2 - group. In some embodiments, each of R 1 , R 2 , and R 3 is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, or optionally substituted amino. In particular embodiments, each of R 1 , R 2 , and R 3 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, or optionally substituted amino. In other embodiments, the silyl group is -Si(R) a (OR) b (NR 2 ) c , in which each R is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic; each of a, b, and c ≥ 0; and a + b + c = 3. In particular embodiments, each R is, independently, H, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl-alkyl. [0238] By “silyloxy” is meant -OR, where R is an optionally substituted silyl group, as described herein. In some embodiments, the silyloxy group is -O-SiR 1 R 2 R 3 , in which each of R 1 , R 2 , and R 3 is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, optionally substituted heteroaromatic, or optionally substituted amino. In particular embodiments, each of R 1 , R 2 , and R 3 is, independently, H, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted aryl, optionally substituted aryloxy, optionally substituted alkyl-aryl, optionally substituted aryl-alkyl, or optionally substituted amino. In other embodiments, the silyloxy group is -O-Si(R) a (OR) b (NR 2 ) c , in which each R is, independently, H, optionally substituted aliphatic, optionally substituted heteroaliphatic, optionally substituted aromatic, or optionally substituted heteroaromatic; each of a, b, and c ≥ 0; and a + b + c = 3. In particular embodiments, each R is, independently, H, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkyl-aryl, or optionally substituted aryl- alkyl [0239] By “sulfinyl” is meant an -S(O)- group. [0240] By “sulfo” is meant an -S(O) 2 OH group. [0241] By “sulfonyl” or “sulfonate” is meant an -S(O) 2 - group or a -SO 2 R, where R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof. [0242] By “thioalkyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through a sulfur atom. Exemplary unsubstituted thioalkyl groups include C 1-6 thioalkyl. In some embodiments, the thioalkyl group is -S-R, in which R is an alkyl group, as defined herein. [0243] By “thiol” is meant an -SH group. [0244] A person of ordinary skill in the art would recognize that the definitions provided above are not intended to include impermissible substitution patterns (e.g., methyl substituted with 5 different groups, and the like). Such impermissible substitution patterns are easily recognized by a person of ordinary skill in the art. Any functional group disclosed herein and/or defined above can be substituted or unsubstituted, unless otherwise indicated therein. [0245] As used herein, the term “about” means +/-10% of any recited value. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges. [0246] As used herein, the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus. CONCLUSION [0247] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.