Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
DEVICE MODIFIED SUBSTRATE ARTICLE AND METHODS FOR MAKING
Document Type and Number:
WIPO Patent Application WO/2015/157202
Kind Code:
A1
Abstract:
A method of making a device substrate article having a device modified substrate supported on a glass carrier substrate, including: treating at least a portion of the first surface of a device substrate, at least a portion of a first surface of a glass carrier, or a combination thereof, wherein the treating produces a surface having: silicon; oxygen; carbon; and fluorine amounts; and a metal to fluorine ratio as defined herein; contacting the treated surface with an untreated or like-treated counterpart device substrate or glass carrier substrate to form a laminate comprised of the device substrate bonded to the glass carrier substrate; modifying at least a portion of the non-bonded second surface of the device substrate of the laminate with at least one device surface modification treatment; and separating the device substrate having the device modified second surface from the glass carrier substrate.

Inventors:
ADIB KAVEH (US)
BELLMAN ROBERT ALAN (US)
MANLEY ROBERT GEORGE (US)
MAZUMDER PRANTIK (US)
Application Number:
PCT/US2015/024600
Publication Date:
October 15, 2015
Filing Date:
April 07, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
CORNING INC (US)
International Classes:
B32B7/06; B32B17/06; C03C17/245
Domestic Patent References:
WO2013119737A22013-08-15
WO2014050798A12014-04-03
WO2013179881A12013-12-05
Foreign References:
US20120223049A12012-09-06
US20120156480A12012-06-21
US20120080403A12012-04-05
US20060264004A12006-11-23
US20080053959A12008-03-06
US201261596727P2012-02-08
US201314053093A2013-10-14
US201261736880P2012-12-13
US201261736887P2012-12-13
US201414248868A2014-04-09
JP2013184346A2013-09-19
Other References:
ZHURAVLEV, L. T.: "The Surface Chemistry ofamorphous Silika", ZHURAVLEV MODEL, COLLOIDS AND SURFACES A: PHYSIOCHEMICAL ENGINEERING ASPECTS, vol. 173, 2000, pages 1 - 38
L. A. GIRIFALCO; R. J. GOOD: "A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension", J. PHYS. CHEM., vol. 61, 1957, pages 904
S. WU, J. POLYM. SCI, vol. C 34, 1971, pages 19
TONG, Q.Y. ET AL.: "Semiconductor Wafer Bonding", ANNU REV MATER SCI, vol. 28, no. 1, 1998, pages 215 - 241
Attorney, Agent or Firm:
SCHMIDT, Jeffrey A et al. (Intellectual Property DepartmentSP-Ti-03-0, Corning New york, US)
Download PDF:
Claims:
What is Claimed is:

What is Claimed is:

1. A method of making a device substrate article having a device modified substrate supported on a glass carrier substrate, comprising:

treating at least a portion of the first surface of a device substrate, at least a portion of the first surface of a glass carrier substrate, or both, wherein the treated surface has:

silicon of about 0.1 to about 14 at%;

oxygen of about 1 to about 40 at%;

carbon of about 3 to 60 at%;

fluorine of about 5 to 65 at%; and

a metal to fluorine (M:F) atomic ratio of about 1 : 1 to about 1 : 3;

contacting the treated surface with an untreated or a like-treated counterpart device substrate or glass carrier substrate to form a laminate comprised of the device substrate bonded to the glass carrier substrate; and

modifying at least a portion of the non-bonded second surface of the device substrate of the laminate with at least one device surface modification treatment to form the device substrate article.

2. The method of claim 1 further comprising heating the laminate in at least one device surface modification treatment at from about 200 to about 700 °C, for 1 second to 1200 minutes; and separating the device substrate article having the device modified second surface from the glass carrier substrate of the laminate

3. The method of claim 2 wherein separating comprises at least one of:

peeling the device substrate article from the glass carrier substrate, peeling the glass carrier substrate from the device substrate, or both; and

contacting at least one of the device substrate or the glass carrier substrate with a suction device, a gripper device, a knife edge, or a combination thereof.

4. The method of claim 2 or claim 3 further comprising cleaning the separated glass carrier substrate for repeated reuse in the method.

5. The method of any one of claims 1-4 wherein treating comprises: contacting at least one portion of the first glass surface of the glass carrier substrate with a plasma comprised of a polymerizing agent; an etching agent; or a combination thereof.

6. The method of claim 5 wherein:

the polymerizing agent comprises at least one of CHF3i C4F8, C3F6, C3F8, H2, CH4, a hydrocarbon having from 3 to 12 carbon atoms and free of fluorine atoms selected from the group consisting of an alkane, an alkenes, an alkyl, an aromatic, or a combination thereof; and the etching agent comprises at least one of CF4, C2F6, NF3, SF6, HF, or a combination thereof.

7. The method of any one of claims 1-6 wherein the laminate has an interfacial bond with an adhesive strength of from about 100 to about 2,000 mJ/m2 between the device substrate and the glass carrier substrate, when the device substrate is glass.

8. The method of any one of claims 1-7 wherein modifying with at least one device surface modification treatment is at least one of: etching, coating, printing, plating, vapor deposition, sputtering, or combinations thereof.

9. The method of any one of claims 1-8 wherein the device substrate in glass and has a thickness of from about 10 to about 500 microns, the carrier glass substrate has a thickness of about 200 microns to 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate is from about a single atomic layer to about 100 nanometers.

10. The method of claim 9, wherein the device substrate includes a plurality of holes therein.

11. The method of any one of claims 1-10 wehrein the device substrate has a device modified semiconductor substrate supported on the glass carrier.

12 The method of claim 11 wherein the the device substrate is selected from a

silicon (Si), a gallium arsenide (GaAs), or a combination thereof.

13. A laminate glass article, comprising:

a glass device substrate bonded to a glass carrier substrate with at least one interfacial bonded surface that has been treated with a plasma selected from:

a fluoropolymer;

the reaction products of a fluorinated etching agent;

or a combination thereof,

wherein the interfacial bond strength between the glass device substrate and the carrier glass substrate is from about 100 to about 1,000 mJ/m2, the interface between the glass device substrate and the glass carrier has a silicon content of from about 0.1 to about 14 at%; a fluorine content of from about 5 to 65 at%, and a metal to fluorine (M:F) atomic ratio of about 1 : 1 to 1 : 3.

14. The article of claim 13 further comprising the non-bonded second surface of the glass device substrate in the laminate article has at least one device modified surface area.

15. The article of claim 13 or claim 14 wehrein the glass device substrate has a plurality of holes therein.

16. The article of any one of claims 13-15 wherein the glass device substrate has a thickness of from about 20 to about 500 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate is from about a single atomic layer to about 100 nanometer.

Description:
DEVICE MODIFIED SUBSTRATE ARTICLE AND METHODS FOR MAKING

Cross-Reference to Related Applications

[0001] This application claims the benefit of priority to US Patent Application No. 61/977364, filed on April 9, 2014, entitled DEVICE MODIFIED SUBSTRATE ARTICLE AND

METHODS FOR MAKING, the content of which is incorporated herein by reference in its entirety.

[0002] This application is related to, but does not claim priority to, commonly owned and assigned copending patent Applications: U.S. Serial No. 61/596727, filed February 8, 2012, entitled "PROCESSING FLEXIBLE GLASS WITH A CARRIER"; U.S. Serial No. 14/053093, filed October 14, 2013, entitled "ARTICLES WITH LOW-ELASTIC MODULUS LAYER AND RETAINED STRENGTH"; U.S. Serial No. 14/053139, filed October 14, 2013, entitled "LAMINATE ARTICLES WITH MODERATE ADHESION AND RETAINED STRENGTH"; U.S. Serial No. 61/736880, filed December 13, 2012, entitled "FACILITATED PROCESSING FOR CONTROLLING BONDING BETWEEN SHEET AND CARRIER"; and U.S. Serial No. 61/736887, filed December 12, 2012, entitled "GLASS AND METHODS OF MAKING GLASS ARTICLES."

[0003] This application is related to, but does not claim priority to, USSN 14/248,868, filed on April 9, 2014 entitled "GLASS ARTICLES HAVING FILMS WITH MODERATE ADHESION AND RETAINED STRENGTH."

[0004] The entire disclosure of any publication or patent document mentioned herein is entirely incorporated by reference.

Background

[0005] The disclosure relates to a laminate article, the manufacture and use of the laminate article, and a glass sheet article or non-glass sheet article having a device modified surface for use in or as, for example, an electrical or an electronic device. Additionally, the disclosure relates to a method for bonding and debonding a glass sheet article or non-glass sheet article and a carrier substrate.

Summary

[0006] In embodiments, the disclosure provides a glass device substrate or non-glass device substrate having a device modified surface. [0007] In embodiments, the disclosure provides a laminate article comprising a glass device substrate work piece reversibly bonded to a reusable carrier, the laminate having a silicon and oxygen depleted and fluorine and carbon enriched glass surface at the interface.

[0008] In embodiments, the disclosure provides a method for bonding and debonding a laminate comprising a glass device substrate work piece and a carrier substrate for the work piece.

[0009] In embodiments, the disclosure provides a method for forming a moderate or intermediate strength bond or adhesion between a carrier and a thin glass device substrate to create a temporary bond, which temporary bond is sufficiently strong to survive, for example, thin film transistors (TFT) processing, but the bond is sufficiently weak to permit timely debonding or separation of the carrier substrate and the glass device substrate after surface processing of the device substrate is completed. The intermediate strength bond or moderate bonding property enables a re -usable carrier function and manufacturing cycle. The moderate bonding property can be, for example, in one or more portions of the area between the carrier substrate and the device substrate work piece, or the entire area between the carrier substrate and the device substrate work piece.

Brief Description of the Drawings

[0010] In embodiments of the disclosure:

[0011] Fig. 1 shows a schematic of the disclosed reusable carrier process (100).

[0012] Figs. 2A and 2B show the change in contact angle (Fig. 2A) and surface energy (Fig.

2B) for CF 4 and CHF 3 plasma polymer treated glass surfaces.

[0013] Figs. 3 A and 3B show surface concentrations of fluorine (310) and carbon (320) and oxygen (330), (Fig. 3A) as measured by XPS and the concentration of inorganic fluorine atoms (bonded to metal) (340) and the concentration of organic fluorine atoms (in fluoropolymer) (350) (Fig. 3B) as measured by XPS for fluorocarbon plasma treated glass surfaces treated with different mol fractions of CF 4 and CHF 3 .

[0014] Fig. 4 shows changes in the glass surface elemental composition in atomic % as measured by XPS with increasing polymer forming plasma treatment times for separate CHF 3 or CF 4 plasma treatments.

[0015] Figs. 5A to 5B show the change in adhesion or bond energy as a function of the anneal temperature (Fig. 5A) and anneal time (Fig. 5B) for selected surface treatments. [0016] FIG. 6 is a top view of a thin sheet and carrier, having interposers.

[0017] FIG. 7 is a cross-sectional view of the thin sheet and carrier as taken along line 7-7 of FIG. 6.

[0018] FIG. 8 is a cross-sectional view, similar to that in FIG. 20, but having additional

devices disposed on the thin sheet.

Detailed Description

[0015] Various embodiments of the disclosure will be described in detail with reference to drawings, if any. Reference to various embodiments does not limit the scope of the invention, which is limited only by the scope of the claims attached hereto. Additionally, any examples set forth in this specification are not limiting and merely set forth some of the many possible embodiments of the claimed invention.

Definitions

[0016] "At %," "at %," or like refer to atom or atomic percentage.

[0017] "Temporary bond" refers to a non-destructive and reversible adhesion between a glass device substrate and the carrier substrate of the disclosed laminate, which bond is sufficient to survive further surface modification processing, but which bond can be disrupted with, for example, a mechanical force to permit separation of the processed thin glass device substrate and the carrier substrate.

[0018] "Thin glass device substrate" refers to, for example, Corning® Willow® glass, and having thicknesses as defined herein.

[0019] "Include," "includes," or like terms means encompassing but not limited to, that is, inclusive and not exclusive.

[0020] "About" modifying, for example, the quantity of an ingredient in a composition, concentrations, volumes, process temperature, process time, yields, flow rates, pressures, viscosities, and like values, and ranges thereof, or a dimension of a component, and like values, and ranges thereof, employed in describing the embodiments of the disclosure, refers to variation in the numerical quantity that can occur, for example: through typical measuring and handling procedures used for preparing materials, compositions, composites, concentrates, component parts, articles of manufacture, or use formulations; through inadvertent error in these procedures; through differences in the manufacture, source, or purity of starting materials or ingredients used to carry out the methods; and like considerations. The term "about" also encompasses amounts that differ due to aging of a composition or formulation with a particular initial concentration or mixture, and amounts that differ due to mixing or processing a composition or formulation with a particular initial concentration or mixture.

[0021] "Optional" or "optionally" means that the subsequently described event or circumstance can or cannot occur, and that the description includes instances where the event or circumstance occurs and instances where it does not.

[0022] The indefinite article "a" or "an" and its corresponding definite article "the" as used herein means at least one, or one or more, unless specified otherwise.

[0023] Abbreviations, which are well known to one of ordinary skill in the art, may be used

(e.g., "h" or "firs" for hour or hours, "g" or "gm" for gram(s), "mL" for milliliters, and "rt" for room temperature, "nm" for nanometers, and like abbreviations).

[0024] Specific and preferred values disclosed for components, ingredients, additives, dimensions, conditions, times, and like aspects, and ranges thereof, are for illustration only; they do not exclude other defined values or other values within defined ranges. The apparatus and methods of the disclosure can include any value or any combination of the values, specific values, more specific values, and preferred values described herein, including explicit or implicit intermediate values and ranges.

[0019] In embodiments, the disclosure provides a method of forming a temporary bond by surface modification, which surface modification creates moderate or intermediate adhesion between at least a portion of the surface of a work piece substrate and at least a portion of the surface of the glass carrier substrate to produce a laminate for use in making a device modified substrate from the work piece substrate.

[0020] The temporary bonding condition in the laminate eliminates waste and can reduce manufacturing costs by permitting manufacturers to re-use the carrier member for device fabrication on the thin glass sheet as schematically shown in Fig 1.

[0021] In embodiments, the disclosure provides a method of making a laminate article that includes: forming a temporary bond between at least a portion of a work piece, such as a glass sheet, a glass substrate, or a non-glass substrate (e.g., a flexible glass sheet or silicon wafer), and a glass carrier substrate. [0022] Forming the temporary bond can be accomplished by, for example, treating to effect a surface modification, which surface modification creates moderate adhesion between the work piece and the carrier substrate.

[0023] The intermediate or moderate adhesion can be achieved by depletion of the surface layer of silicon and oxygen atoms and the enrichment of the surface layer of fluorine and carbon atoms. The depletion of silicon atoms also leads to a relative enrichment of other cations in glass work piece or carrier substrate, which other cations are not depleted with the silicon atoms. For example, depletion of silicon atoms such as in silica in the treated surface layer leads to a relative enrichment in alumina and alkaline earth atoms. In embodiments, the modified surface can be formed by, for example, an etch process. However, the deposition or etch processes can deplete a glass work piece's surface of silica, boron, and other glass components, and consequently can enrich the glass work piece's surface in alkaline earths and fluorine reaction products in a relative sense.

[0024] The surface energy of the modified surface is adjustable between, for example, Teflon ® and glass depending on the relative ratio of etch gas to polymer former. In a preferred

embodiment, exposure to a plasma containing a high ratio of etch gas to polymer former can create a highly polar surface having a sparse coverage of carbon based polymers on a modified glass surface where most metal to oxygen bonds have been replaced by metal to fluorine bonds. This highly polar surface exhibits a high energy surface which readily bonds with glass provided that the surface roughness is small, for example, below about 2 nm. The temporary bonding preferably is strong enough to survive flat panel display (FPD) processing, including, for example, wet ultrasonic, vacuum, and thermal processes, and remain de-bondable by application of a sufficient peeling force. De-bonding permits disintegration of the laminate, that is separation of the glass carrier substrate from the processed thin glass sheet having, for example, devices or structure on the surface of the thin glass sheet resulting from intermediate processing. The separated glass carrier, if desired, can be repeatedly re-used in numerous cycles of the disclosed method.

[0025] In embodiments, the disclosed method uses moderate bonding of a flexible glass sheet (i.e., a work piece) to the glass carrier, which moderate bonding permits the subsequent removal of the thin glass sheet from the carriers to provide re-usable carrier.

[0026] The disclosed method is advantaged in several respects, including, for example: eliminating an air gap between the flexible glass sheet and the glass carrier substrate, which air gap is disclosed in prior methods, to provide greater manufacturing reliability in vacuum, ultrasonic, and wet processing;

providing a flexible, thin, or like glass sheet, or non-glass sheet, work piece having a flatter facial work surface that can improve an user's latitude in circuit or device construction on the work piece and processing (e.g., lithography) by reducing the height variation within the laminate;

providing simpler bonding surface preparation and bonding surface processing;

providing easier de-bonding or separation of the work piece from the carrier substrate;

providing a reusable carrier substrates; and

providing a bonding surface between the work piece and the carrier substrate that offers higher thermal stability than commercially available polymer wafer bonding approaches.

[0027] In embodiments, the disclosed flexible substrates offer an opportunities for less costly manufacturing of devices using, for example, roll-to-roll processing, and the potential to make thinner, lighter, more flexible and durable displays. However, the technology, equipment, and processes required for roll-to-roll processing of high quality displays are not yet fully developed. Since panel makers have already heavily invested in toolsets to process large sheets of glass, laminating a flexible substrate to a carrier substrate and making display devices by a sheet-to- sheet process offers a shorter term solution to develop the value proposition of thinner, lighter, and more flexible displays. Displays have been demonstrated on polymer sheets such as polyethylene naphthalate (PEN) where the device fabrication was sheet-to-sheet with the PEN laminated to a glass carrier. The upper temperature limit of the PEN limits the device quality and processes that can be used. In addition, the high permeability of the polymer substrate leads to environmental degradation of OLED devices where a near hermetic package is typically required. Thin film encapsulation offers promise potential solution to overcome this limitation, but the encapsulation approach has not yet been demonstrated to provide acceptable yields for large volumes.

[0028] In a similar manner, display devices can be manufactured using a glass carrier substrate that has been laminated to one or more flexible glass substrates. It is anticipated that the low permeability and improved temperature and chemical resistance of the flexible glass substrate will enable higher performance, longer lifetime, and flexible displays.

[0029] The above mentioned commonly owned and assigned USSN 61596727 mentions bonding a thin sheet, for example, a flexible glass sheet, to a carrier initially by van der Waals forces, then increasing the bond strength in certain regions while retaining the ability to remove the thin sheet after processing the thin sheet or carrier to form devices thereon, for example, electronic or display devices, components of electronic or display devices, OLED materials, photo-voltaic (PV) structures, or thin film transistors. At least a portion of the thin glass is bonded to a carrier substrate such that there is prevented device process fluids from entering between the thin sheet and carrier, where there is provided a reduced possibility of contaminating downstream processes, i.e., the bonded seal between the thin sheet and carrier is hermetic, and in some preferred embodiments, this seal encompasses the outside of the article thereby preventing liquid or gas intrusion into or out of any region of the sealed article.

[0030] JP2013184346 and WO2013179881, assigned to Asahi, mention a peelable glass laminated body having a support substrate with a first inorganic layer, and a glass substrate with an inorganic layer provided with a second inorganic layer, either or both of the first and second inorganic layers contain at least one of: a metal oxide, metal nitride, a metal oxynitride, metal carbide, a metal carbonitride, a metal silicide, or a metal fluoride.

[0031] In low temperature polysilicone (LTPS) device fabrication processes, temperatures approaching 600°C or greater, vacuum, and wet etch environments may be used. These conditions limit the materials that may be used, and place significant stability demands on the laminate's components comprised of a carrier substrate and a sheet substrate.

[0032] In embodiments, the disclosure provides a method for bonding a flexible glass sheet to a carrier substrate to form a laminate, processing the laminate, such as creating a circuit or device on the outer unbonded surface of the flexible glass sheet, and debonding the flexible glass sheet from a carrier. The method can use existing capital infrastructure, enables processing of thin flexible glass, for example, glass having a thickness less than or equal to 0.3 mm thick, without contamination or loss of bond strength between the thin flexible glass and carrier substrate at higher processing temperatures, and the resulting surface or device modified thin flexible glass de-bonds easily from the carrier substrate at the end of the process. [0033] In embodiments, the disclosure provides a method having considerable operational flexibility, including for example: cleaning and surface preparation of the flexible glass sheet and carrier substrate to facilitate bonding; maintaining adequate bond strength between the flexible sheet and the carrier substrate at the bonded area; maintaining releasability of the flexible sheet from the carrier substrate; and optionally cleaning the separated carrier substrate for reuse in the method.

[0034] In embodiments, in the glass-to-glass bonding process, the work-piece and carrier substrate glass surfaces can be cleaned prior to laminate joining to remove all extraneous metal, organic, and particulate residues, and to leave a mostly silanol terminated contact surface.

[0035] If the glass contact surfaces are first brought into intimate contact, where van der Waals forces pull them together, with heat and optionally pressure, the surface silanol groups can condense to form strong Si-O-Si bonds across the interface between the opposing glass surfaces to permanently fuse the opposing glass pieces causing the opposing pieces inseparable without breakage. Metal, organic, and particulate residue can be used to prevent or limit bonding of the opposing glass pieces by obscuring at least one glass surface and preventing intimate contact, which obscuration may be called for to further moderate bonding between the work-piece substrate and carrier substrate glass.

[0036] A high silanol surface concentration can form an undesirably strong bond between the opposing glass pieces. The number of bonds per unit area will be determined by the probability of two silanol species on opposing surfaces reacting to condense out water. Zhuravlev has reported the average number of hydro xyls per nm 2 for well hydrated silica as from 4.6 to 4.9. (see Zhuravlev, L. T., The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects, 173 (2000) 1-38).

[0037] In the abovementioned copending USSN 61/596727, a non-bonding region is formed within a bonded periphery, and the primary means described for forming such non-bonding area is increasing surface roughness. A roughness of greater than 2 nm Ra is called for to prevent opposing glass-to-glass bonds from forming during the elevated temperature phase of the bonding process. In copending USSN 61/736880 a non-bonding area is formed by weakening the van der Waals bonding between carrier and thin glass. Thermal, vacuum, solvent, acidic, and ultrasonic flat panel display (FPD) processes require a robust bond between the thin flexible glass bound to a carrier.

[0038] Three transistor technologies are used in mass production for FPD backplane fabrication, including: amorphous silicon (aSi) bottom gate TFT; poly crystalline silicon (pSi) top gate TFT; and amorphous oxide (IGZO) bottom gate TFT. The general process begins with cleaning of a glass substrate, typically in hot alkaline solutions with ultrasonic or megasonic agitation, followed by DI water rinse.

[0039] The device structure can be fabricated in a number of subtractive cycles of material deposition and photolithographic patterning followed by material etching. Metal, dielectric, and semiconductor materials can be deposited by vacuum processes (e.g., sputtering metals, transparent conductive oxides and oxide semiconductors, Chemical Vapor Deposition (CVD) deposition of amorphous silicon, silicon nitride, and silicon dioxide at elevated temperature, 150 to 450°C). Other thermal process steps can include, for example, up to 600°C p-Si

crystallization, 350 to 450°C oxide semiconductor annealing, up to 650°C dopant annealing, and about 200 to 350°C contact annealing. Layers can be patterned by a photolithographic patterning of a polymer resist, etching, and followed by resist strip. Both vacuum plasma (dry) etch and acidic wet etch processes can be used. In FPD processing, the photoresist is typically stripped by a hot solvent and, for example, along with ultrasonic or megasonic agitation.

[0040] Adhesive wafer bonding has been widely used in microelectromechanical systems (MEMS) and semiconductor processing for back end steps where processes are less harsh.

Commercial adhesives by Brewer Science and Henkel are typically thick polymer adhesive layers of about 5 to 200 microns. The large thickness of these layers can create the potential for large amounts of volatiles, trapped solvents, and adsorbed species to contaminate FPD processes. These materials can thermally decompose and outgas above about 250°C. The materials can also cause contamination in downstream steps by acting as a sink for gases, solvents, and acids, which can outgas in subsequent processes or process steps.

An overly strong covalent bond between the thin glass work piece and the glass carrier can preclude complete separation and re-usability of the carrier.

[0041] In the abovementioned copending USSN 61/596727 applicant demonstrated FPD by the formation of a strong covalent bond around the periphery of a thin glass sheet bound to a carrier, and that the thin glass sheet bound to the carrier was durable enough to survive FPD processing. However, the carriers were not reusable. The periphery was permanently bonded by covalent Si-O-Si bonding with an adhesive force of about 2000 mJ/m 2 , which is on the order of the fracture strength of the glass, which is approximately 2500 mJ/m 2 . Prying or peeling cannot be used to separate flexible glass piece and carrier. Instead, the non-bonded center with the surface constructed devices is scribed and extracted leaving as scrap a bonded periphery on the carrier.

[0042] Although not being bound by theory, surface adhesion theory has been extensively studied and articulated (see "A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension", L. A. Girifalco and R. J. Good, J. Phys. Chem., 61, 904 (1957)).

[0043] In copending USSN 61/736887 it was demonstrated that thin plasma fluoropolymer surface treatments can vary the surface energy of glass between that of Teflon ® and water.

[0044] This precise control of both Van der Waal and covalent interactions at their fractional values via surface modification to achieve desired adhesion energy is an aspect of the present disclosure.

[0045] In embodiments, the disclosure provides a method of making a device

modified substrate supported on a glass carrier substrate, comprising:

treating at least a portion of the first surface of a glass device substrate, at least a portion of a first surface of a glass carrier substrate, or a combination thereof, wherein the treated glass surface has:

silicon of about 0.1 to about 14 at%;

oxygen of about 1 to about 40 at%;

carbon of about 3 to 60 at%;

fluorine of about 5 to 65 at%; and

a metal to fluorine (M:F) atomic ratio of about 1 : 1 to about 1 : 3; contacting the treated glass surface with an untreated or a like-treated counterpart glass device substrate or glass carrier substrate to form a laminate comprised of the glass device substrate bonded to the glass carrier substrate;

modifying at least a portion of the non-bonded second surface of the glass device substrate of the laminate with at least one device surface modification treatment; and optionally separating the glass device substrate having the device modified second surface from the glass carrier substrate.

[0046] In embodiments, when the device modified substrate is a glass, for example, Corning ® Eagle XG ® ("EXG"), the treated surface or interface can preferably have:

silicon of about 2 to about 9 at%;

oxygen of about 7 to about 14 at%;

carbon of about 4 to 10 at%; and

fluorine of about 45 to 60 at%, as measured by XPS.

[0047] The measured atomic % can vary depending upon the surface measurement method selected, for example, XPS or ESCA can probe the outer most 10 nm of the surface, such as 2 to 6 nm.

[0048] In embodiments, the method can further comprise heating the laminate in at least one device surface modification treatment at from about 200 to about 700 °C, for 1 second to 1200 minutes.

[0049] In embodiments, treating can include or comprise, for example: contacting at least one portion of the glass surface with a fluorocarbon plasma comprised of polymerizing agents or etching agents, or a combination thereof.

[0050] In embodiments, the polymerizing agents can include or comprise, for example, at least one of CHF 3i C 4 Fs, C 3 F 6 , C 3 Fs, H 2 , CH 4 , a hydrocarbon having from 3 to 12 carbon atoms and free of fluorine atoms selected from the group consisting of an alkane, an alkenes, an alkyl, an aromatic, or a combination thereof, and the etching agents comprise at least one of CF 4 , C 2 F 6 , NF 3 , SF 6 , HF, or a combination thereof.

[0051] In embodiments, the laminate can have an interfacial bond with an adhesive strength of from about 100 to about 2,000 mJ/m 2 between the glass device substrate and the glass carrier substrate.

[0052] In embodiments, treating can be accomplished, for example, on both of the glass device substrate and the glass carrier substrate, or alternatively, accomplished on only one of the glass device substrate or the glass carrier substrate.

[0053] In embodiments, the glass device substrate can have a thickness of, for example, from about 10 to about 500 microns, the carrier glass substrate has a thickness of, for example, about 200 microns to 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate of, for example, from about a single atomic layer to about 100 nanometer.

[0054] In embodiments, modifying with at least one device surface modification treatment is selected from, for example, at least one of: etching, coating, printing, plating, vapor deposition, sputtering, and like modifying treatments, or combinations thereof.

[0055] In embodiments, separating can be, for example, at least one of:

peeling the device surface modified glass device substrate from the glass carrier substrate, peeling the glass carrier substrate from the glass device substrate, or both; and

contacting at least one of the glass device substrate or the glass carrier substrate with a suction device, a gripper device, a knife edge, or a combination thereof.

[0056] In embodiments, the method can further comprise, for example, cleaning the separated glass carrier substrate for repeated reuse in the method.

[0057] In embodiments, the glass carrier substrate, the glass device substrate, or both, can be, for example, flexible, rigid, or have an intermediate flexibility or rigidity.

[0058] In embodiments, the disclosure provides a laminate glass article, comprising:

a glass device substrate bonded to a glass carrier substrate with at least one bonded surface that has been treated with a plasma selected from:

a fluoropolymer;

the reaction products of a fluorinated etching agent;

or a combination thereof,

wherein the interfacial bond strength between the glass device substrate and the carrier glass substrate is from about 100 to about 1,000 mJ/m 2 , the interface between the glass device substrate and the glass carrier has a silicon content of from about 1 to about 14 at%; a fluorine content of from about 5 to 60 at%, and the interface between the glass device substrate and the glass carrier substrate has a metal to fluorine (M:F) atomic ratio of about 1 : 1 to 1 : 3.

[0059] In embodiments, the glass device substrate or device modified substrate has a facial contact area that is smaller than, larger than, or the same size, compared to the facial contact area of the glass carrier substrate. [0060] In embodiments, the article can further comprise, for example, the non-bonded second surface of the glass device substrate in the laminate article having at least one device modified surface area.

[0061] In embodiments, the glass device substrate can have a thickness of from about 20 to about 500 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate can be, for example, from about a single atomic layer to about 100 nanometer.

[0062] In embodiments, the disclosure provides a method of making a laminate article comprising a device substrate having a device modified semiconductor substrate supported on a glass carrier substrate, the method comprising:

treating at least a portion of a first surface of the glass carrier substrate, wherein the treated first surface has:

silicon of about 0.1 to about 14 at%;

oxygen of about 1 to about 40 at%;

carbon of about 3 to 60 at%;

fluorine of about 5 to 65 at%; and

a metal to fluorine (M:F) atomic ratio of about 1 : 1 to about 1 : 3; and

contacting the treated first surface with the device semiconductor substrate to form a laminate comprised of the device semiconductor substrate bonded to the treated first surface of the glass carrier substrate;

modifying at least a portion of the non-bonded second surface of the device

semiconductor substrate of the laminate with at least one device surface modification treatment; and

optionally separating the device semiconductor substrate having the device modified second surface from the glass carrier substrate.

[0063] In embodiments, the glass device substrate can have a thickness of from about 20 to about 500 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the glass device substrate and the glass carrier substrate can be, for example, from about a single atomic layer to about 100 nanometer.

[0064] In embodiments, the method can further comprise, for example, heating the laminate in at least one device surface modification treatment at from about 200 to about 700 °C, for 1 second to 1200 minutes.

[0065] In embodiments, treating can comprise: contacting the glass surface with a

fluorocarbon plasma comprised of a polymerizing agent, an etching agent, or a combination thereof; and

the device substrate is selected from a silicon (Si), a gallium arsenide (GaAs), or a combination substrate.

[0066] In embodiments, the polymerizing agent can be, for example, at least one of CHF 3i C 4 F 8 , C 3 F 6 , C 3 Fs, H 2 , CH 4 , a hydrocarbon having from 3 to 12 carbon atoms and free of fluorine atoms selected from the group consisting of hydrocarbyl moieties, such as an alkane, an alkene, an alkyl, an aromatic, or a combination thereof; and the etching agent comprise at least one of CF 4 , C 2 F 6 , NF 3 , SF 6 , HF, or a combination thereof.

[0067] In embodiments, the laminate can have an interfacial bond with an adhesive strength of from about 100 to about 1,000 mJ/m 2 between the device semiconductor substrate and the glass carrier substrate.

[0068] In embodiments, the device semiconductor substrate has a thickness of from about 20 to about 1000 microns, the carrier glass substrate has a thickness of about 200 microns to 3 mm, and the thickness of the interfacial bonding layer between the device semiconductor substrate and the glass carrier substrate is from about a single atomic layer to about 100 nanometer.

[0069] In embodiments, modifying with at least one device surface modification treatment can be, for example, at least one of: etching, coating, printing, plating, vapor deposition, sputtering, or combinations thereof.

[0070] In embodiments, the method of making a device semiconductor substrate can further include, for example, cleaning the separated glass carrier substrate for repeated reuse in the method.

[0071] In embodiments, the glass carrier substrate can be, for example, flexible, rigid, or can have an intermediate flexibility or rigidity. [0072] In embodiments, the device substrate can be, for example, flexible, rigid, or has an intermediate flexibility or rigidity.

[0073] In embodiments, the laminate glass article, can include, for example:

a device semiconductor substrate bonded to a glass carrier substrate, the bond comprising the glass carrier having a surface modified by plasma treatment for from at least one of the following: a fluoropolymer; the reaction products of the fluorinated etching agents, with the glass substrate; or a combination thereof,

wherein the interfacial bond strength between the device semiconductor substrate and the carrier glass substrate is from about 100 to about 1,000 mJ/m 2 , the interface between the device semiconductor substrate and the glass carrier has silicon content of from about 0.1 to about 14 at%; a fluorine content of from about 5 to 60 at%, and the interface between the flexible glass sheet article and the glass carrier has a metal to fluorine (M:F) atomic ratio of about 1 : 1 to 1 : 3.

[0074] In embodiments, the device semiconductor substrate has a facial contact area that is smaller than, larger than, or the same size, compared to the facial contact area of the glass carrier substrate.

[0075] In embodiments, the article can further comprise the non-bonded second surface of the device semiconductor substrate in the laminate having at least one device modified surface.

[0076] In embodiments, the device semiconductor substrate has a thickness of from about 20 to about 1000 microns, the carrier glass substrate has a thickness of about 200 microns to about 3 mm, and the thickness of the interfacial bonding layer between the device semiconductor substrate and the glass carrier substrate can be, for example, from about a single atomic layer to about 100 nanometer.

[0077] Referring to the Figures, Fig.1 shows a schematic of the disclosed reusable carrier process (100). The reusable process (100) can include, for example, a carrier cleaning or preconditioning step (110) where a carrier substrate (105) substrate, such as a new (105) or re-used (140) substrate, is subjected to cleaning (1 10) to produce the cleaned or pre-conditioned carrier (105 or 140). The carrier (105) can be, for example, a sheet or roll stock made of glass, ceramic, and like materials, or a combination of materials. The resulting carrier (105 or 140) can be subjected to the disclosed adhesive surface conditioning or an adhesive surface treatment (115) to, for example, deposit an adhesive layer with extended treatments, or more preferably with shorter treatments, create a chemically altered interface (117) on the treated carrier (106). The adhesive treatment can be, for example, an additive plasma fluoropolymer treatment, a subtractive etchant treatment, or a combination thereof, as described herein. Next, the carrier (106) having the adhesive conditioned surface treatment (117) receives a work piece (122), such as a flexible glass sheet, in a bonding or combination (120) step to provide a laminate. The adhesive conditioning or surface treatment can include heating the conditioned surface (117), the carrier (106), or both, with or without the work piece (122) present. The laminate comprised of the bonded or combined work piece (122) and the carrier (106), can be subjected to, for example, one or more device surface modification step or steps (125), which modifies the exterior work surface of the flexible glass sheet work piece (122), that is, on the surface of the work piece which is not facing or is not in direct contact with the carrier (106) or not in direct contact with the interfacial adhesive layer (117), to provide the laminate having an exterior surface modification comprising the combined work piece (122) and carrier (106) having a device modified work surface (127) on the work piece (122). Device or work surface modification can include any of one or more compatible steps, for example, additive processing, subtractive processing, or combinations thereof, that substantively changes at least a portion of the work surface, for example, coating, deposition, controlled topological changes, scoring, cutting, drilling, machining, circuit creation, device creation, flat panel display (FPD) fabrication, and like surface modifications, or combinations thereof. The laminate comprising the combined work piece (122) and the carrier (106) having the modified work surface (127) can be separated or de- bonded (130) by any suitable means, method, or instrumentality, for example, by a mechanical force or contact, such as with a knife edge or blade, suction cup or gripper, air knife, and like tools or techniques, to separate the work piece (135) such as a device surface modified glass sheet, from the carrier (106). The used carrier (140) can be cleaned to produce a re-used carrier (105) described above, and the above described process can be repeated continuously.

[0078] Figs. 2 A and 2B show the change in contact angle (Fig. 2A) and surface energy (Fig. 2B) for CF4 and CHF3 plasma polymer treated glass surfaces. The contact angle measurements in Fig. 2A used different solvents (210, water; 215, diiodomethane; and 220, hexadecane). The surface energy measurements in Fig. 2B used different energy metrics (230, total; 240, polar; and 250, dispersion; where total energy (230) is the sum of the polar and the dispersion energies, i.e., "240 + 250"). The surface energy and the polar and dispersion components are measured by fitting the Wu model (see S. Wu, J. Polym. Sci C 34, 19 (1971)) of so lid- liquid interfacial energy to three contact angles of three test liquids: water, diiodo methane, and hexadecane.

[0079] Figs. 3 A and 3B show surface concentrations of fluorine (310), carbon (320), and oxygen (330) (Fig. 3A), and concentration of fluorine atoms due to metal fluorides (340) and concentration of fluorine atoms attributable to fluoropolymer (350) (Fig. 3B) as measured by XPS for CF 4 and CHF 3 plasma fluoropolymer treated Eagle XG ® glass surfaces having different mol fractions of CF 4 and CHF3 in the plasma. The surface concentration of fluorine varies little (e.g., 49 to 52 at%) across the CF 4 and CHF3 gas mixture, while the carbon concentration decreases as CF 4 is added. The high fluorine concentration (49 to 52 at%) and low oxygen (7.5 to 12 at%) is consistent with the CHF 3 and CF 4 plasma polymer surface treatment forming a metal fluoride surface.

[0080] Fig. 4 shows the changes in elemental surface composition as measured by XPS as a function of different plasma treatment times. In each instance, the flow rate of the gas was 50 standard cubic centimeter per minute (seem) at a pressure of 50 milli-torrs. The plasma treatment was either pure CHF 3 or pure CF 4 (400, 410, and 420 refer to 6 seconds, 60 seconds, and 600 seconds of CHF 3 plasma treatments, respectively, and 430, 440, and 450 refer to 6 seconds, 60 seconds, and 600 seconds of CF 4 plasma treatment times, respectively). The surface composition of untreated flat glass is also presented for comparison (460; negative control). The data shows that while for 6 seconds of plasma treatment, the surface concentration of carbon is less than 10 at%, the surface concentration of fluorine exceeds 40 at%.

[0081] Fig. 4 also shows that for CF 4 plasma polymer surface treatments there is little increase in carbon or fluorine concentration after 6 seconds. Silicon, oxygen, and boron concentrations decrease with increasing CF 4 plasma exposure time, while Al, Mg, Ca, and Sr concentrations increase with increasing CF 4 plasma exposure time. This is consistent with CF 4 plasma treatment etching the glass surface and depleting the surface of silicon, oxygen, and boron.

[0082] Changes in bond energy vs. anneal temperature and anneal time for CF 4 and CHF 3 plasma polymer treated Eagle XG ® carrier bonded to SCI cleaned thin glass is shown in Figs. 5 A, and SCI treated Eagle XG ® carrier to SCI treated thin glass is shown in Figure 5B.

[0083] Figs. 5 A shows the change in adhesion or bond energy as a function of the anneal temperature for RIE mode CF4 and CHF3 treated Eagle XG ® carriers with three different surface energies prepared by changing the gas ratio as described in Fig. 2: at 40mj/m 2 surface energy (510) the bond energy is too weak and is inadequate for surviving low temperature polysilicon (LTPS) processing. At 55 mJ/m 2 surface energy (511) the bond energy is adequate to survive LPTS processing; and at 72 mJ/m 2 the surface energy (512) is still adequate for surviving LTPS processing but is more difficult to peel off the flexible glass sheet from the carrier. The bond energy (SE) or adhesion limit (515) is about 2500 mJ per square meter where attempted separation results in glass breakage failure.

[0084] Fig. 5B shows the bond energy (SE) of an SCI treated Eagle XG ® carrier to SCI treated thin glass or adhesion of a flexible glass sheet to the carrier in the laminate as a function of anneal time at 100°C (520), 150 °C (521), 200 °C (522), 250 °C (523) and 300 °C (524). Bond energy is observed to increase rapidly with increasing temperature. At 300 °C (524), the thin glass was permanently bonded and could not be removed without breakage at annealing times of 10 min and above.

[0085] Bond energy (BE) measurements were accomplished using the wedge test method (see Tong, Q.Y., et al. "Semiconductor Wafer Bonding", Annu Rev Mater Sci, vol. 28, no. 1, pp. 215- 241, 1998). The bond energy or adhesion between a surface treated carrier and a clean flexible glass can be determined by inserting a thin blade and measuring the crack length. The bond energy γ is related to the carrier Young's modulus E l s carrier thickness t wl , flexible glass modulus E 2 , flexible glass thickness t w2 , blade thickness tb, and crack length L by the equation:

6 L { ¾:

[0086] From the examples in Fig. 5A it can be seen that creating a silicon and oxygen depleted and fluorine and carbon enhanced surface rich in alkaline earth fluorides by an inhomogeneous etching of glass surface optionally combined with polymer deposition can readily form temporary removable or releasable bonds to other high energy surfaces such as glass and in particular, thin glass.

[0087] In embodiments, a flexible glass sheet can have a thickness of from about 50 to about 300 microns, and a carrier glass article can have a of about 200 microns to 3 mm. Unexpectedly, this silicon and oxygen depleted and fluorine and carbon enriched surface does not permanently bond to glass surfaces at temperatures below about 600 °C. [0088] The surface treatments described herein may be used to process thin wafers in semiconductor and/or interposer processing. Some examples of the present invention are generally directed to carriers bonded to and removed from thinner substrates to allow processing of the thinner substrates. More particularly, some examples of the present invention are directed to methods and apparatuses for bonding wafers to carriers for semiconductor and/or interposer processing, and then debonding the wafers from the carriers after such processing.

[0089] Semiconductor devices are fabricated by forming active devices on or within a semiconductor wafer. The semiconductor wafer may comprise, for example, glass, silicon, polysilicon, single crystal silicon, silicon oxide, aluminum oxide, combinations of these, and/or the like. Hundreds or thousands of integrated circuits (ICs) or dies are typically manufactured on a single wafer. Typically, a plurality of insulating, conductive, and semiconductive material layers are sequentially deposited and patterned over the wafer to form the ICs. One of the uppermost-formed material layers typically comprises a layer for bond pads which make electrical connection to the underlying active areas and components within the wafer.

[0090] After the ICs are formed, the wafer may be subjected to backside processing. The backside processing may include thinning the wafer to prepare the wafer for packaging. For example, in some technologies, backside processing may include forming electrical connections to through- substrate vias formed through the wafer for providing backside contacts. In this example, the backside of the wafer is thinned through a process such as grinding in order to expose the conductive vias on the backside of the wafer. This process of thinning the wafer can damage the edges of the wafer and can make the wafer even more fragile and susceptible to damage during subsequent transportation and processing of the wafer.

[0091] To help alleviate these types of damage, a carrier may be attached to the wafer.

Typically, before, this carrier was attached using an adhesive, and was intended to allow handling of the wafer by handling the carrier. Additionally, the added strength of the carrier supports the wafer so that stresses caused by transportation and/or processing will not damage the wafer. A typical carrier may be a glass substrate attached to the wafer using an adhesive. It has been found, however, that the wafer may warp during processing and that the typical carrier does not provide sufficient support to prevent warping. As a result of the warpage of the wafer, processes may fail and/or cause alarm conditions. The first portion of the IC fabrication, where the active transistors, resistors and RC circuits, and local wiring to interconnect the transistors are patterned in the semiconductor, is called front-end-of-line (FEOL) processing. FEOL processing may also include: well formation; gate module formation; source and drain module formation; DRIE (dry reactive ion etch); PVD, Ti or Cu, or other; CVD Ti or other; PECVD Si02, or other; Electrolytic Cu (or other) Plating; Cu (or other) annealing; Metrology (X-Ray or other); Cu (or other) CMP (Chemical Mechanical Polish); Cu (H202 + H2S04) + Ti (DHF) Wet Etch; Sputter Adhesion Layer (Ti or other); Sputter Seed Layer (Cu or other); Lithography (Photoresist, expose, strip, etch Cu). Due to some of the high temperature (e.g., > 500°C,in some instances, 500°C to 650°C, and in some cases up to 700°C) processes associated with FEOL processing, many adhesive based solutions cannot be used, as they may fail to hold the bond, they may outgas contaminants, or both. Many adhesives even outgas at much lower

temperatures, e.g., around 300°C. The portion of IC fabrication line where the coarse wiring that connects longer distances across individual chip and goes to off chip locations are interconnected with wiring on the wafer is called back-end-of-line (BEOL) wiring. BEOL processing may also include one or more of formation of contacts, insulating layers, interconnect wiring, RF shielding, passivation, ESD protection, bonding pads and other bonding sites for chip-to-package solutions. Although BEOL processing temperatures are generally lower than FEOL processing temperatures, dielectric deposition typically occurs at 350-450°C and most adhesives outgas at these lower temperatures. Moreover, most temporary adhesives have high CTEs which are mismatched with the wafer and carrier materials, and are difficult to remove while leaving the delicate micro structures on the wafer intact. Additionally, the CTE mismatch between the adhesive and the wafer and/or carrier materials may cause undesirable warping of the wafer. Still further, adhesive may find its way into the vias of an interposer when bonding to a carrier and undesirably prevent metallization of at least part of the via.

[0092] Thus, there is a need for an improved carrier-substrate solution that can withstand processing conditions, particularly the high temperature demands of FEOL processing.

Additionally, a carrier-substrate solution that can withstand the rigors of FEOL, and yet provide for easy debonding thereafter, will allow a thinner initial substrate to be used from the get-go, thereby alleviating the need for back-end thinning. That is, typical existing semiconductor tools are designed to process wafers on the order of 500 microns and above. However, with a carrier supporting a wafer, the combined thickness need only be within the tools' processing thickness range. Thus, for example, a carrier having a thickness of 400 microns may be used to support a wafer of 100 microns, and the combination processed in the existing semiconductor tool. With the present solution, due to the controlled bonding that allows easy separation even after high temperature processing, 100 micron wafers may be used as substrates, thereby avoiding the waste and potential yield reductions of thinning after forming devices on the wafer. The ability to withstand FEOL processing will allow a carrier-substrate solution to start with a wafer having a thickness of < 200 microns, for example, 200, 190, 180, 170, 160, 150, 140, 130, 120, 110, 100, 90, 80, 70, 60, 50, 40, 30, or 20 microns. The wafer of such a thickness (< 200 microns for example) can be attached to a carrier, processed, and then removed from the carrier. This can be a major advantage when, for example, polysilicon or single crystal silicon wafers are used as the substrates because there can be avoided the removal and waste of a very expensive material; the material can simply be processed at its as-formed thickness.

[0093] Additionally, 3D IC technology has been widely accepted by the Semiconductor Industry as a major technology trend to improve performance of semiconductors without requiring ever more expensive advanced lithography solutions or requiring larger chip size to accommodate more circuitry. This technology for 3D ICs relies on thinned silicon ICs, and also on interposers to redistribute electrical signals between ICs directly on a single interposer in a planar configuration (2.5D IC) as well as to stack thinned ICs (3D IC).

[0094] These interposers, which can be made of polysilicon, single crystal silicon or glass, allow dramatic improvements in the speed of communications by reducing path lengths from millimeters to microns. The lead application for this new technology has been Field

Programmable Gate Arrays (FPGA), a high end specialized functionality manufactured by Xilinx (San Jose, CA, USA), for example.

[0095] Interposers are characteristically on the order of 50um to lOOum thick, sized from 200mm OD to 300mm OD today, trending towards larger sized panels long term. The vias, through which electrical signals are processed following metallization, are from 5um OD to 150um OD with a density typically 1 to 20 vias per square millimeter, depending on design and application. Interposers are by definition thin, as thick interposers cause an unacceptable form factor (height) and performance (heat) obstacles. Thin is generally regarded as around 100 microns, but generally not to exceed 200 microns. On the other end, the International

Technology Roadmap for Semiconductors (ITRS) allows for thicknesses down to 50um. Again, substrates of these thicknesses generally cannot be processed in existing tools. Thus, the present disclosure contemplates the advantageous use of a carrier, and one that may stay attached with the wafer even during high temperature processing, and yet still allow an easy release of the wafer after such processing.

[0096] Although the interposer technology is new, the dominant interposer substrate is single crystal silicon, with glass emerging as an alternative. The attractiveness of glass is performance and cost, but no solution has yet existed today to realize these advantages for glass. The concepts in the present disclosure will allow processing of a variety of thin substrates as wafers, including silicon and glass, as well as under a variety of conditions, including FEOL and BEOL, to provide a variety of devices including ICs, RC circuits, and interposers.

[0097] The bonding solutions of the present disclosure allow the processing of thin form at final thickness glass, as well as thinned Silicon, through all existing required process steps with high yield and with low processing time. After the thin wafer is processed through metallization, distribution layer placement, it can be debonded leaving the thinned and processed interposer, and/or IC, intact. Moreover, the use of carrier with an already-thinned (on the order of < 200 microns) silicon wafer allows the wafer to be screened before any devices are processed thereon. Accordingly, costs can be reduced and/or yields improved.

[0098] In light of the above, there is a need for a thin sheet - carrier article that can withstand the rigors of the FEOL processing, including high temperature processing (without outgassing that would be incompatible with the semiconductor or display making processes in which it will be used), yet allow the entire area of the thin sheet to be removed (either all at once, or in sections) from the carrier. The present specification describes surface treatments to control the adhesion between the carrier and thin sheet to create a temporary bond sufficiently strong to survive FEOL processing (including high temperature processing) but weak enough to permit debonding of the sheet from the carrier, even after high-temperature processing. More specifically, the present disclosure provides surface treatments that may be provided on the thin sheet, the carrier, or both, to control both room-temperature van der Waals, and/or hydrogen, bonding and high temperature covalent bonding between the thin sheet and carrier. Even more specifically, the room-temperature bonding may be controlled so as to be sufficient to hold the thin sheet and carrier together during vacuum processing, wet processing, and/or ultrasonic cleaning processing. And at the same time, the high temperature covalent bonding may be controlled so as to prevent a permanent bond between the thin sheet and carrier during high temperature processing, as well as maintain a sufficient bond to prevent delamination during high temperature processing.

[0099] Another use of the surface treatments, which form the temporary bonding condition, is to provide for use of a thin sheet on a carrier to process the thin sheet in processes requiring a temperature > 400°C (for example > 450°C, > 500°C, > 550°C, > 600°C), as in FEOL processing, for example. That is, the thin sheet may be a wafer that is processed at thickness without having to thin it later on. Surface treatments as described herein may be used to provide reuse of the carrier under such temperature conditions. Specifically, these surface treatments may be used to form a temporary bond between the thin sheet and carrier, whereby the entire thin sheet may be separated from the carrier after processing. The thin sheet may be separated all at once, or may be separated in sections as, for example, when first removing devices produced on portions of the thin sheet and thereafter removing any remaining portions to clean the carrier for reuse, for example. In the event that the entire thin sheet is removed from the carrier, as by removal of the thin sheet as a whole, or as by removing diced sections of the thin sheet the sum of which add to the entire thin sheet, the carrier can be reused as is by simply by placing another thin sheet thereon. Alternatively, the carrier may be cleaned and once again prepared to carry a thin sheet by forming a surface treatment anew. Because the surface treatments provide a temporary bond between the thin sheet and the carrier, they may be used for processes wherein temperatures are > 600°C. Of course, although these surface treatments may control bonding surface energy during processing at temperatures > 600°C, they may also be used to produce a thin sheet and carrier combination that will withstand processing at lower temperatures, for example temperatures > 400°C (for example > 450°C, > 500°C, > 550°C), and may be used in such lower temperature applications to control bonding, for example in BEOL processing. The thin sheet may be a polysilicon or single crystal silicon wafer, silicon wafer, glass, ceramic, glass-ceramic, quartz, sapphire, having a thickness of < 200 microns, and may be processed at, for example temperatures > 500°C to form RC circuits, ICs, or other electronic devices thereon in FEOL processing. After FEOL processing, the wafer may easily be removed from the carrier without damaging the electronic devices. Before removal, however, the wafer may undergo further, lower temperature processing, as in BEOL processing, for example.

[00100] A second use of the surface treatments, to provide a temporary bond, is to fabricate an interposer. In this case, the thin sheet is an interposer, which may be a wafer made from any suitable material including silicon, polysilicon, single crystal silicon, glass, ceramic, glass- ceramic, quartz, sapphire, for example, and which may have a thickness of <200 microns, for example.

[00101] An example of an interposer, and the fabrication thereof, will now be described with reference to FIGS. 6-8.

[00102] With reference to FIG. 6, a thin sheet 20 may be bonded to a carrier 10 by a controlled bonding area 40, i.e., an area in which a temporary bond is formed between the thin sheet 20 and the carrier 10.

[00103] In this embodiment, the carrier 10, may be a glass substrate, or another suitable material having a similar surface energy as glass, for example, silicon, polysilicon, single crystal silicon, ceramic, glass-ceramic, sapphire, or quartz. An advantage of using a glass substrate is that flat sheets having minimal thickness variation can be obtained at a relatively low cost, avoiding the need for expensive carrier substrates. Additionally, with glass, a high quality can be achieved in a cost effective manner. That is, a very uniform thickness glass substrate can be made very cheaply, and used as a carrier. However, with the surface treatments of the present disclosure, the carrier need not be a high precision carrier having a low total thickness variation as in the case where the wafer will be thinned to final thickness. That is, when a wafer on a carrier will be thinned, the carrier must have a very tight control on total thickness variation because any variation in the carrier will be present in the thinned wafer upon thinning. With the surface treatments of the present disclosure, which allow forming devices on the wafer when the wafer is already at final thickness, the total thickness variation of the carrier is much less important.

[00104] In this embodiment, the thin sheet 20 is used to form interposers 56. The sheet may be silicon, including polysilicon or a single crystal silicon wafer, quartz, sapphire, ceramic, or glass, for example. The sheet 20 may have a thickness of <200 microns. The interposers 56 each having a perimeter 52 and an array 50 of vias, wherein the array 50 has a perimeter 57.

Although ten interposers 56 are shown, any suitable number— including one— may be disposed on one thin sheet 20. For convenience of illustration, each interposer 56 is shown as having only one array 50 of vias, but such need not be the case; instead any interposer 56 may have more than one array 50. Further, although each interposer is shown as having the same number of arrays 50, such need not be the case; any number (including zero) of the interposers may have the same number of arrays 50. Additionally, although the arrays 50 will typically have the same number and pattern of vias, such need not be the case. For convenience of illustration, vias 60 are shown on only one of the arrays 50 of one of the interposers 56, but such need not be the case, i.e., any one or more of the remaining interposers 56 may have one or more arrays 50 of vias 60.

[00105] Reference will now be made to FIG. 7, which is a cross-sectional view as taken along line 7-7 in FIG. 6. The vias 60 may include through vias or blind vias, i.e., vias that end within the thickness of the sheet 20. Vias 60 have a diameter 62, and are spaced at a pitch 64.

Although the diameters 62 are shown as being the same, such need not be the case, i.e., there may be different diameter vias in one array 50 or in different arrays 50 on one interposer 56. The diameter 62 may be from 5 microns to 150 microns, for example. Similarly, although the vias 62 are spaced at the same pitch 64, such need not be the case, i.e., different pitches may be present in one array 50, or in different arrays 50 on one interposer 56 or in different interposers 56 on one thin sheet 20. The pitch may be such that there are from 1 to 20 vias per square millimeter, for example, and will depend upon the design and application of the interposer. Additionally, material 61 may be present in any one or more of the vias 60. The material 61 may be an electrically conductive material, an electrically insulating material, or a combination thereof. For example, a conductive material may be formed on the perimeter of the via, i.e., at its outside diameter 62, and either a different conductive material or an insulating material may be used to fill in the remainder of the via.

[00106] Reference will now be made to FIG. 8, which is a view similar to that in FIG. 7, but with devices/structures disposed on the interposer 56 and connected to via(s) 60. As shown in FIG. 8, a device 66 may be disposed over, and connected with, a plurality of vias 60. Device 66 may include integrated circuits; MEMS; microsensors; power semiconductors; light-emitting diodes; photonic circuits; CPU; SRAM; DRAM, eDRAM; ROM, EEPROM; flash memory; interposers; embedded passive devices; and microdevices fabricated on or from silicon, silicon- germanium, gallium arsenide, and gallium nitride. Although only one device 66 is shown, there may be any suitable number of devices 66 on one interposer 56, including an array of devices 56. Alternatively, a structure 68 may be disposed over and connected with only one via 60.

Structures 68 may include: solder bumps; metal posts; metal pillars; interconnection routings; interconnect lines; insulating oxide layers; and structures formed from a material selected from the group consisting of silicon, polysilicon, silicon dioxide, silicon (oxy)nitride, metal (for example, Cu, Al, W), low k dielectrics, polymer dielectrics, metal nitrides, and metal silicides. Although only one structure 68 is shown, there may be any suitable number of structures 68 on one interposer 56, including array(s) of structures 56. Further, one or more structures 68 may be disposed on a device 66.

[00107] In the controlled bonding area 40, the carrier 10 and thin sheet 20 are bonded to one another so that over the entire area of overlap, the carrier 10 and thin sheet 20 are connected, but may be separated from one another, even after high temperature processing, e.g. processing at temperatures > 400°C, for example > 450°C, > 500°C, > 550°C, > 600°C, and on up to about 650°C, or in some cases to 700°C.

[00108] The surface treatments disclosed herein result in an interfacial bonding layer 30 to provide temporary bonding areas 40 between the carrier 10 and the thin sheet 20. Specifically, these surface treatments may be formed within the perimeters 52 of the arrays 50 either on the carrier 10 or on the thin sheet 20. Accordingly, when the article 2 is processed at high temperature during device processing, there can be provided a temporary bond between the carrier 10 and the thin sheet 20 within the areas bounded by perimeters 52 whereby a separation force may separate (without catastrophic damage to the thin sheet or carrier) the thin sheet and carrier in this region, yet the thin sheet and carrier will not delaminate during processing, including ultrasonic processing. Additionally, because of the very small thickness of the interfacial bonding layer 30, i.e., less than 100 nanometers, less than 40 nanometers, less than 10 nanometers, and in some instances about 2 nanometers, there is no effect on the wafer due to CTE mismatch between the wafer and the surface treatment (as there is in the case of thicker adhesive layers, i.e., on the order of 40-60 microns or more).

[00109] Then, during extraction of the interposers 56 (each having an array 50 of vias 60) having perimeters 52, the portions of thin sheet 20 within the perimeters 52 may simply be separated from the carrier 10 after processing and after separation of the thin sheet along perimeters 52. Alternatively, the thin sheet 20 (and alternatively both the thin sheet 20 and the carrier 10) may be diced along lines 5, whereby a section of the thin sheet 20 larger than the interposer 56 perimeter 52 may be removed from the carrier 10, or sections of the carrier 10 as in the event that the carrier is diced together with the thin sheet 20. Because the surface treatments provide temporary bonding of the thin sheet with the carrier, they may be used for processes wherein temperatures are > 600°C. Of course, although these surface treatments may control bonding surface energy during processing at temperatures > 600°C, they may also be used to produce a thin sheet and carrier combination that will withstand processing at lower temperatures for example > 400°C (for example > 450°C, > 500°C, > 550°C), and may be used in such lower temperature applications.

[00110] In embodiments, the sheet 20 comprises silicon, quartz, sapphire, ceramic, or glass.

[00111] In embodiments, the sheet 20 thickness is < 200 microns.

[00112] In embodiments, the sheet 20 further comprises at least one via therein. In

embodiments, the at least one via has a diameter of < 150 microns. In embodimetns, the at least one via may comprise electrically conductive material therein.

[00113] In embodiments, the sheet 20 comprises a device surface opposite the surface temporarily bonded to the carrier 10, the device surface comprising an array of devices selected from the group consisting of: integrated circuits; MEMS; CPU; microsensors; power

semiconductors; light-emitting diodes; photonic circuits; interposers; embedded passive devices; and microdevices fabricated on or from silicon, silicon-germanium, gallium arsenide, and gallium nitride.

[00114] In embodiments, the sheet 20 comprises a device surface opposite the surface temporarily bonded to the carrier 10, the device surface comprising at least one structure selected from the group consisting of: solder bumps; metal posts; metal pillars; interconnection routings; interconnect lines; insulating oxide layers; and structures formed from a material selected from the group consisting of silicon, polysilicon, silicon dioxide, silicon (oxy)nitride, metal, low k dielectrics, polymer dielectrics, metal nitrides, and metal silicides.

[00115] In embodiments, the interfacial bonding layer 30 is from 0.1 to 100 nm thick.

[00116] In embodiments, the carrier and the sheet are temporarily bonded over an area of > 100 square cm.

[00117] In embodiments, the sheet 20 and carrier 10 temporarily bonded together are subject to FEOL processing. In embodiments, the FEOL processing comprises processing-chamber temperatures of from 500°C to 700°C. In embodiments, the FEOL processing comprises at least one of: DRIE (dry reactive ion etch); PVD; CVD TiN; PECVD Si02; Electrolytic Cu Plating; Cu Annealing; Metrology; Cu CMP; Cu (H202 + H2S04) + Ti (DHF) Wet Etch; Sputter Adhesion Layer; Sputter Seed Layer; Lithography (Photoresist, expose, strip, etch Cu). EXAMPLES

[00118] The following Examples demonstrate making, use, and analysis of the disclosed laminate glass products in accordance with the above general procedures. The following Examples also demonstrate an ability to control the resulting strength of the interfacial bond between the component pieces in the laminate article.

Example 1

[00119] Carrier Cleaning The carrier can be cleaned prior to use in the disclosed process, after use in the disclosed process following debonding or separation of the glass work piece, or both. The cleaning step can include, for example, one or more of: DI water rinse, ΝΗ 4 ΟΗ:Η2θ2:Η 2 0 mixture, 0 2 plasma treatment, an acid rinse (i.e., HC1 or HC1:H 2 0 2 ) and like rinses, or a combination thereof. The cleaning step is primarily concerned with significantly minimizing the density of particles on the cleaned surface.

Example 2

[00120] Surface Treatment In an additive surface treatment process of the disclosure, the surface of the carrier or the glass work piece can be modified to permit van der Waal bonding while eliminating or minimizing covalent bonding. The treated surface provides adhesive stability between the carrier and the work piece up to moderate process temperatures (e.g., 600 °C). The surface treatment can be accomplished with a fluorine plasma having process conditions of, for example, a vacuum pressure of 50 mTorr, a reactant flow rate and

concentration of 25 seem CHF 3 and 25 seem CF 4i a 200W RF power, and a 60 second fluorine plasma exposure or treatment time. Alternatively, a similar surface may be prepared in an ICP plasma configuration with CF 4 as the etchant and C 4 Fs as the fiuoropolymer former, or in an RIE chamber with CF 4 as the etchant and CH 4 as the fiuoropolymer former, or in an RIE chamber with CF 4 as the etchant and H 2 as the polymer former.

[00121] In another example, the counterpart work piece to be bonded can be, for example, a semiconductor substrate or a substrate for epitaxial growth, such as silicon, gallium arsenide, or sapphire. These substrates bond to the treated carrier glass similar to the thin glass substrate described above by either hand bonding or roller lamination. The adhesion of these substrate materials was similar to that of the above glass substrates, both as bonded and after thermal processing. Example 3

[00122] Bonding a thin glass work piece to a carrier substrate. In an example bonding procedure, a thin glass work piece was lightly contacted with a carrier surface that had been treated with any of the treatments in the preceding example. Contacting pressure, for example, from a mechanical source, or like source, can be applied to one or more points on either or both the thin glass work piece and the carrier. The lightly applied pressure can initiate a bonding wave front.

[00123] In another example bonding procedure, the bond can be accomplished by a traditional mechanical lamination method by, for example, applying an untreated or treated thin glass work piece to a treated carrier with a roller lamination assembly.

Example 4

[00124] Device (e.g., Flat Panel Display) Fabrication on the Bonded Thin Glass Work Piece Device processing, that is, creation, fabrication, modification, etc., can be accomplished on the exterior and unbounded surface of the bonded thin glass work piece using conventional process techniques such that devices, circuits, or like structural or surface modification of the non-bonded thin glass work piece surface occurs. Device processing can include, for example, exposure to acids, bases, vacuum, film deposition, plasma etching, high temperature annealing vacuum, and like processing steps.

Example 5

[00125] Debonding Debonding processing permits the bonded thin glass work piece, now having the device processed outer surface, i.e., the device modified glass substrate, to be mechanically and relatively easily removed from the carrier substrate. Removal from the carrier can be accomplished by any suitable device or method, for example, grabbing a corner using vacuum suction and pulling on the bonded thin glass work piece to remove it from the carrier by, for example, peeling.

Example 6

[00126] XPS method of determining surface composition. X-ray Photoelectron Spectroscopy (XPS) was used to study and measure the surface composition and speciation of all surfaces. All XPS data were collected using a commercial XPS instrument (PHI) employing Al a radiation and a take off angle of 45°. The spectra were analyzed using the software and sensitivity factors provided by the XPS instrument vendor. The following orbitals were used to determine the surface composition: Si 2p, Al 2p, O Is, C Is, Mg 2s, Ca 2s, B Is, F Is, and Sr 3d. The reported concentrations of these elements were averages of concentrations of each of these elements over the entire probed depth of the XPS signal.

Example 7

[00127] Changes in surface composition as a function of CHF 3 (fluoropolymer forming agent) and CF 4 (etchant) ratio Table 1 shows the surface atomic ratios of select elements obtained from Fig. 4 (Al:Si, Ca:Si, Mg:Si, Sr:Si) for untreated EXG glass and EXG glass following plasma treatment in different ratios of CF 4 and CHF 3 . The data clearly show that plasma surface treatment results in substantial increase in the Al:Si, Ca:Si, Mg:Si, and Sr:Si atomic ratios for the treated EXG surfaces as compared to untreated EXG surface, which results are indicative of the relative depletion of Si compared to Al, Ca, Mg, and Sr.

Table 1. Atomic ratios of selected elements obtained from Fig. 4 compared with the same ratios in the surface composition of

untreated EXG.

[00128] The presence of a carbonaceous overlayer is known to affect the atomic ratios of the underlying elements due to selective attenuation of XPS signal originating from different orbitals. In the ratio of Ca:Si, the changes due to measurement artifact is small and in the ratios of Al:Si, Mg:Si, and Sr:Si, these changes are minimal and essentially below detection limits.

Example 8

[00129] Determination of Formation of Fluoride Species on Glass Surface XPS was also used to perform speciation of fluorine detected on the surfaces. The F Is spectra could be peak fitted with two distinct peaks separated by about 1.9 eV. The lower binding energy peak was assigned to fluorine present as metal fluoride species while the higher binding energy peak was assigned to fluorine in reaction with the carbonaceous layer. In this manner it is possible to obtain the fraction of fluorine concentration detected on the surface by XPS which is due to metal fluorides and the fraction of fluorine present in the fluoropolymer layer.

[00130] Knowing the concentration of fluorine that is in the form of metal fluorides, and knowing the total concentration of cations detected by XPS, it is possible to calculate the total fluorine to cation ratio. In this instance, total cation concentration is defined as the total concentrations of B, Al, Mg, Sr, Si, and Ca as detected by XPS under conditions defined in Example 7. The results of such analysis for the examples of pure CHF 3 and pure CF 4 plasma conditions are presented in Table 2.

[00131] The results in Table 2 indicate that for the example of pure CHF 3 a majority of fluorine concentration detected by XPS on the surface is in the form of fluoropolymer while in the example of pure CF4, a minority of the fluorine concentration detected by XPS is in the form of fluoropolymer.

Table 2. Total concentration of fluorine detected on the surface, the total portion of fluorine present as metal fluorides, and the fluorine to glass cations ratios for pure CHF 3 or pure CF 4 plasma compositions.

[00132] The disclosure has been described with reference to various specific embodiments and techniques. However, it should be understood that many variations and modifications are possible while remaining within the scope of the disclosure.