Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
DUMMY HOLE AND MESH PATCH FOR DIFFUSER
Document Type and Number:
WIPO Patent Application WO/2023/069227
Kind Code:
A1
Abstract:
A diffuser plate includes at least one of dummy holes, a first mesh patch coupled thereto, a second mesh patch coupled thereto, or a plurality of density control passages as shown and describe herein. The dummy holes, the mesh patches, and the plurality of density control passages reduce the occurrence of non-uniform profiles at the corners of the processing chamber.

Inventors:
KIM JONG YUN (US)
NEHRER WILLIAM (US)
SUNG WON HO (US)
KIM HAN BYOUL (US)
LEE SANG HOON (US)
Application Number:
PCT/US2022/044830
Publication Date:
April 27, 2023
Filing Date:
September 27, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
C23C16/455; C23C16/509
Domestic Patent References:
WO2019109207A12019-06-13
Foreign References:
US20100037823A12010-02-18
US20200224313A12020-07-16
US20040129211A12004-07-08
US20190193233A12019-06-27
JP2017011261A2017-01-12
Attorney, Agent or Firm:
TABOADA, Keith et al. (US)
Download PDF:
Claims:
What is claimed is:

1 . A diffuser plate assembly, comprising: a plate having a back side and a front side; a gas passage extending from the back side to the front side through the plate, the gas passage comprising: a backside hole extending from the back side to a first depth of the plate; a pinhole extending from the backside hole at the first depth of the plate to a second depth of the plate; and a front cone extending from the pinhole at the second depth of the plate to the front side; and a first dummy hole on a periphery of the plate, the first dummy hole extending from the back side into the plate short of the front side.

2. The diffuser plate assembly of claim 1 , wherein the first dummy hole extends from the back side to the first depth of the plate.

3. The diffuser plate assembly of claim 1 , further comprising: a second dummy hole on the periphery of the plate, the second dummy hole extending from the front side into the plate short of the back side.

4. The diffuser plate assembly of claim 3, wherein the second dummy hole is not connected to the first dummy hole.

5. The diffuser plate assembly of claim 1 , wherein the backside hole has a first diameter, the pinhole has a second diameter, the front cone has the second diameter at the second depth and a third diameter at the front side, and the first diameter is greater than the second diameter, and the third diameter is greater than the second diameter.

27

6. The diffuser plate assembly of claim 1 , wherein the backside hole includes a first flared connection section that is continuously connected to the pinhole.

7. The diffuser plate assembly of claim 6, wherein the front cone includes a second flared connection section that is continuously connected to the pinhole.

8. A diffuser plate assembly, comprising: a plate having a back side and a front side; a gas passage extending from the back side to the front side through the plate, the gas passage comprising: a backside hole extending from the back side to a first depth of the plate; a pinhole having a first length and extending from the backside hole at the first depth of the plate to a second depth of the plate; and a front cone extending from the pinhole at the second depth of the plate to the front side; and a mesh patch on the back side of the plate.

9. The diffuser plate assembly of claim 8, wherein the mesh patch comprises ceramic.

10. The diffuser plate assembly of claim 9, wherein the mesh patch is in contact with the back side of the plate and fixed to the back side of the plate by a fixing bolt.

11. The diffuser plate assembly of claim 8, wherein the mesh patch comprises aluminum.

12. The diffuser plate assembly of claim 11 , wherein the mesh patch is spaced away from the back side of the plate and supported by a supporting pillar disposed through the plate.

13. The diffuser plate assembly of claim 8, wherein the backside hole has a first diameter, the pinhole has a second diameter, the front cone has the second diameter at the second depth and a third diameter at the front side, and the first diameter is greater than the second diameter, and the third diameter is greater than the second diameter.

14. The diffuser plate assembly of claim 8, wherein the backside hole includes a first flared connection section that is continuously connected to the pinhole.

15. The diffuser plate assembly of claim 14, wherein the front cone includes a second flared connection section that is continuously connected to the pinhole.

16. A diffuser plate assembly, comprising a plate having a back side and a front side; a plurality of first gas passages extending from the back side to the front side through the plate, each of the plurality of first gas passages comprising: a first backside hole extending from the back side into the plate; a first pinhole having a first length and extending from the first backside hole towards the front side; and a first front cone extending from the first pinhole to the front side; and a plurality of second gas passages extending from the back side to the front side through the plate, each of the plurality of second gas passages comprising: a second backside hole extending from the back side into the plate; a second pinhole having a second length and extending from the second backside hole towards the front side, wherein the second length is greater than the first length; and a second front cone extending from the second pinhole to the front side.

17. The diffuser plate assembly of claim 16, wherein a density of the plurality of second gas passages is between 25 % and 50 %.

18. The diffuser plate assembly of claim 16, wherein the first backside hole and the second backside hole each have a first diameter, the first pinhole and the second pinhole each have a second diameter, the first front cone and the second front cone each have a third diameter at the front side, and the first diameter is greater than the second diameter, and the third diameter is greater than the second diameter.

19. The diffuser plate assembly of claim 16, wherein the first backside hole includes a first flared connection section that is continuously connected to the first pinhole, and the second backside hole includes a second flared connection section that is continuously connected to the second pinhole.

20. The diffuser plate assembly of claim 16, wherein the first front cone includes a third flared connection section that is continuously connected to the first pinhole, and the second front cone includes a fourth flared connection section that is continuously connected to the second pinhole.

Description:
DUMMY HOLE AND MESH PATCH FOR DIFFUSER

BACKGROUND

Field

[0001] Embodiments of the present disclosure generally relate to a diffuser plate assembly. More specifically, embodiments described herein relate to a diffuser plate and accessory parts coupled to the diffuser plate.

Description of the Background Art

[0002] Liquid crystal displays or flat panels are commonly used for active matrix displays such as computer and television monitors. Plasma enhanced chemical vapor deposition (PECVD) is generally employed to deposit thin films on a substrate such as a transparent glass substrate (for flat panel) or semiconductor wafer. PECVD is generally accomplished by introducing a precursor gas or gas mixture into a vacuum chamber that contains a flat panel. The precursor gas or gas mixture is typically directed downwardly through a distribution plate situated near the top of the chamber. The precursor gas or gas mixture in the chamber is energized (e.g., excited) into a plasma by applying radio frequency (RF) power to the chamber from one or more RF sources coupled to the chamber. The excited gas or gas mixture reacts to form a layer of material on a surface of the flat panel that is positioned on a temperature controlled substrate support. Volatile by-products produced during the reaction are pumped from the chamber through an exhaust system.

[0003] Flat panels processed by PECVD techniques are typically large, often reaching 2,940mm x 3,370mm and ranging over 10 square meter in size. Gas distribution plates utilized to provide uniform process gas flow over flat panels are relatively large in size, particularly as compared to gas distribution plates utilized for 200mm and 300mm semiconductor wafer processing.

[0004] Large gas distribution plates utilized for flat panel processing have a number of fabricating issues that result in high manufacturing costs. For example, gas flow holes formed through the gas distribution plate are small in diameter relative to thickness of the gas distribution plate, for example a 0.016 inch diameter hole through a 1 .2 inch thick plate, resulting in a high frequency of drill bit breakage during hole formation. Removal of broken drill bits is time consuming and may result in the entire gas distribution plate being scrapped. Additionally, as the number of gas flow holes formed through the gas distribution plate is proportional to the size of the flat panel, the great number of holes formed in each plate disadvantageously contributes to a high probability of trouble during plate fabrication. Moreover, the high number of holes coupled with the care required to minimize drill bit breakage results in long fabrication times, thereby elevating fabrication costs.

[0005] Therefore, there is a need for an improved diffuser plate assembly.

SUMMARY

[0006] Embodiments of the present disclosure provide a diffuser plate assembly. The diffuser plate assembly includes a plate having a back side and a front side, a gas passage extending from the back side to the front side through the plate, the gas passage including a backside hole extending from the back side to a first depth of the plate, a pinhole extending from the backside hole at the first depth of the plate to a second depth of the plate, and a front cone extending from the pinhole at the second depth of the plate to the front side, and a first dummy hole on a periphery of the plate, the first dummy hole extending from the back side into the plate short of the front side.

[0007] Embodiments of the present disclosure provide a diffuser plate assembly. The diffuser plate assembly, including a plate having a back side and a front side, a gas passage extending from the back side to the front side through the plate, the gas passage including a backside hole extending from the back side to a first depth of the plate, a pinhole having a first length and extending from the backside hole at the first depth of the plate to a second depth of the plate, and a front cone extending from the pinhole at the second depth of the plate to the front side, and a mesh patch on the back side of the plate.

[0008] Embodiments of the present disclosure provide a diffuser plate assembly. The diffuser plate assembly includes a plate having a back side and a front side, a plurality of first gas passages extending from the back side to the front side through the plate, each of the plurality of first gas passages including a first backside hole extending from the back side into the plate, a first pinhole having a first length and extending from the first backside hole towards the front side, and a first front cone extending from the first pinhole to the front side, and a plurality of second gas passages extending from the back side to the front side through the plate, each of the plurality of second gas passages including a second backside hole extending from the back side into the plate, a second pinhole having a second length and extending from the second backside hole towards the front side, wherein the second length is greater than the first length, and a second front cone extending from the second pinhole to the front side.

BRIEF DESCRIPTION OF THE APPENDIX

[0009] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the accompanying drawings. It is to be noted, however, that the drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.

BRIEF DESCRIPTION OF THE DRAWINGS

[0010] The teachings of the present disclosure can be readily understood by considering the following detailed description in conjunction with the accompanying drawings.

[0011] Figure 1 depicts a cross-sectional schematic view of a bottom gate thin film transistor.

[0012] Figure 2A is a schematic cross-sectional view of an illustrative processing chamber having one embodiment of a gas distribution plate assembly according to embodiments described herein.

[0013] Figure 2B depicts the bottom view of an embodiment of a gas diffuser plate according to embodiments described herein.

[0014] Figure 3 depicts a cross-sectional schematic view of a gas diffuser plate.

[0015] Figure 4A depicts a cross-sectional schematic view of an embodiment of a gas diffuser plate according to embodiments described herein.

[0016] Figure 4B depicts the top view of a section of an exemplary embodiment of a gas diffuser plate according to embodiments described herein. [0017] Figure 4C depicts a cross-sectional schematic view of a variation of the gas diffuser plate design of Figure 4A according to embodiments described herein.

[0018] Figure 5 shows the diffuser surface exposed to the process volume according to embodiments described herein.

[0019] Figure 6 shows the process flow of depositing a thin film on a substrate in a processing chamber with a gas diffuser plate and cleaning the processing chamber according to embodiments described herein.

[0020] Figure 7 shows the secondary ion mass spectrometer (SIMS) analysis of the fluorine content of SiN film of the Figure 3 and Figure 4A designs according to embodiments described herein.

[0021] Figure 8A depicts a cross-sectional schematic view of a variation of the gas diffuser plate design of Figure 4A for thicker diffuser plate according to embodiments described herein.

[0022] Figure 8B depicts a cross-sectional schematic view of another variation of the gas diffuser plate design of Figure 8A according to embodiments described herein.

[0023] Figure 9 depicts a cross-sectional schematic view of another variation of the gas diffuser plate design of Figure 8A with dummy holes according to embodiments described herein.

[0024] Figure 10A depicts a top view of an embodiment of a diffuser plate according to embodiments described herein.

[0025] Figure 10B depicts a cross-sectional view of an embodiment of a gas diffuser plate with a first mesh patch according to embodiments described herein.

[0026] Figure 10C depicts a cross-sectional view of an embodiment of a diffuser plate with a second mesh patch according to embodiments described herein.

[0027] Figure 10D depicts a top view of an embodiment of a second mesh patch according to embodiments described herein.

[0028] Figure 11A and 11 B are schematic, top-views of a plurality of gas passages according to embodiments described herein.

[0029] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. DETAILED DESCRIPTION

[0030] The disclosure generally provides a gas distribution plate assembly for providing gas delivery within a processing chamber. The disclosure is illustratively described below in reference to a plasma enhanced chemical vapor deposition system configured to process large area substrates, such as a plasma enhanced chemical vapor deposition (PECVD) system, available from AKT, a division of Applied Materials, Inc., Santa Clara, California. However, it should be understood that the subject matter has utility in other system configurations such as etch systems, other chemical vapor deposition systems and any other system in which distributing gas within a processing chamber is desired, including those systems configured to process round substrates. Please find appendix attached.

[0031] Figure 1 illustrates cross-sectional schematic views of a thin film transistor (TFT) structure 100. A common TFT structure is the back channel etch (BCE) inverted staggered (or bottom gate) TFT structure shown in Figure 1 . The BCE process is preferred, because the gate dielectric (SiN), and the intrinsic as well as n+ doped amorphous silicon films can be deposited in the same PECVD pump-down run. The BCE process shown here involves only 4 patterning masks. The substrate 101 may comprise a material that is essentially optically transparent in the visible spectrum, such as, for example, glass or clear plastic. The substrate may be of varying shapes or dimensions. Typically, for TFT applications, the substrate is a glass substrate with a surface area greater than about 500 mm 2 . A gate electrode layer 102 is formed on the substrate 101. The gate electrode layer 102 comprises an electrically conductive layer that controls the movement of charge carriers within the TFT. The gate electrode layer 102 may comprise a metal such as, for example, aluminum (Al), tungsten (W), chromium (Cr), tantalum (Ta), or combinations thereof, among others. The gate electrode layer 102 may be formed using conventional deposition, lithography and etching techniques. Between the substrate 101 and the gate electrode layer 102, there may be an optional insulating material, for example, such as silicon dioxide (SiO2) or silicon nitride (SiN), which may also be formed using an embodiment of a PECVD system described in this disclosure. The gate electrode layer 102 is then lithographically patterned and etched using conventional techniques to define the gate electrode. [0032] A gate dielectric layer 103 is formed on the gate electrode layer 102. The gate dielectric layer 103 may be silicon dioxide (SiC>2), silicon oxynitride (SiON), or silicon nitride (SiN), deposited using an embodiment of a PECVD system described in this disclosure. The gate dielectric layer 103 may be formed to a thickness in the range of about 100 A to about 6000 A.

[0033] A bulk semiconductor layer 104 is formed on the gate dielectric layer 103. The bulk semiconductor layer 104 may comprise polycrystalline silicon (polysilicon) or amorphous silicon (a-Si), which could be deposited using an embodiment of a PECVD system described in this disclosure or other conventional methods known to the art. Bulk semiconductor layer 104 may be deposited to a thickness in the range of about 100 A to about 3000 A. A doped semiconductor layer 105 is formed on top of the semiconductor layer 104. The doped semiconductor layer 105 may comprise n-type (n+) or p-type (p+) doped polycrystalline (polysilicon) or amorphous silicon (a- Si), which could be deposited using an embodiment of a PECVD system described in this disclosure or other conventional methods known to the art. Doped semiconductor layer 105 may be deposited to a thickness within a range of about 100 A to about 3000 A. An example of the doped semiconductor layer 105 is n+ doped a-Si film. The bulk semiconductor layer 104 and the doped semiconductor layer 105 are lithographically patterned and etched using conventional techniques to define a mesa of these two films over the gate dielectric insulator, which also serves as storage capacitor dielectric. The doped semiconductor layer 105 directly contacts portions of the bulk semiconductor layer 104, forming a semiconductor junction.

[0034] A conductive layer 106 is then deposited on the exposed surface. The conductive layer 106 may comprise a metal such as, for example, aluminum (Al), tungsten (W), molybdenum (Mo), chromium (Cr), tantalum (Ta), and combinations thereof, among others. The conductive layer 106 may be formed using conventional deposition techniques. Both the conductive layer 106 and the doped semiconductor layer 105 may be lithographically patterned to define source and drain contacts of the TFT. Afterwards, a passivation layer 107 may be deposited. The passivation layer 107 conformably coats exposed surfaces. The passivation layer 107 is generally an insulator and may comprise, for example, silicon dioxide (SiC ) or silicon nitride (SiN). The passivation layer 107 may be formed using, for example, PECVD or other conventional methods known to the art. The passivation layer 107 may be deposited to a thickness in the range of about 1000 A to about 5000 A. The passivation layer 107 is then lithographically patterned and etched using conventional techniques to open contact holes in the passivation layer.

[0035] A transparent conductor layer 108 is then deposited and patterned to make contacts with the conductive layer 106. The transparent conductor layer 108 comprises a material that is essentially optically transparent in the visible spectrum and is electrically conductive. Transparent conductor layer 108 may comprise, for example, indium tin oxide (ITO) or zinc oxide, among others. Patterning of the transparent conductor layer 108 is accomplished by conventional lithographical and etching techniques.

[0036] The doped or un-doped (intrinsic) amorphous silicon (a-Si), silicon dioxide (SiO2), silicon oxynitride (SiON) and silicon nitride (SiN) films used in liquid crystal displays (or flat panels) could all be deposited using an embodiment of a plasma enhanced chemical vapor deposition (PECVD) system described in this disclosure.

[0037] Figure 2A is a schematic cross-sectional view of one embodiment of a plasma enhanced chemical vapor deposition system 200, available from AKT, a division of Applied Materials, Inc., Santa Clara, California. The system 200 generally includes a processing chamber 202 coupled to a gas source 204. The processing chamber 202 has walls 206 and a bottom 208 that partially define a process volume 212. The process volume 212 is typically accessed through a port (not shown) in the walls 206 that facilitate movement of a substrate 240 into and out of the processing chamber 202. The walls 206 and the bottom 208 are typically fabricated from a unitary block of aluminum or other material compatible with processing. The walls 206 support a lid assembly 210 that contains a pumping plenum 214 that couples the process volume 212 to an exhaust port (that includes various pumping components, not shown).

[0038] A temperature controlled substrate support assembly 238 is centrally disposed within the processing chamber 202. The substrate support assembly 238 supports a substrate 240 during processing. In one embodiment, the substrate support assembly 238 comprises an aluminum body 224 that encapsulates at least one embedded heater 232. The heater 232, such as a resistive element, disposed in the substrate support assembly 238, is coupled to an optional power source 274 and controllably heats the substrate support assembly 238 and the substrate 240 positioned thereon to a predetermined temperature. Typically, in a CVD process, the heater 232 maintains the substrate 240 at a uniform temperature between about 150 to at least about 460 degrees Celsius, depending on the deposition processing parameters for the material being deposited.

[0039] Generally, the substrate support assembly 238 has a lower side 226 and an upper side 234. The upper side 234 supports the substrate 240. The lower side 226 has a stem 242 coupled thereto. The stem 242 couples the substrate support assembly 238 to a lift system (not shown) that moves the substrate support assembly 238 between an elevated processing position (as shown) and a lowered position that facilitates substrate transfer to and from the processing chamber 202. The stem 242 additionally provides a conduit for electrical and thermocouple leads between the substrate support assembly 238 and other components of the system 200.

[0040] A bellows 246 is coupled between the substrate support assembly 238 (or the stem 242) and the bottom 208 of the processing chamber 202. The bellows 246 provides a vacuum seal between the process volume 212 and the atmosphere outside the processing chamber 202 while facilitating vertical movement of the substrate support assembly 238.

[0041] The substrate support assembly 238 generally is grounded such that RF power supplied by a power source 222 to a gas distribution plate assembly 218 positioned between the lid assembly 210 and substrate support assembly 238 (or other electrode positioned within or near the lid assembly of the chamber) may excite gases present in the process volume 212 between the substrate support assembly 238 and the gas distribution plate assembly 218. The RF power from the power source 222 is generally selected commensurate with the size of the substrate to drive the chemical vapor deposition process.

[0042] The substrate support assembly 238 additionally supports a circumscribing shadow frame 248. Generally, the shadow frame 248 prevents deposition at the edge of the substrate 240 and the substrate support assembly 238 so that the substrate does not stick to the substrate support assembly 238. The substrate support assembly 238 has a plurality of holes 228 disposed therethrough that accept a plurality of lift pins 250. The lift pins 250 are typically comprised of ceramic or anodized aluminum. The lift pins 250 may be actuated relative to the substrate support assembly 238 by an optional lift plate 254 to project from the support surface 230, thereby placing the substrate in a spaced-apart relation to the substrate support assembly 238.

[0043] The lid assembly 210 provides an upper boundary to the process volume 212. The lid assembly 210 typically can be removed or opened to service the processing chamber 202. In one embodiment, the lid assembly 210 is fabricated from aluminum (Al). The lid assembly 210 includes a pumping plenum 214 formed therein coupled to an external pumping system (not shown). The pumping plenum 214 is utilized to channel gases and processing by-products uniformly from the process volume 212 and out of the processing chamber 202.

[0044] The lid assembly 210 typically includes an entry port 280 through which process gases provided by the gas source 204 are introduced into the processing chamber 202. The entry port 280 is also coupled to a cleaning source 282. The cleaning source 282 typically provides a cleaning agent, such as disassociated fluorine, that is introduced into the processing chamber 202 to remove deposition byproducts and films from processing chamber hardware, including the gas distribution plate assembly 218.

[0045] The gas distribution plate assembly 218 is coupled to an interior side 220 of the lid assembly 210. The gas distribution plate assembly 218 is typically configured to substantially follow the profile of the substrate 240, for example, polygonal for large area flat panel substrates and circular for wafers. The gas distribution plate assembly 218 includes a perforated area 216 through which process and other gases supplied from the gas source 204 are delivered to the process volume 212. The perforated area 216 of the gas distribution plate assembly 218 is configured to provide uniform distribution of gases passing through the gas distribution plate assembly 218 into the processing chamber 202. Gas distribution plates that may be adapted to benefit from the disclosure are described in commonly assigned United States Patent Application Serial Nos. 09/922,219, filed August 8, 2001 , issued as United States Patent No. 6,772,827, by Keller et al.; 10/140,324, filed May 6, 2002; and 10/337,483, filed January 7, 2003 by Blonigan et al.; United States Patent No. 6,477,980, issued November 12, 2002 to White et al.; and United States Patent Application Serial Nos. 10/417,592, filed April 16, 2003 by Choi et al., which are hereby incorporated by reference in their entireties.

[0046] The gas distribution plate assembly 218 typically includes a gas diffuser plate 258 suspended from a hanger plate 260. The gas diffuser plate 258 and the hanger plate 260 may alternatively comprise a single unitary member. A plurality of gas passages 262 are formed through the gas diffuser plate 258 to allow a predetermined distribution of gas passing through the gas distribution plate assembly 218 and into the process volume 212. The hanger plate 260 maintains the gas diffuser plate 258 and the interior side 220 of the lid assembly 210 in a spaced-apart relation, thus defining a plenum 264 therebetween. The plenum 264 allows gases flowing through the lid assembly 210 to uniformly distribute across the width of the gas diffuser plate 258 so that gas is provided uniformly above the center of perforated area 216 and flows with a uniform distribution through the gas passages 262.

[0047] The gas diffuser plate 258 is typically fabricated from stainless steel, aluminum (Al), anodized aluminum, nickel (Ni) or other RF conductive material. The gas diffuser plate 258 is configured with a thickness that maintains sufficient flatness across the aperture 266 as not to adversely affect substrate processing. In one embodiment the gas diffuser plate 258 has a thickness between about 1.0 inch to about 2.0 inches. The gas diffuser plate 258 could be circular for semiconductor wafer manufacturing or polygonal, such as rectangular, for flat panel display manufacturing.

[0048] Figure 2B shows an example of a gas diffuser plate 258 for flat panel display application being a rectangle with width 290 of about 30 inch and length 292 of about 36 inch. The sizes of the diffuser holes, the spacing of diffuser holes, and diffuser plate are not drawn to scale in Figure 2B.

[0049] Figure 3 is a partial sectional view of the gas diffuser plate 258 according to another example. As an example, for a 1080 in 2 (e.g. 30 inches X 36 inches) diffuser plate, the gas diffuser plate 258 includes about 16,000 gas passages 262. For larger diffuser plates used to process larger flat panels, the number of gas passages 262 could be as high as 100,000. The gas passages 262 are generally patterned to promote uniform deposition of material on the substrate 240 positioned below the gas diffuser plate 258. Referring to Figure 3, in one embodiment, the gas passage 262 includes a restrictive section 302, a flared connector 303, a center passage 304 and a flared opening 306. The restrictive section 302 passes from the first side 318 of the gas diffuser plate 258 and is continuously connected to the center passage 304. The center passage 304 has a larger diameter than the restrictive section 302. The restrictive section 302 has a diameter selected to allow adequate gas flow through the gas diffuser plate 258 while providing enough flow resistance to ensure uniform gas distribution radially across the perforated center portion 310. For example, the diameter of the restrictive section 302 could be about 0.016 inch. The flared connector 303 connects the restrictive section 302 to the center passage 304. The flared connector 303 is continuously connected to the center passage 304 and has a diameter that tapers radially outwards from the center passage 304 to the second side 320 of the gas diffuser plate 258. The flared openings 306 promote plasma ionization of process gases flowing into the process volume 212. Moreover, the flared openings 306 provide larger surface area for hollow cathode effect to enhance plasma discharge.

[0050] As mentioned earlier, large gas distribution plates utilized for flat panel processing have a number of fabricating issues that result in high manufacturing costs. The manufacturing cost of the quad-aperture diffuser plate design in Figure 3 is relatively high since it requires four drilling steps to drill the restrictive section 302, flared connector 303, center passage 304 and flared opening 306 to create each gas passage 262 and the large number of gas passages 262, for example about 16,000 for a 30 inches X 36 inches (or 1080 inch 2 ) diffuser plate.

[0051] Figure 4A is a partial sectional view of the gas diffuser plate 258 of the current disclosure. The gas diffuser plate 258 includes about 12,000 gas passages 262 for a 30 inches X 36 inches (or 1080 inch 2 ) diffuser plate. The gas passage 262 is generally patterned to promote uniform deposition of material on the substrate 240 positioned below the gas diffuser plate 258. Referring to Figure 4A, in one embodiment, the gas passage 262 includes a restrictive section 402, and a conical opening 406. The restrictive section 402 extends from the first side 418 of the gas diffuser plate 258 into the gas diffuser plate 258 and is continuously connected to the conical opening 406. The conical opening 406 extends from the second side 420 of the gas diffuser plate 258 into the gas diffuser plate 258. The restrictive section 402 has a diameter between about 0.030 inch to about 0.070 inch, selected to allow adequate gas flow through the gas diffuser plate 258 while providing enough flow resistance to ensure uniform gas distribution radially across the perforated center portion 410. The edges of the restrictive section 402 of the diffuser holes on the first side 418 of the gas diffuser plate 258 could be rounded. The conical opening 406 is continuously connected to the restrictive section 402 and flares radially outwards from the restrictive section 402 to the second side 420 of the gas diffuser plate 258. The conical opening 406 has a diameter between about 0.2 inch to about 0.4 inch on the second side 420 of the gas diffuser plate 258. The second side 420 faces the surface of the substrate. The flaring angle 416 of the conical opening 406 is between about 20 to about 35 degrees.

[0052] The spacing between flared edges of adjacent gas passages 262 may be kept as small as possible. The flared edges could be rounded. An example of the spacing is 0.05 inch. The maximum spacing between flared edges of adjacent gas passages 262 is about 0.5 inch. The total restriction provided by the restrictive section 402 directly affects the back pressure upstream of the gas diffuser plate 258, and accordingly may be configured to prevent re-combination of disassociated fluorine utilized during cleaning. The ratio of the length (411 ) of the restrictive section 402 to the length (412) of the conical opening 406 is between about 0.8 to about 2.0. The total thickness of diffuser plate, which equals the summation of length 411 and length 412, is between about 0.8 inch to about 1 .6 inch. The conical openings 406 promote plasma ionization of process gases flowing into the process volume 212. An example of the quad-aperture gas passage design has the restrictive section 402 diameter at 0.042 inch, the length of the restrictive section 402 at 0.0565 inch, the conical opening 406 diameter on the second side 420 of the gas diffuser plate 258 at 0.302 inch, the length of the conical opening section at 0.0635 inch, and the flaring angle 416 at 22°. The total thickness of the exemplary diffuser plate is 1 .2 inches.

[0053] Figure 4B shows a section of an exemplary embodiment of a hexagonal close pack gas diffuser plate 258. The holes 450 (or gas passages 262 described earlier) are arranged in a pattern of face centered hexagons 460. The size of diffuser holes, and the spacing of diffuser holes are not drawn to scale in Figure 4B. However, other patterns of gas passages 262 arrangement (or holes 450), such as concentric circles, can also be used.

[0054] Figure 4C shows an alternative design to the design shown in Figure 4A. During the manufacturing process of machining the restrictive section 402 and the conical opening 406, a flared connecting section 405 could be created by using a different drill to round up (or remove) the burrs left during drilling the restrictive section 402 and conical opening 406. Aside from the addition of this connecting section 405, the rest of design attributes of Figure 4C are the same as the design attributes of Figure 4A.

[0055] Comparing the quad-aperture design in Figure 3 and the funnel design in Figure 4A, the funnel design diffuser plate is easier to manufacture than the quadaperture design diffuser plate. Funnel design in Figure 4A requires drilling two sections which include the restrictive section 402 and the conical opening 406; while the quad-aperture design in Figure 3 requires drilling of 4 sections: the restrictive section 302, flared connector 303, center passage 304 and flared opening 306. Drilling two sections to meet the manufacturing specification is much easier than drilling four sections to meet the manufacturing specification. The funnel design in Figure 4A also has higher manufacturing yield than the quad-aperture design in Figure 3 due to lower total number of holes. For example, for a 1080 in 2 (e.g. 30 inches X 36 inches) diffuser plate, the funnel design has about 12,000 holes, while the quadaperture design has about 16,000 holes. The funnel design diffuser plate has about 30% less holes than the quad-aperture design diffuser plate. In addition, the funnel design in Figure 4A has fewer particle problems than the quad-aperture design in Figure 3 due to its relative simplicity in removing broken drill bits from the larger restrictive section 402 (e.g. 0.040 inch and 0.055 inch), compared to the smaller restrictive section 302 (e.g. 0.016 inch).

[0056] In addition to higher manufacturing yield and fewer particle problems, the total surface area of the gas diffuser plate 258 exposed to the process volume 212 of the funnel design is less than the quad-aperture design, which would reduce the amount of residual fluorine on the diffuser plate (or shower head) from the cleaning process. Reduced residual fluorine could greatly reduce the fluorine incorporation in the film during deposition process. Incorporation of fluorine in the gate dielectric (or insulating) film, such as SiC>2, SiON or SiN, generates defect centers that degrade thin film transistor (TFT) device performance, such as Vt (threshold voltage) shift and Ion (drive current) reduction. It has been found that if the incorporated contaminants of a gate dielectric film, such as SiC>2, SiON or SiN, exceed 1 E20 atom/cm 3 , the TFT device performance could be severely affected. Besides, the quad-aperture design also creates higher back pressure when the cleaning gas is flowing through the gas distribution plate. The disassociated fluorine utilized to clean the plate has an increased propensity to recombine when the back pressure is higher, disadvantageously diminishing cleaning effectiveness.

[0057] A film deposition chamber requires periodic cleaning to reduce the film build-up along chamber surfaces, which might flake off to create particle problems in the processing chamber. An example of the cleaning process is the remote plasma source (RPS) clean, which utilizes fluorine containing plasma, generated from fluorine containing gases, such as NF3, SFe, F2, C2F6, C3F6 or C4F8O etc., to clean. After the cleaning step, a purge gas is used to purge out residual fluorine; however, some residual fluorine species might remain on the chamber and diffuser plate surface areas. The darkened lines (501 ) in Figure 5 show the funnel design diffuser surface exposed to the process volume 212. Table 1 compares the total exposed surface areas of two funnel designs (0.040 inch and 0.055 inch restrictive section diameters) and a quad-aperture design. The diameter of the flared end of both funnel designs is 0.302 inch and the flaring angle is 22°. The restrictive section 402 length for both funnel designs is 0.565 inch, while the length of the flared opening 306 for both designs is 0.635 inch. As for the quad-aperture design, the diameter of the restrictive section 302 is 0.016 inch, the diameter of the center passage 304 is 0.156 inch, the large diameter of the flared opening 306 is 0.25 inch and the flaring angle is 22°, the length of restrictive section is 0.046 inch, the length of the flared connector 303 is 0.032 inch, the length of the center passage 304 is 0.88 inch and the length of the flared opening 306 is 0.242 inch. The quad-aperture design has highest number of diffuser holes and highest total diffuser surface area. Both 0.040 inch and 0.055 inch funnel designs have relatively close total exposed diffuser surface areas, which are about half the total exposed diffuser surface area of the quad-aperture design.

Table 1 compares the total exposed surface areas of two funnel designs (0.040 inch and 0.055 inch restrictive section diameters) and a quad-aperture design.

[0058] Figure 6 shows an example of a process flow 600 of depositing a thin film on a substrate in a processing chamber with a gas diffuser plate and cleaning the processing chamber when cleaning is required. The process starts at block 601 , followed by block 602 of placing a substrate in a processing chamber with a diffuser plate. Block 603 describes depositing a thin film on the substrate in the processing chamber. After block 603, the system decides whether the number of processed substrates has reached a pre-determined cleaning limit at block 604. The predetermined cleaning limit could be 1 substrate or more than 1 substrate at block 606. If the cleaning limit has not been reached, the process sequence goes back to block 602 of placing another substrate in the processing chamber. If the cleaning limit has reached the pre-determined cleaning limit, the process sequence goes to block 605 of cleaning the processing chamber. After chamber cleaning at block 605, the system decides whether the number of total processed substrates has reached a predetermined limit. If the cleaning limit has not been reached, the process sequence goes back to block 601 of starting the deposition process. If the cleaning limit has been reached the pre-determined limit, the deposition process stops at block 607. Process flow 600 is only used as an example to demonstrate the concept. The disclosure can also apply to process flows that involves other process sequences, but fit into the general concept of deposition and cleaning.

[0059] Figure 7 shows the secondary ion mass spectrometer (SIMS) analysis of the fluorine content of film stacks, which contain SiN film, deposited with diffuser plates of the two designs. The film stack analyzed includes about 500 A phosphorus doped (n+) amorphous silicon film, about 2200 A amorphous silicon film, followed by about 4500 A silicon nitride film on a glass substrate. The amorphous silicon and the silicon nitride films have been sequentially deposited with the same diffuser plate (or shower head) in the same PECVD chamber. Curve 701 shows the fluorine content of the 0.055 inch funnel design in the SiN film (less than 1 E18 atom/cm 3 ) is more than one order of magnitude lower than the films processed with the quad-aperture design diffuser plate (curve 702, about 5E19 atom/cm 3 ). The lower fluorine content resulting from the funnel design is possibly due to lower total surface area of the gas diffuser plate 258 exposed to the process volume 212 compared to the quad-aperture design.

[0060] Chamber cleaning is accomplished by remote plasma source (RPS) clean which uses the fluorine radicals (F*) generated from fluorine-containing gases, such as NF3, SFe, F2, C2F6, C3F6 or C4F8O etc. The fluorine-containing gas (or gases) could be diluted by an inert gas, such as argon (AR), to help sustain the plasma. However, the inert gas is optional. Generally, the cleaning process is performed with inert gas flowing at between about 0 slm to about 6 slm, fluorine containing gas flowing at between 1 slm to about 6 slm and the pressure of the remote plasma source generator is maintained at between 0.5 Torr to 20 Torr. Equation (1 ) shows the example of using NF3 as the cleaning gas:

[0061] The fluorine radical (F*) can also recombine to form fluorine gas (F2), which does not have the same cleaning effect as the fluorine radical (F*) for SiN film. The reduction of cleaning efficiency due to fluorine radical recombination is stronger on SiN film cleaning than on amorphous silicon film cleaning, since amorphous silicon can also be cleaned by thermal F2 processing. Equation (2) shows the reaction of fluorine radical recombination. The fluorine radicals can recombine before they reach the reaction chamber. Although not wishing to be bound by any theory, unless explicitly set forth in the claims, narrower passages in the diffusers and higher back pressure in plenum 264 could enhance fluorine radical recombination prior to entering the process volume 212 and could reduce the cleaning efficiency.

[0062] Table 2 compares the remote plasma source cleaning rates for SiN film and a-Si film deposited in a PECVD chamber under identical conditions for the three designs mentioned in Tables 2 and 3. The remote plasma source cleaning species is generated by flowing 4 slm Ar and 4 slm NF3 into an ASTeX remote plasma source (RPS) generator that is maintained at 6 Torr. The ASTeX remote plasma source generator is made by MKS Instruments, Inc. of Wilmington, Massachusetts.

Table 2 compares the RPS clean rate of 3 types of diffuser designs for SiN and a-Si films.

[0063] The results show that 0.055 inch funnel shaped diffuser has the best cleaning performance, followed by the quad-aperture design and with 0.040 inch funnel being the last. The result is likely due to the lower back pressure and less restrictive diffuser path of the 0.055 inch funnel diffuser compared to the quadaperture and 0.040 inch funnel design, which results in less F* recombination and higher cleaning efficiency.

[0064] Table 3 shows the back pressure (Pb) of the RPS cleaning process when Ar flow is at 4 slm and NF3 is between 0-4 slm, for both RPS plasma on and off conditions.

Table 3 compares the back pressure of 3 types of diffuser design under different NF3 flow and when RPS plasma is on and off.

[0065] The 0.055 inch funnel diffuser has lowest back pressure and has least F* recombination and highest SiN film clean rate. However, the back pressure of the quad-aperture design is higher than the back pressure of 0.040 inch funnel design and yet the cleaning rate of the quad-aperture design is higher than 0.040 inch funnel design. This shows that recombination due to pressure difference alone does not explain the cleaning rate result. The recombination in the diffuser also plays an important role.

[0066] Table 4 compares the narrowest diameters, lengths and volumes of the diffuser passages of quad-aperture and 0.040 inch funnel designs. The 0.040 inch funnel design has a larger passage volume compared to the quad-aperture design. The larger passage volume could allow additional fluorine radical recombination than in the narrow diffuser passage and affect the clean rate result.

Table 4 compares the diameter, the length and the vo ume of the narrowest section in the diffuser for the quad-aperture and 0.040 inch funnel designs.

[0067] Clean rate is also dependent upon cleaning gas (such as NF3) dissociation efficiency. Table 5 shows the chamber pressure (in the process volume 212) data of the three designs under RPS cleaning process. The chamber pressure for all three diffuser designs are all in a similar range.

Table 5 compares the chamber pressure of 3 types of diffuser design under different NF3 flow and when plasma is on and off.

[0068] NF3 dissociation efficiency is directly proportional to the ratio of the net pressure increase when plasma is on to the net pressure increase when plasma is off. Table 6 shows the ratio of the net pressure increase when plasma is on to the net pressure increase when plasma is off for the quad-aperture, 0.055 inch funnel and 0.040 inch funnel designs. APcpiasma-on represents the pressure difference between the chamber pressure under certain NF3 flow to the chamber pressure under 0 NF3 flow when the plasma is on. Similarly, APc P iasma-off represents the pressure difference between the back pressure under certain NF3 flow to the chamber pressure under 0 NF3 flow when the plasma is off. The ratio of APc P iasma-on over APc P iasma-off quantifies the NF3 dissociation efficiency. The dissociation efficiency decreases with the increase of NF3 flow rate. The dissociation efficiency is highest for 0.055 inch funnel design, followed by the quad-aperture design and then 0.040 inch funnel design. The NF3 dissociation efficiency data correlate with the cleaning rate data.

Table 6 compares the ratio of the net pressure increase when plasma is on to the net pressure increase when plasma is off for the 3 designs.

[0069] In addition to cleaning efficiency, the impact of the diffuser design on the deposition performance should also be examined to ensure deposition performance meets the requirements. Table 7 compares the SiN and a-Si deposition uniformities and rates using the different diffuser designs under the same process conditions for the 3 diffuser designs. The SiN film is deposited using 600 seem SiF , 2660 seem NH3 and 6660 seem N2, under 1.5 Torr and 3050 watts source power. The spacing between the diffuser plate and the support assembly is 1.09 inch. The process temperature is maintained at about 355 °C. The a-Si film is deposited using 1170 seem SiH4 and 4080 seem H2, under 3.0 Torr and 950 watts source power. The spacing between the diffuser plate and the support assembly is 1.09 inch. The process temperature is maintained at 355°C.

Table 7 compares the SiN and a-Si films deposition uniformities and rates for the 3 designs.

[0070] The results show that the deposition rates and uniformities of the three designs are relatively comparable. The deposition rates are about the same for the three designs. The uniformity of 0.055 inch funnel design is worse than the quadaperture design. However, the uniformity can be improved by narrowing the diameter of the restrictive section 402 (0.040 inch vs. 0.055 inch). The uniformity of 0.040 inch funnel design (3.2% and 4.4%) is better than 0.055 inch funnel design (4.3% and 4.5%). For SiN film, the 0.040 inch funnel design (3.2%) is even better than the quadaperture design (3.8%). Other film properties, such as film stress, reflective index, and wet etch rate, are equivalent for the three designs. The results show that the film uniformity is affected by the diffuser design and can be tuned by adjusting the diameter of the restrictive section. The results also show that the funnel design can achieve the same deposition properties, such as uniformity, deposition rate, film stress, reflective index and wet etch rate, as the quad-aperture design.

[0071] In addition to the diffuser design, process pressure can also affect deposition rate and uniformity. Table 8 shows the effect of process pressure (or chamber pressure) on uniformity and deposition rate for 0.055 inch funnel design diffuser. Lower chamber pressure gives better uniformity and lower deposition rate.

Table 8 shows the deposition pressure, uniformity and deposition rate of SiN film using a 0.055 inch funnel design diffuser plate.

[0072] The funnel design diffuser plate is easier to manufacture compared to the quad-aperture design diffuser plate. Therefore, the yield and cost of manufacturing the funnel design diffuser plate is improved. In addition to ease of manufacturing, the funnel design diffuser plate also has the benefit of less residual fluorine on the diffuser plate after RPS clean. This results in less fluorine incorporation in the gate dielectric films and improved device performance. The funnel design could have better or equivalent clean rate and efficiency compared to the quad-aperture design, depending on the diameter of the restrictive section 402 selected. The funnel design also could have deposition rate and uniformity performance equivalent to the quadaperture design.

[0073] For a flat panel display with larger surface area, the gas diffuser plate 258 with larger top surface area may be required. With the increase of top surface area, the thickness of the gas diffuser plate 258 may increase to maintain the strength in supporting the diffuser plate.

[0074] Figure 8A shows a variation of the funnel design in Figure 4A for a thicker diffuser plate. All the corresponding design attributes of Figure 8A are same as Figure 4A. The guidelines used to design a pinhole 802, a front cone 806, and flaring angle 816 are similar to the guideline used to design the restrictive section 402, the conical opening 406, and flaring angle 416 of Figure 4A respectively. Conical cross-section of the front cone 806 is shown in Figure 8A. However, other configurations including concave cross-sections, such as parabolic, and convex cross-sections, can be used as well. The difference between Figure 8A and Figure 4A is that Figure 8A is thicker by the length 801 . A backside hole 804 can be created between a back side 818 of the gas diffuser plate 258 and the pinhole 802 to the depth 801 from the back side 818. The backside hole 804 includes a flared connecting section 803 that is continuously connected to the pinhole 802. The front cone 806 includes a flared connecting section 805 that is continuously connected to the pinhole 802. During the manufacturing process of machining the pinhole 802 and the backside hole 804, the flared connecting section 803 is created by using a different drill to round up (or remove) the burrs left during drilling the pinhole 802 and the backside hole 804. Since the backside hole 804 has larger diameter than the pinhole 802, the process only slightly increases the manufacturing time and does not affect manufacturing yield. The diameter of the backside hole 804 may be at least two times the diameter of the pinhole 802 to ensure that the addition of the backside hole 804 also does not change the backpressure and chamber pressure during processing as compared to the funnel design in Figure 4A. Due to this, the deposition process and the qualities of the film deposited using the design in Figure 8A are similar to the deposition process and the qualities of the film deposited by the funnel design of Figure 4A. The backside hole 804 has a diameter between about 0.06 inch to about 0.3 inch. The edges of the backside hole 804 of the diffuser holes on the back side 818 of the gas diffuser plate 258 could be rounded. The ratio of the length 801 of the backside hole 804 to the length 811 of the pinhole 802 is between about 0.3 to about 1 .5. The total thickness of the diffuser plate, which equals the summation of length 801 , length 811 and length 812 of the front cone 806, is between about 1 .0 inch to about 2.2 inch.

[0075] Figure 8B shows an alternative design to the design shown in Figure 8A. During the manufacturing process of machining the pinhole 802 and the front cone 806 including the flared connecting section 805 could be created by using a different drill to round up (or remove) the burrs left during drilling the pinhole 802 and the front cone 806. Aside from the addition of this connecting section 805, the rest of design attributes of Figure 8B are the same as the design attributes of Figure 8A.

[0076] Figure 9 depicts a cross-sectional schematic view of another variation of the gas diffuser plate design of Figure 8A with dummy holes 902 and dummy holes 903. The dummy holes 902 and 903 are formed on a periphery of a gas diffuser plate 258. Therefore, the dummy holes 902 are disposed outside of the plurality of gas passages 262. Each of the dummy holes 902 is not connected to any of the dummy holes 903, and thus there are no gas passages formed through the dummy holes 902 or 903. The dummy holes 902 mitigate the loading effect and voltage drop during an anodization process to mitigate enlargement of the pinhole 802 of the plurality of gas passages 262. As such, uniformity of gas flow and a uniform refurbishment process occur. The guidelines used to design the dummy holes 902 and the dummy holes 903 are similar to the guidelines used to design the backside holes 804 and the front cones 806, respectively.

[0077] Figure 10A depicts a top view of an embodiment of a gas diffuser plate 258. Figure 10B depicts a cross-sectional view of an embodiment of a gas diffuser plate 258 with a first mesh patch 1003. Figure 10C depicts a cross-sectional view of an embodiment of a gas diffuser plate 258 with a second mesh patch 1005. Figure 10D depicts a top view of the second mesh patch 1005.

[0078] As shown in Figure 10A, a mesh member 1002 is disposed over the back side 818 of the gas diffuser plate 258. The mesh member 1002 is disposed on one or more corners of the back side 818 of the gas diffuser plate 258. The mesh member 1002 is one of a first mesh patch 1003, shown in Figure 10B, or a second mesh patch 1005, shown in Figures 10C and 10D. The mesh member 1002 is an accessory part to the gas diffuser plate 258.

[0079] In one embodiment, as shown in Figure 10B, the gas diffuser plate 258 includes the first mesh patch 1003 disposed over and in contact with the back side 818 of the gas diffuser plate 258. The first mesh patch 1003 is fixed to the gas diffuser plate 258 via a fixing bolt 1004. The first mesh patch 1003 may include a ceramic material. A thickness 1006 and a patch hole size 1008 of the first mesh patch 1003 may be adjusted to improve flow conductance through the gas diffuser plate 258.

[0080] In another embodiment, as shown in Figures 10C and 10D, the gas diffuser plate 258 includes the second mesh patch 1005. The second mesh patch 1005 is disposed over and spaced away from the back side 818 of the gas diffuser plate 258 supported by supporting pillars 1010 disposed through the gas diffuser plate 258. The second mesh patch 1005 may include an aluminum material. As shown in Figure 10D, the second mesh patch 1005 includes a mesh-number relating to the fineness of the second mesh patch 1005. The second mesh patch 1005 includes a spacing distance 1012 relating to the distance between the second mesh patch 1005 and the gas diffuser plate 258. The mesh-number and the spacing distance 1012 may be adjusted to improve flow conductance through the gas diffuser plate 258.

[0081] Figure 11A and 11 B are schematic, top-views of a plurality of gas passages 262. The plurality of gas passages 262 shown in Figures 11 A and 11 B are disposed on one or more corners of the back side of the gas diffuser plate 258. The plurality of gas passages 262 include density control passages 1102. The density control passages 1102 include a fixed length of a pinhole 802 (shown in Figure 9) of the density control passage 1102. The fixed length may be about 2% more than a length of the pinholes 802 of the gas passages 262. In one example, as shown in Figure 11 A, 25% of the gas passages 262 are density control passages 1102. In another example, as shown in Figure 11 B, 50% of the gas passages 262 are density control passages 1102. The plurality of density control passages 1102 provide for more stable flow conductance.

[0082] In some embodiments, the plurality of gas passages 262 may include one or more of the dummy holes 902, the mesh member 1002 (including one or both of the first mesh patch 1003 and the second mesh patch 1005), and the plurality of density control passages 1102 in order to control and improve flow conductance. The dummy holes 902, the mesh member 1002 (including one or both of the first mesh patch 1003 and the second mesh patch 1005), and the plurality of density control passages 1102 reduce the occurrence of non-uniform profiles at the comers of the processing chamber 202, shown in Figure 2A. The reduction of non-uniform profiles at the comers of the processing chamber 202 is achieved with uniform gas flow across the gas diffuser plate 258.

[0083] Embodiments of the present disclosure generally relate to optical devices. More specifically, embodiments described herein relate to optical devices and methods of manufacturing optical devices having optical device structures with at least one of varying depths or refractive indices across the surface of a substrate. [0084] While the foregoing is directed to examples of the present disclosure, other and further examples of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.