Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HIGH BANDWIDTH GATHER CACHE
Document Type and Number:
WIPO Patent Application WO/2023/023428
Kind Code:
A1
Abstract:
Disclosed in some examples are methods, systems, and machine readable mediums that provide increased bandwidth caches to process requests more efficiently for more than a single address at a time. This increased bandwidth allows for multiple cache operations to be performed in parallel. In some examples, to achieve this bandwidth increase, multiple copies of the hit logic are used in conjunction with dividing the cache into two or more segments with each segment storing values from different addresses. In some examples, the hit logic may detect hits for each segment. That is, the hit logic does not correspond to a particular cache segment. Each address value may be serviced by any of the plurality of hit logic units.

Inventors:
HORNUNG BRYAN (US)
Application Number:
PCT/US2022/073867
Publication Date:
February 23, 2023
Filing Date:
July 19, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MICRON TECHNOLOGY INC (US)
International Classes:
G06F12/0842; G06F3/06
Foreign References:
US20180189179A12018-07-05
US20100235578A12010-09-16
US8661200B22014-02-25
US5696935A1997-12-09
US20120072768A12012-03-22
Attorney, Agent or Firm:
PERDOK, Monique M. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. An apparatus, comprising: a cache memory with a first and second segment, the first and second segments, the first segment assigned to a first set of addresses, the second segment assigned to a second set of addresses, the first and second sets different; a processing element, the processing element configured to perform operations comprising: receiving a request, the request including both a request for a first value stored at a first memory location and a request for a second value stored at a second memory location; determining, using a first logic unit during a time period, whether the first value stored at the first memory location is within a first cache segment and at least partially during the time period, determining, using a second hit logic unit, whether the second value stored at the second memory location is within a second segment, the first segment assigned to a first set of addresses, the second segment assigned to a second set of addresses, the first and second sets different; and responsive to determining that the first value stored at the first memory location is within the first segment, and the second value stored at the second memory location is within the second segment, reading the first value from the first segment and the second value from the second segment at least partially during a same time period.

2. The apparatus of claim 1, wherein the request is a gather address request and the method further comprises ordering the first and second values in a same order in a response as in the request.

3. The apparatus of claim 1, wherein the processing element is further configured to perform operations comprising: determining that the first memory location is to be checked by the first hit logic unit based upon a selection rule and the first memory location; and

48 wherein the operations of determining, using first hit logic unit, whether the first value stored at the first memory location is within the first segment is responsive to determining that the first memory location is to be checked by the first hit logic.

4. The appa ratus of claim 3, wherein the selection rule comprises a selection of one or more bit positions of a memory location a nd a value, and wherein determining that the first memory location is to be submitted to the first hit logic comprises determining that the one or more bit positions of the first memory location matches the value.

5. The appa ratus of claim 1, wherein the a pparatus is a component of a compute near memory device.

6. The appa ratus of claim 1, wherein the request comprises a request for a third value stored at a third memory location and wherein the processing element is further configured to perform operations comprising: determining using the first hit logic whether a third value stored at the third memory location is within the first segment; responsive to determining that the third value stored at the third memory location is not within the first segment, requesting the third value from a memory communicatively coupled to the apparatus; receiving the third value; and updating the first segment to include the third value.

7. The apparatus of claim 1, wherein the processing element is further configured to perform operations comprising: determining whether to route a request for the first value stored at the first memory location to the first segment based upon selection rules and the first memory location; and wherein reading the first value from the first segment is responsive to determining that the request is to be routed to the first segment.

49

8. A method, comprising: receiving a request, the request including both a request for a first value stored at a first memory location a nd a request for a second value stored at a second memory location; determining, using a first logic unit during a time period, whether the first value stored at the first memory location is within a first cache segment and at least partially during the time period, determining, using a second hit logic unit, whether the second value stored at the second memory location is within a second segment, the first segment assigned to a first set of add resses, the second segment assigned to a second set of addresses, the first and second sets different; and responsive to determining that the first value stored at the first memory location is within the first segment, and the second value stored at the second memory location is within the second segment, reading the first value from the first segment and the second value from the second segment at least partially during a same time period.

9. The method of claim 8, wherein the request is a gather address request and wherein the operations further comprise ordering the first and second values in a same order in a response as in the request.

10. The method of claim 8, wherein the method further comprises: determining that the first memory location is to be checked by the first hit logic unit based upon a selection rule and the first memory location; and wherein the operations of determining, using first hit logic unit, whether the first value stored at the first memory location is within the first segment is responsive to determining that the first memory location is to be checked by the first hit logic.

11. The method of claim 10, wherein the selection rule comprises a selection of one or more bit positions of a memory location a nd a value, and wherein determining that the first memory location is to be submitted to the first hit logic comprises determining that the one or more bit positions of the first memory location matches the value.

50

12. The method of claim 8, wherein the cache is a component of a compute near memory device.

13. The method of claim 8, wherein the request comprises a request for a third value stored at a third memory location and wherein the method further comprises: determining using the first hit logic whether a third value stored at the third memory location is within the first segment; responsive to determining that the third value stored at the third memory location is not within the first segment, requesting the third value from a memory communicatively coupled to the method; receiving the third value; and updating the first segment to include the third value.

14. The method of claim 8, wherein the method further comprises: determining whether to route a request for the first value stored at the first memory location to the first segment based upon selection rules and the first memory location; and wherein reading the first value from the first segment is responsive to determining that the request is to be routed to the first segment.

15. A non-transitory machine-readable medium, storing instructions, which when executed by a machine, cause the machine to perform operations comprising: receiving a request, the request including both a request for a first value stored at a first memory location a nd a request for a second value stored at a second memory location; determining, using a first logic unit during a time period, whether the first value stored at the first memory location is within a first cache segment and at least partially during the time period, determining, using a second hit logic unit, whether the second value stored at the second memory location is within a second segment, the first segment assigned to a first set of add resses, the second segment assigned to a second set of addresses, the first and second sets different; and responsive to determining that the first value stored at the first memory location is within the first segment, and the second value stored at the second

51 memory location is within the second segment, reading the first value from the first segment and the second value from the second segment at least partially during a same time period.

16. The non-transitory machine-readable medium of claim 15, wherein the request is a gather address request and the operations further comprises ordering the first and second values in a same order in a response as in the request.

17. The non-transitory machine-readable medium of claim 15, wherein the operations further comprise: determining that the first memory location is to be checked by the first hit logic unit based upon a selection rule and the first memory location; and wherein the operations of determining, using first hit logic unit, whether the first value stored at the first memory location is within the first segment is responsive to determining that the first memory location is to be checked by the first hit logic.

18. The non-transitory machine-readable medium of claim 17, wherein the selection rule comprises a selection of one or more bit positions of a memory location and a value, and wherein determining that the first memory location is to be submitted to the first hit logic comprises determining that the one or more bit positions of the first memory location matches the value.

19. The non-transitory machine-readable medium of claim 15, wherein the non-transitory machine-readable medium is a component of a compute near memory device.

20. The non-transitory machine-readable medium of claim 15, wherein the request comprises a request for a third value stored at a third memory location and wherein the operations further comprise: determining using the first hit logic whether a third value stored at the third memory location is within the first segment; responsive to determining that the third value stored at the third memory location is not within the first segment, requesting the third value from a memory communicatively coupled to the non-transitory machine-readable medium; receiving the third value; and updating the first segment to include the third value.

Description:
HIGH BANDWIDTH GATHER CACHE

PRIORITY

[0001] This application claims the benefit of priority to U.S. Application Serial Number 17/402,784, filed August 16, 2021, which is incorporated herein by reference in its entirety.

[0002]

BACKGROUND

[0003] Various computer architectures, such as the Von Neumann architecture, conventionally use a shared memory for data, a bus for accessing the shared memory, an arithmetic unit, and a program control unit. However, moving data between processors and memory can require significant time and energy, which in turn can constrain performance and capacity of computer systems. In view of these limitations, new computing architectures and devices are desired to advance computing performance beyond the practice of transistor scaling (i.e., Moore's Law).

BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS

[0004] To easily identify the discussion of any particular element or act, the most significant digit or digits in a reference number refer to the figure number in which that element is first introduced.

[0005] FIG. 1 illustrates generally a first example of a first memory-compute device in the context of a memory-compute system, according to an embodiment.

[0006] FIG. 2 illustrates generally an example of a memory subsystem of a memorycompute device, according to an embodiment.

[0007] FIG. 3 illustrates generally an example of a programmable atomic unit for a memory controller, according to an embodiment.

[0008] FIG. 4 illustrates an example of a hybrid threading processor (HTP) accelerator of a memory-compute device, according to an embodiment.

[0009] FIG. 5 illustrates an example of a representation of a hybrid threading fabric (HTF) of a memory-compute device, according to an embodiment.

[0010] FIG. 6A illustrates generally an example of a chiplet system, according to an embodiment. [0011] FIG. 6B illustrates generally a block diagram showing various components in the chiplet system from the example of FIG. 6A.

[0012] FIG. 7 illustrates generally an example of a chiplet-based implementation for a memory-compute device, according to an embodiment.

[0013] FIG. 8 illustrates an example tiling of memory-compute device chiplets, according to an embodiment.

[0014] FIG. 9 illustrates a diagram of a high bandwidth cache with two cache segments and two corresponding hit logic units according to some examples of the present disclosure.

[0015] FIG. 10 illustrates a diagram of a high bandwidth cache with eight hit logic instances feeding sixteen caches according to some examples of the present disclosure.

[0016] FIG. 11 illustrates a flowchart of a method of checking for a hit in a high bandwidth cache system according to some examples of the present disclosure.

[0017] FIG. 12 illustrates a flowchart of a method of checking for a hit in a high bandwidth cache system according to some examples of the present disclosure.

[0018] FIG. 13 illustrates a method of reading from a cache segment in a high bandwidth cache system according to some examples of the present disclosure.

[0019] FIG. 14 illustrates a method of handling a memory read response in a high bandwidth cache system according to some examples of the present disclosure.

[0020] FIG. 15 illustrates a block diagram of an example machine with which, in which, or by which any one or more of the techniques (e.g., methodologies) discussed herein can be implemented.

DETAILED DESCRIPTION

[0021] Recent advances in materials, devices, and integration technology, can be leveraged to provide memory-centric compute topologies. Such topologies can realize advances in compute efficiency and workload throughput, for example, for applications constrained by size, weight, or power requirements. The topologies can be used to facilitate low-latency compute near, or inside of, memory or other data storage elements. The approaches can be particularly well-suited for various compute-intensive operations with sparse lookups, such as in transform computations (e.g., fast Fourier transform computations (FFT)), or in applications such as neural networks or artificial intelligence (Al), financial analytics, or simulations or modeling such as for computational fluid dynamics (CFD), Enhanced Acoustic Simulator for Engineers (EASE), Simulation Program with Integrated Circuit Emphasis (SPICE), and others.

[0022] Systems, devices, and methods discussed herein can include or use memorycompute systems with processors, or processing capabilities, that are provided in, near, or integrated with memory or data storage components. Such systems are referred to generally herein as compute-near-memory (CNM) systems. A CNM system can be a nodebased system with individual nodes in the systems coupled using a system scale fabric. Each node can include or use specialized or general purpose processors, and user- accessible accelerators, with a custom compute fabric to facilitate intensive operations, particularly in environments where high cache miss rates are expected.

[0023] In an example, each node in a CNM system can have a host processor or processors. Within each node, a dedicated hybrid threading processor can occupy a discrete endpoint of an on-chip network. The hybrid threading processor can have access to some or all of the memory in a particular node of the system, or a hybrid threading processor can have access to memories across a network of multiple nodes via the system scale fabric. The custom compute fabric, or hybrid threading fabric, at each node can have its own processor(s) or accelerator(s) and can operate at higher bandwidth than the hybrid threading processor. Different nodes in a compute-near-memory system can be differently configured, such as having different compute capabilities, different types of memories, different interfaces, or other differences. However, the nodes can be commonly coupled to share data and compute resources within a defined address space.

[0024] In an example, a compute-near-memory system, or a node within the system, can be user-configured for custom operations. A user can provide instructions using a high- level programming language, such as C/C++, that can be compiled and mapped directly into a dataflow architecture of the system, or of one or more nodes in the CNM system. That is, the nodes in the system can include hardware blocks (e.g., memory controllers, atomic units, other customer accelerators, etc.) that can be configured to directly implement or support user instructions to thereby enhance system performance and reduce latency.

[0025] In an example, a compute-near-memory system can be particularly suited for implementing a hierarchy of instructions and nested loops (e.g., two, three, or more, loops deep, or multiple-dimensional loops). A standard compiler can be used to accept high-level language instructions and, in turn, compile directly into the dataflow architecture of one or more of the nodes. For example, a node in the system can include a hybrid threading fabric accelerator. The hybrid threading fabric accelerator can execute in a user space of the CNM system and can initiate its own threads or sub-threads, which can operate in parallel. Each thread can map to a different loop iteration to thereby support multi-dimensional loops. With the capability to initiate such nested loops, among other capabilities, the CNM system can realize significant time savings and latency improvements for computeintensive operations.

[0026] A compute-near-memory system, or nodes or components of a compute-near- memory system, can include or use various memory devices, controllers, and interconnects, among other things. In an example, the system can comprise various interconnected nodes and the nodes, or groups of nodes, can be implemented using chiplets. Chiplets are an emerging technique for integrating various processing functionality. Generally, a chiplet system is made up of discrete chips (e.g., integrated circuits (ICs) on different substrate or die) that are integrated on an interposer and packaged together. This arrangement is distinct from single chips (e.g., ICs) that contain distinct device blocks (e.g., intellectual property (IP) blocks) on one substrate (e.g., single die), such as a system-on-a-chip (SoC), or discretely packaged devices integrated on a board. In general, chiplets provide production benefits than single die chips, including higher yields or reduced development costs. FIG. 6A and FIG. 6B, discussed below, illustrate generally an example of a chiplet system such as can comprise a compute-near- memory system.

[0027] In some examples the CNM system can have a plurality of parallel processing elements, such as those that utilize data flow computing. In order to perform these operations, operands of the operation being performed need to be loaded from memory into the parallel processing elements. Loading these from the memory itself may take many cycles, which may slow down the processing of these operations. To speed up these accesses, the parallel processing elements may have access to a memory cache. The cache stores values of recently accessed memory addresses in a local memory. The cache speeds up the acquisition of data enormously if the data requested by an operation is in the cache (called a cache "hit").

[0028] Traditional caches speed up memory accesses, but are not optimized for parallel operations, such as data flow computing operations. For example, Single Instruction Multiple Data (SIMD) operations may issue a gather address request that requests two, four, eight, or even more sub-cache line values from different addresses. Conventional cache schemes are relatively slow to process these instructions because both the cache and the logic for determining whether a particular address is in the cache is a serial operation. Thus, for a gather address request with eight different addresses, the system may have to process eight different cache requests sequentially.

[0029] Disclosed in some examples are methods, systems, and machine readable mediums that provide increased bandwidth caches to process requests more efficiently for more than a single address at a time. This increased bandwidth allows for multiple cache operations to be performed in parallel. In some examples, to achieve this bandwidth increase, multiple copies of the hit logic are used in conjunction with dividing the cache into two or more segments with each segment storing values from different addresses. In some examples, the hit logic may detect hits for each segment. That is, the hit logic does not correspond to a particular cache segment. Each address value may be serviced by any of the plurality of hit logic units; if an address is in the cache, the hit logic unit then passes the address through a selection unit that routes the request to the proper cache based upon the value of the address and one or more selection rules to output the value stored at the address. In other examples, each hit logic unit may be specific to a particular cache segment. In these examples, the request may be routed to the proper hit logic using the selection rules and the value of the address. The hit logic unit may then store cache information for only the corresponding cache segment. If the address is cached in the segment, the hit logic unit may then pass the request to the cache. In either implementation, a multiplexor may ensure that the response to the gather address request is ordered in a same order as the request.

[0030] By providing a plurality of cache segments and a plurality of hit logic units, the disclosed system can reduce cache-associated delays when accessing memory for workloads that require values in multiple memory locations. This solves a technical problem of limited memory bandwidth access with the technical solution of increasing cache bandwidth by providing independent cache hit logic and cache storage for parallel accesses. As will be explained further below, the described cache systems may be applicable to, and used with, any component that requires a high bandwidth cache. This may include a wide variety of components described below, such as a programmable atomic unit, a memory controller, a hybrid threading processor, a hybrid threading fabric, and the like. While the cache described herein is described within the context of a CNM system, one of ordinary skill in the art with the benefit of this disclosure will appreciate that such cache systems may also be used in other systems. Thus, the present disclosure provides for simultaneous or near simultaneous (such as happening within a same time period) cache accesses.

[0031] FIG. 1 illustrates generally a first example of a compute-near-memory system, or CNM system 102. The example of the CNM system 102 includes multiple different memorycompute nodes, such as can each include various compute-near-memory devices. Each node in the system can operate in its own operating system (OS) domain (e.g., Linux, among others). In an example, the nodes can exist collectively in a common OS domain of the CNM system 102.

[0032] The example of FIG. 1 includes an example of a first memory-compute node 104 of the CNM system 102. The CNM system 102 can have multiple nodes, such as including different instances of the first memory-compute node 104, that are coupled using a scale fabric 106. In an example, the architecture of the CNM system 102 can support scaling with up to n different memory-compute nodes (e.g., n=4096) using the scale fabric 106. As further discussed below, each node in the CNM system 102 can be an assembly of multiple devices.

[0033] The CNM system 102 can include a global controller for the various nodes in the system, or a particular memory-compute node in the system can optionally serve as a host or controller to one or multiple other memory-compute nodes in the same system. The various nodes in the CNM system 102 can thus be similarly or differently configured.

[0034] In an example, each node in the CNM system 102 can comprise a host system that uses a specified operating system. The operating system can be common or different among the various nodes in the CNM system 102. In the example of FIG. 1, the first memory-compute node 104 comprises a host system 108, a first switch 110, and a first memory-compute device 112. The host system 108 can comprise a processor, such as can include an X86, ARM, RISC-V, or other type of processor. The first switch 110 can be configured to facilitate communication between or among devices of the first memorycompute node 104 or of the CNM system 102, such as using a specialized or other communication protocol, generally referred to herein as a chip-to-chip protocol interface (CTCPI). That is, the CTCPI can include a specialized interface that is unique to the CNM system 102, or can include or use other interfaces such as the compute express link (CXL) interface, the peripheral component interconnect express (PCIe) interface, or the chiplet protocol interface (CPI), among others. The first switch 110 can include a switch configured to use the CTCPI. For example, the first switch 110 can include a CXL switch, a PCIe switch, a CPI switch, or other type of switch. In an example, the first switch 110 can be configured to couple differently configured endpoints. For example, the first switch 110 can be configured to convert packet formats, such as between PCIe and CPI formats, among others.

[0035] The CNM system 102 is described herein in various example configurations, such as comprising a system of nodes, and each node can comprise various chips (e.g., a processor, a switch, a memory device, etc.). In an example, the first memory-compute node 104 in the CNM system 102 can include various chips implemented using chiplets. In the below-discussed chiplet-based configuration of the CNM system 102, inter-chiplet communications, as well as additional communications within the system, can use a CPI network. The CPI network described herein is an example of the CTCPI, that is, as a chiplet- specific implementation of the CTCPI. As a result, the below-described structure, operations, and functionality of CPI can apply equally to structures, operations, and functions as may be otherwise implemented using non-chiplet-based CTCPI implementations. Unless expressly indicated otherwise, any discussion herein of CPI applies equally to CTCPI.

[0036] A CPI interface includes a packet-based network that supports virtual channels to enable a flexible and high-speed interaction between chiplets, such as can comprise portions of the first memory-compute node 104 or the CNM system 102. The CPI can enable bridging from intra-chiplet networks to a broader chiplet network. For example, the Advanced extensible Interface (AXI) is a specification for intra-chip communications. AXI specifications, however, cover a variety of physical design options, such as the num ber of physical channels, signal timing, power, etc. Within a single chip, these options are generally selected to meet design goals, such as power consumption, speed, etc. However, to achieve the flexibility of a chiplet-based memory-compute system, an adapter, such as using CPI, can interface between the various AXI design options that can be implemented in the various chiplets. By enabling a physical channel-to-virtual channel mapping and encapsulating time-based signaling with a packetized protocol, CPI can be used to bridge intra-chiplet networks, such as within a particular memory-compute node, across a broader chiplet network, such as across the first memory-compute node 104 or across the CNM system 102.

[0037] The CNM system 102 is scalable to include multiple-node configurations. That is, multiple different instances of the first memory-compute node 104, or of other differently configured memory-compute nodes, can be coupled using the scale fabric 106, to provide a scaled system. Each of the memory-compute nodes can run its own operating system and can be configured to jointly coordinate system-wide resource usage.

[0038] In the example of FIG. 1, the first switch 110 of the first memory-compute node 104 is coupled to the scale fabric 106. The scale fabric 106 can provide a switch (e.g., a CTCPI switch, a PCIe switch, a CPI switch, or other switch) that can facilitate communication among and between different memory-compute nodes. In an example, the scale fabric 106 can help various nodes communicate in a partitioned global address space (PGAS).

[0039] In an example, the first switch 110 from the first memory-compute node 104 is coupled to one or multiple different memory-compute devices, such as including the first memory-compute device 112. The first memory-compute device 112 can comprise a chiplet-based architecture referred to herein as a compute-near-memory (CNM) chiplet. A packaged version of the first memory-compute device 112 can include, for example, one or multiple CNM chiplets. The chiplets can be communicatively coupled using CTCPI for high bandwidth and low latency.

[0040] In the example of FIG. 1, the first memory-compute device 112 can include a network on chip (NOC) or first NOC 118. Generally, a NOC is an interconnection network within a device, connecting a particular set of endpoints. In FIG. 1, the first NOC 118 can provide communications and connectivity between the various memory, compute resources, and ports of the first memory-compute device 112.

[0041] In an example, the first NOC 118 can comprise a folded Clos topology, such as within each instance of a memory-compute device, or as a mesh that couples multiple memory-compute devices in a node. The Clos topology, such as can use multiple, smaller radix crossbars to provide functionality associated with a higher radix crossbar topology, offers various benefits. For example, the Clos topology can exhibit consistent latency and bisection bandwidth across the NOC. [0042] The first NOC 118 can include various distinct switch types including hub switches, edge switches, and endpoint switches. Each of the switches can be constructed as crossbars that provide substantially uniform latency and bandwidth between input and output nodes. In an example, the endpoint switches and the edge switches can include two separate crossbars, one for traffic headed to the hub switches, and the other for traffic headed away from the hub switches. The hub switches can be constructed as a single crossbar that switches all inputs to all outputs.

[0043] In an example, the hub switches can have multiple ports each (e.g., four or six ports each), such as depending on whether the particular hub switch participates in interchip communications. A number of hub switches that participates in inter-chip communications can be set by an inter-chip bandwidth requirement.

[0044] The first NOC 118 can support various payloads (e.g., from 8 to 64-byte payloads; other payload sizes can similarly be used) between compute elements and memory. In an example, the first NOC 118 can be optimized for relatively smaller payloads (e.g., 8-16 bytes) to efficiently handle access to sparse data structures.

[0045] In an example, the first NOC 118 can be coupled to an external host via a first physical-layer interface 114, a PCIe subordinate module 116 or endpoint, and a PCIe principal module 126 or root port. That is, the first physical-layer interface 114 can include an interface to allow an external host processor to be coupled to the first memorycompute device 112. An external host processor can optionally be coupled to one or multiple different memory-compute devices, such as using a PCIe switch or other, native protocol switch. Communication with the external host processor through a PCIe-based switch can limit device-to-device communication to that supported by the switch. Communication through a memory-compute device-native protocol switch such as using CTCPI, in contrast, can allow for more full communication between or among different memory-compute devices, including support for a partitioned global address space, such as for creating threads of work and sending events.

[0046] In an example, the CTCPI protocol can be used by the first NOC 118 in the first memory-compute device 112, and the first switch 110 can include a CTCPI switch. The CTCPI switch can allow CTCPI packets to be transferred from a source memory-compute device, such as the first memory-compute device 112, to a different, destination memory- compute device (e.g., on the same or other node), such as without being converted to another packet format.

[0047] In an example, the first memory-compute device 112 can include an internal host processor 122. The internal host processor 122 can be configured to communicate with the first NOC 118 or other components or modules of the first memory-compute device 112, for example, using the internal PCIe principal module 126, which can help eliminate a physical layer that would consume time and energy. In an example, the internal host processor 122 can be based on a RISC-V ISA processor, and can use the first physical-layer interface 114 to communicate outside of the first memory-compute device 112, such as to other storage, networking, or other peripherals to the first memory-compute device 112. The internal host processor 122 can control the first memory-compute device 112 and can act as a proxy for operating system-related functionality. The internal host processor 122 can include a relatively small number of processing cores (e.g., 2-4 cores) and a host memory device 124 (e.g., comprising a DRAM module).

[0048] In an example, the internal host processor 122 can include PCI root ports. When the internal host processor 122 is in use, then one of its root ports can be connected to the PCIe subordinate module 116. Another of the root ports of the internal host processor 122 can be connected to the first physical-layer interface 114, such as to provide communication with external PCI peripherals. When the internal host processor 122 is disabled, then the PCIe subordinate module 116 can be coupled to the first physical-layer interface 114 to allow an external host processor to communicate with the first NOC 118. In an example of a system with multiple memory-compute devices, the first memorycompute device 112 can be configured to act as a system host or controller. In this example, the internal host processor 122 can be in use, and other instances of internal host processors in the respective other memory-compute devices can be disabled.

[0049] The internal host processor 122 can be configured at power-up of the first memory-compute device 112, such as to allow the host to initialize. In an example, the internal host processor 122 and its associated data paths (e.g., including the first physicallayer interface 114, the PCIe subordinate module 116, etc.) can be configured from input pins to the first memory-compute device 112. One or more of the pins can be used to enable or disable the internal host processor 122 and configure the PCI (or other) data paths accordingly. [0050] In an example, the first NOC 118 can be coupled to the scale fabric 106 via a scale fabric interface module 136 and a second physical-layer interface 138. The scale fabric interface module 136, or SIF, can facilitate communication between the first memorycompute device 112 and a device space, such as a partitioned global address space (PGAS). The PGAS can be configured such that a particular memory-compute device, such as the first memory-compute device 112, can access memory or other resources on a different memory-compute device (e.g., on the same or different node), such as using a load/store paradigm. Various scalable fabric technologies can be used, including CTCPI, CPI, Gen-Z, PCI, or Ethernet bridged over CXL. The scale fabric 106 can be configured to support various packet formats. In an example, the scale fabric 106 supports orderless packet communications, or supports ordered packets such as can use a path identifier to spread bandwidth across multiple equivalent paths. The scale fabric 106 can generally support remote operations such as remote memory read, write, and other built-in atomics, remote memory atomics, remote memory-compute device send events, and remote memorycompute device call and return operations.

[0051] In an example, the first NOC 118 can be coupled to one or multiple different memory modules, such as including a first memory device 128. The first memory device 128 can include various kinds of memory devices, for example, LPDDR5 or GDDR6, among others. In the example of FIG. 1, the first NOC 118 can coordinate communications with the first memory device 128 via a memory controller 130 that can be dedicated to the particular memory module. In an example, the memory controller 130 can include a memory module cache and an atomic operations module. The atomic operations module can be configured to provide relatively high-throughput atomic operators, such as including integer and floating-point operators. The atomic operations module can be configured to apply its operators to data within the memory module cache (e.g., comprising SRAM memory side cache), thereby allowing back-to-back atomic operations using the same memory location, with minimal throughput degradation.

[0052] The memory module cache can provide storage for frequently accessed memory locations, such as without having to re-access the first memory device 128. In an example, the memory module cache can be configured to cache data only for a particular instance of the memory controller 130. In an example, the memory controller 130 includes a DRAM controller configured to interface with the first memory device 128, such as including DRAM devices. The memory controller 130 can provide access scheduling and bit error management, among other functions.

[0053] In an example, the first NOC 118 can be coupled to a hybrid threading processor (HTP 140), a hybrid threading fabric (HTF 142) and a host interface and dispatch module (HIF 120). The HIF 120 can be configured to facilitate access to host-based command request queues and response queues. In an example, the HIF 120 can dispatch new threads of execution on processor or compute elements of the HTP 140 or the HTF 142. In an example, the HIF 120 can be configured to maintain workload balance across the HTP 140 module and the HTF 142 module.

[0054] The hybrid threading processor, or HTP 140, can include an accelerator, such as can be based on a RISC-V instruction set. The HTP 140 can include a highly threaded, event-driven processor in which threads can be executed in single instruction rotation, such as to maintain high instruction throughput. The HTP 140 comprises relatively few custom instructions to support low-overhead threading capabilities, event send/receive, and shared memory atomic operators.

[0055] The hybrid threading fabric, or HTF 142, can include an accelerator, such as can include a non-von Neumann, coarse-grained, reconfigurable processor. The HTF 142 can be optimized for high-level language operations and data types (e.g., integer or floating point). In an example, the HTF 142 can support data flow computing. The HTF 142 can be configured to use substantially all of the memory bandwidth available on the first memorycompute device 112, such as when executing memory-bound compute kernels.

[0056] The HTP and HTF accelerators of the CNM system 102 can be programmed using various high-level, structured programming languages. For example, the HTP and HTF accelerators can be programmed using C/C++, such as using the LLVM compiler framework. The HTP accelerator can leverage an open source compiler environment, such as with various added custom instruction sets configured to improve memory access efficiency, provide a message passing mechanism, and manage events, among other things. In an example, the HTF accelerator can be designed to enable programming of the HTF 142 using a high-level programming language, and the compiler can generate a simulator configuration file or a binary file that runs on the HTF 142 hardware. The HTF 142 can provide a mid-level language for expressing algorithms precisely and concisely, while hiding configuration details of the HTF accelerator itself. In an example, the HTF accelerator tool chain can use an LLVM front-end compiler and the LLVM intermediate representation (IR) to interface with an HTF accelerator back end.

[0057] FIG. 2 illustrates generally an example of a memory subsystem 200 of a memorycompute device, according to an embodiment. The example of the memory subsystem 200 includes a controller 202, a programmable atomic unit 208, and a second NOC 206. The controller 202 can include or use the programmable atomic unit 208 to carry out operations using information in a memory device 204. In an example, the memory subsystem 200 comprises a portion of the first memory-compute device 112 from the example of FIG. 1, such as including portions of the first NOC 118 or of the memory controller 130.

[0058] In the example of FIG. 2, the second NOC 206 is coupled to the controller 202 and the controller 202 can include a memory control module 210, a local cache module 212, and a built-in atomics module 214. In an example, the built-in atomics module 214 can be configured to handle relatively simple, single-cycle, integer atomics. The built-in atomics module 214 can perform atomics at the same throughput as, for example, normal memory read or write operations. In an example, an atomic memory operation can include a combination of storing data to the memory, performing an atomic memory operation, and then responding with load data from the memory.

[0059] The local cache module 212, such as can include an SRAM cache, can be provided to help reduce latency for repetitively-accessed memory locations. In an example, the local cache module 212 can provide a read buffer for sub-memory line accesses. The local cache module 212 can be particularly beneficial for compute elements that have relatively small or no data caches.

[0060] The memory control module 210, such as can include a DRAM controller, can provide low-level request buffering and scheduling, such as to provide efficient access to the memory device 204, such as can include a DRAM device. In an example, the memory device 204 can include or use a GDDR6 DRAM device, such as having 16 Gb density and 64 Gb/sec peak bandwidth. Other devices can similarly be used.

[0061] In an example, the programmable atomic unit 208 can comprise single-cycle or multiple-cycle operator such as can be configured to perform integer addition or more complicated multiple-instruction operations such as bloom filter insert. In an example, the programmable atomic unit 208 can be configured to perform load and store-to-memory operations. The programmable atomic unit 208 can be configured to leverage the RISC-V ISA with a set of specialized instructions to facilitate interactions with the controller 202 to atomically perform user-defined operations.

[0062] Programmable atomic requests, such as received from an on-node or off-node host, can be routed to the programmable atomic unit 208 via the second NOC 206 and the controller 202. In an example, custom atomic operations (e.g., carried out by the programmable atomic unit 208) can be identical to built-in atomic operations (e.g., carried out by the built-in atomics module 214) except that a programmable atomic operation can be defined or programmed by the user rather than the system architect. In an example, programmable atomic request packets can be sent through the second NOC 206 to the controller 202, and the controller 202 can identify the request as a custom atomic. The controller 202 can then forward the identified request to the programmable atomic unit 208.

[0063] FIG. 3 illustrates generally an example of a programmable atomic unit 302 for use with a memory controller, according to an embodiment. In an example, the programmable atomic unit 302 can comprise or correspond to the programmable atomic unit 208 from the example of FIG. 2. That is, FIG. 3 illustrates components in an example of a programmable atomic unit 302 (PAU), such as those noted above with respect to FIG. 2 (e.g., in the programmable atomic unit 208), or to FIG. 1 (e.g., in an atomic operations module of the memory controller 130). As illustrated in FIG. 3, the programmable atomic unit 302 includes a PAU processor or PAU core 306, a PAU thread control 304, an instruction SRAM 308, a data cache 310, and a memory interface 312 to interface with the memory controller 314. In an example, the memory controller 314 comprises an example of the controller 202 from the example of FIG. 2.

[0064] In an example, the PAU core 306 is a pipelined processor such that multiple stages of different instructions are executed together per clock cycle. The PAU core 306 can include a barrel-multithreaded processor, with thread control 304 circuitry to switch between different register files (e.g., sets of registers containing current processing state) upon each clock cycle. This enables efficient context switching between currently executing threads. In an example, the PAU core 306 supports eight threads, resulting in eight register files. In an example, some or all of the register files are not integrated into the PAU core 306, but rather reside in a local data cache 310 or the instruction SRAM 308. This reduces circuit complexity in the PAU core 306 by eliminating the traditional flip-flops used for registers in such memories.

[0065] The local PAU memory can include instruction SRAM 308, such as can include instructions for various atomics. The instructions comprise sets of instructions to support various application-loaded atomic operators. When an atomic operator is requested, such as by an application chiplet, a set of instructions corresponding to the atomic operator are executed by the PAU core 306. In an example, the instruction SRAM 308 can be partitioned to establish the sets of instructions. In this example, the specific programmable atomic operator being requested by a requesting process can identify the programmable atomic operator by the partition number. The partition number can be established when the programmable atomic operator is registered with (e.g., loaded onto) the programmable atomic unit 302. Other metadata for the programmable instructions can be stored in memory (e.g., in partition tables) in memory local to the programmable atomic unit 302.

[0066] In an example, atomic operators manipulate the data cache 310, which is generally synchronized (e.g., flushed) when a thread for an atomic operator completes. Thus, aside from initial loading from the external memory, such as from the memory controller 314, latency can be reduced for most memory operations during execution of a programmable atomic operator thread.

[0067] A pipelined processor, such as the PAU core 306, can experience an issue when an executing thread attempts to issue a memory request if an underlying hazard condition would prevent such a request. Here, the memory request is to retrieve data from the memory controller 314, whether it be from a cache on the memory controller 314 or off- die memory. To resolve this issue, the PAU core 306 is configured to deny the memory request for a thread. Generally, the PAU core 306 or the thread control 304 can include circuitry to enable one or more thread rescheduling points in the pipeline. Here, the denial occurs at a point in the pipeline that is beyond (e.g., after) these thread rescheduling points. In an example, the hazard occurred beyond the rescheduling point. Here, a preceding instruction in the thread created the hazard after the memory request instruction passed the last thread rescheduling point prior to the pipeline stage in which the memory request could be made.

[0068] In an example, to deny the memory request, the PAU core 306 is configured to determine (e.g., detect) that there is a hazard on memory indicated in the memory request. Here, hazard denotes any condition such that allowing (e.g., performing) the memory request will result in an inconsistent state for the thread. In an example, the hazard is an in-flight memory request. Here, whether or not the data cache 310 includes data for the requested memory address, the presence of the in-flight memory request makes it uncertain what the data in the data cache 310 at that address should be. Thus, the thread must wait for the in-flight memory request to be completed to operate on current data. The hazard is cleared when the memory request completes.

[0069] In an example, the hazard is a dirty cache line in the data cache 310 for the requested memory address. Although the dirty cache line generally indicates that the data in the cache is current and the memory controller version of this data is not, an issue can arise on thread instructions that do not operate from the cache. An example of such an instruction uses a built-in atomic operator, or other separate hardware block, of the memory controller 314. In the context of a memory controller, the built-in atomic operators can be separate from the programmable atomic unit 302 and do not have access to the data cache 310 or instruction SRAM 308 inside the PAU. If the cache line is dirty, then the built-in atomic operator will not be operating on the most current data until the data cache 310 is flushed to synchronize the cache and the other or off-die memories. This same situation could occur with other hardware blocks of the memory controller, such as cryptography block, encoder, etc.

[0070] FIG. 4 illustrates an example of a hybrid threading processor (HTP) accelerator, or HTP accelerator 400. The HTP accelerator 400 can comprise a portion of a memorycompute device, according to an embodiment. In an example, the HTP accelerator 400 can include or comprise the HTP 140 from the example of FIG. 1. The HTP accelerator 400 includes, for example, a HTP core 402, an instruction cache 404, a data cache 406, a translation block 408, a memory interface 410, and a thread controller 412. The HTP accelerator 400 can further include a dispatch interface 414 and a NOC interface 416, such as for interfacing with a NOC such as the first NOC 118 from the example of FIG. 1, the second NOC 206 from the example of FIG. 2, or other NOC.

[0071] In an example, the HTP accelerator 400 includes a module that is based on a RISC- V instruction set, and can include a relatively small number of other or additional custom instructions to support a low-overhead, threading-capable Hybrid Threading (HT) language. The HTP accelerator 400 can include a highly-threaded processor core, the HTP core 402, in which, or with which, threads can be executed in a single instruction rotation, such as to maintain high instruction throughput. In an example, a thread can be paused when it waits for other, pending events to complete. This can allow the compute resources to be efficiently used on relevant work instead of polling. In an example, multiple-thread barrier synchronization can use efficient HTP-to-HTP and HTP-to/from-Host messaging, such as can allow thousands of threads to initialize or wake in, for example, tens of clock cycles.

[0072] In an example, the dispatch interface 414 can comprise a functional block of the HTP accelerator 400 for handling hardware-based thread management. That is, the dispatch interface 414 can manage dispatch of work to the HTP core 402 or other accelerators. Non-HTP accelerators, however, are generally not able to dispatch work. In an example, work dispatched from a host can use dispatch queues that reside in, e.g., host main memory (e.g., DRAM-based memory). Work dispatched from the HTP accelerator 400, on the other hand, can use dispatch queues that reside in SRAM, such as within the dispatches for the target HTP accelerator 400 within a particular node.

[0073] In an example, the HTP core 402 can comprise one or more cores that execute instructions on behalf of threads. That is, the HTP core 402 can include an instruction processing block. The HTP core 402 can further include, or can be coupled to, the thread controller 412. The thread controller 412 can provide thread control and state for each active thread within the HTP core 402. The data cache 406 can include cache for a host processor (e.g., for local and remote memory-compute devices, including for the HTP core 402), and the instruction cache 404 can include cache for use by the HTP core 402. In an example, the data cache 406 can be configured for read and write operations, and the instruction cache 404 can be configured for read only operations.

[0074] In an example, the data cache 406 is a small cache provided per hardware thread. The data cache 406 can temporarily store data for use by the owning thread. The data cache 406 can be managed by hardware or software in the HTP accelerator 400. For example, hardware can be configured to automatically allocate or evict lines as needed, as load and store operations are executed by the HTP core 402. Software, such as using RISC- V instructions, can determine which memory accesses should be cached, and when lines should be invalidated or written back to other memory locations.

[0075] Data caching on the HTP accelerator 400 has various benefits, including making larger accesses more efficient for the memory controller, allowing an executing thread to avoid stalling. However, there are situations when using the cache causes inefficiencies. An example includes accesses where data is accessed only once, and causes thrashing of the cache lines. To help address this problem, the HTP accelerator 400 can use a set of custom load instructions to force a load instruction to check for a cache hit, and on a cache miss to issue a memory request for the requested operand and not put the obtained data in the data cache 406. The HTP accelerator 400 thus includes various different types of load instructions, including non-cached and cache line loads. The non-cached load instructions use the cached data if dirty data is present in the cache. The non-cached load instructions ignore clean data in the cache, and do not write accessed data to the data cache. For cache line load instructions, the complete data cache line (e.g., comprising 64 bytes) can be loaded from memory into the data cache 406, and can load the addressed memory into a specified register. These loads can use the cached data if clean or dirty data is in the data cache 406. If the referenced memory location is not in the data cache 406, then the entire cache line can be accessed from memory. Use of the cache line load instructions can reduce cache misses when sequential memory locations are being referenced (such as memory copy operations) but can also waste memory and bandwidth at the NOC interface 416 if the referenced memory data is not used.

[0076] In an example, the HTP accelerator 400 includes a custom store instruction that is non-cached. The non-cached store instruction can help avoid thrashing the data cache 406 with write data that is not sequentially written to memory.

[0077] In an example, the HTP accelerator 400 further includes a translation block 408. The translation block 408 can include a virtual-to-physical translation block for local memory of a memory-compute device. For example, a host processor, such as in the HTP core 402, can execute a load or store instruction, and the instruction can generate a virtual address. The virtual address can be translated to a physical address of the host processor, such as using a translation table from the translation block 408. The memory interface 410, for example, can include an interface between the HTP core 402 and the NOC interface 416.

[0078] FIG. 5 illustrates an example of a representation of a hybrid threading fabric (HTF), or HTF 500, of a memory-compute device, according to an embodiment. In an example, the HTF 500 can include or comprise the HTF 142 from the example of FIG. 1. The HTF 500 is a coarse-grained, reconfigurable compute fabric that can be optimized for high-level language operand types and operators (e.g., using C/C++ or other high-level language). In an example, the HTF 500 can include configurable, n-bit wide (e.g., 512-bit wide) data paths that interconnect hardened SIMD arithmetic units.

[0079] In an example, the HTF 500 comprises an HTF cluster 502 that includes multiple HTF tiles, including an example tile 504, or Tile N. Each HTF tile can include one or more compute elements with local memory and arithmetic functions. For example, each tile can include a compute pipeline with support for integer and floating-point operations. In an example, the data path, compute elements, and other infrastructure can be implemented as hardened IP to provide maximum performance while minimizing power consumption and reconfiguration time.

[0080] In the example of FIG. 5, the tiles comprising the HTF cluster 502 are linearly arranged, and each tile in the cluster can be coupled to one or multiple other tiles in the HTF cluster 502. In the example of FIG. 5, the example tile 504, or Tile N, is coupled to four other tiles, including to a base tile 510 (e.g., Tile N-2) via the port labeled SF IN N-2, to an adjacent tile 512 (e.g., Tile N-l) via the port labeled SF IN N-l, and to a Tile N+l via the port labeled SF IN N+l and to a Tile N+2 via the port labeled SF IN N+2. The example tile 504 can be coupled to the same or other tiles via respective output ports, such as those labeled SF OUT N-l, SF OUT N-2, SF OUT N+l, and SF OUT N+2. In this example, the ordered list of names for the various tiles are notional indications of the positions of the tiles. In other examples, the tiles comprising the HTF cluster 502 can be arranged in a grid or other configuration, with each tile similarly coupled to one or several of its nearest neighbors in the grid. Tiles that are provided at an edge of a cluster can optionally have fewer connections to neighboring tiles. For example, Tile N-2, or the base tile 510 in the example of FIG. 5, can be coupled only to the adjacent tile 512 (Tile N-l) and to the example tile 504 (Tile N). Fewer or additional inter-tile connections can similarly be used.

[0081] The HTF cluster 502 can further include memory interface modules, including a first memory interface module 506. The memory interface modules can couple the HTF cluster 502 to a NOC, such as the first NOC 118. In an example, the memory interface modules can allow tiles within a cluster to make requests to other locations in a memorycompute system, such as in the same or different node in the system. That is, the representation of the HTF 500 can comprise a portion of a larger fabric that can be distributed across multiple nodes, such as with one or more HTF tiles or HTF clusters at each of the nodes. Requests can be made between tiles or nodes within the context of the larger fabric. [0082] In the example of FIG. 5, the tiles in the HTF cluster 502 are coupled using a synchronous fabric (SF). The synchronous fabric can provide communication between a particular tile and its neighboring tiles in the HTF cluster 502, as described above. Each HTF cluster 502 can further include an asynchronous fabric (AF) that can provide communication among, e.g., the tiles in the cluster, the memory interfaces in the cluster, and a dispatch interface 508 in the cluster.

[0083] In an example, the synchronous fabric can exchange messages that include data and control information. The control information can include, among other things, instruction RAM address information or a thread identifier. The control information can be used to set up a data path, and a data message field can be selected as a source for the path. Generally, the control fields can be provided or received earlier, such that they can be used to configure the data path. For example, to help minimize any delay through the synchronous domain pipeline in a tile, the control information can arrive at a tile a few clock cycles before the data field. Various registers can be provided to help coordinate dataflow timing in the pipeline.

[0084] In an example, each tile in the HTF cluster 502 can include multiple memories. Each memory can have the same width as the data path (e.g., 512 bits) and can have a specified depth, such as in a range of 512 to 1024 elements. The tile memories can be used to store data that supports data path operations. The stored data can include constants loaded as part of a kernel's cluster configuration, for example, or can include variables calculated as part of the data flow. In an example, the tile memories can be written from the asynchronous fabric as a data transfer from another synchronous domain, or can include a result of a load operation such as initiated by another synchronous domain. The tile memory can be read via synchronous data path instruction execution in the synchronous domain.

[0085] In an example, each tile in an HTF cluster 502 can have a dedicated instruction RAM (INST RAM). In an example of an HTF cluster 502 with sixteen tiles, and instruction RAM instances with sixty-four entries, the cluster can allow algorithms to be mapped with up to 1024 multiply-shift and/or ALU operations. The various tiles can optionally be pipelined together, such as using the synchronous fabric, to allow data flow compute with minimal memory access, thus minimizing latency and reducing power consumption. In an example, the asynchronous fabric can allow memory references to proceed in parallel with computation, thereby providing more efficient streaming kernels. In an example, the various tiles can include built-in support for loop-based constructs and can support nested looping kernels.

[0086] The synchronous fabric can allow multiple tiles to be pipelined, such as without a need for data queuing. Tiles that participate in a synchronous domain can, for example, act as a single pipelined data path. A first or base tile (e.g., Tile N-2, in the example of FIG. 5) of a synchronous domain can initiate a thread of work through the pipelined tiles. The base tile can be responsible for starting work on a predefined cadence referred to herein as a Spoke Count. For example, if the Spoke Count is 3, then the base tile can initiate work every third clock cycle.

[0087] In an example, the synchronous domain comprises a set of connected tiles in the HTF cluster 502. Execution of a thread can begin at the domain's base tile and can progress from the base tile, via the synchronous fabric, to other tiles in the same domain. The base tile can provide the instruction to be executed for the first tile. The first tile can, by default, provide the same instruction for the other connected tiles to execute. However, in some examples, the base tile, or a subsequent tile, can conditionally specify or use an alternative instruction. The alternative instruction can be chosen by having the tile's data path produce a Boolean conditional value, and then can use the Boolean value to choose between an instruction set of the current tile and the alternate instruction.

[0088] The asynchronous fabric can be used to perform operations that occur asynchronously relative to a synchronous domain. Each tile in the HTF cluster 502 can include an interface to the asynchronous fabric. The inbound interface can include, for example, a FIFO buffer or queue (e.g., AF IN QUEUE) to provide storage for message that cannot be immediately processed. Similarly, the outbound interface of the asynchronous fabric can include a FIFO buffer or queue (e.g., AF OUT QUEUE) to provide storage for messages that cannot be immediately sent out.

[0089] In an example, messages in the asynchronous fabric can be classified as data messages or control messages. Data messages can include a SIMD width data value that is written to either tile memory 0 (MEM_0) or memory 1 (MEM_1). Control messages can be configured to control thread creation, to free resources, or to issue external memory references.

[0090] A tile in the HTF cluster 502 can perform various compute operations for the HTF.

The compute operations can be performed by configuring the data path within the tile. In an example, a tile includes two functional blocks that perform the compute operations for the tile: a Multiply and Shift Operation block (MS OP) and an Arithmetic, Logical, and Bit Operation block (ALB OP). The two blocks can be configured to perform pipelined operations such as a Multiply and Add, or a Shift and Add, among others.

[0091] In an example, each instance of a memory-compute device in a system can have a complete supported instruction set for its operator blocks (e.g., MS OP and ALB OP). In this case, binary compatibility can be realized across all devices in the system. However, in some examples, it can be helpful to maintain a base set of functionality and optional instruction set classes, such as to meet various design tradeoffs, such as die size. The approach can be similar to how the RISC-V instruction set has a base set and multiple optional instruction subsets.

[0092] In an example, the example tile 504 can include a Spoke RAM. The Spoke RAM can be used to specify which input (e.g., from among the four SF tile inputs and the base tile input) is the primary input for each clock cycle. The Spoke RAM read address input can originate at a counter that counts from zero to Spoke Count minus one. In an example, different spoke counts can be used on different tiles, such as within the same HTF cluster 502, to allow a number of slices, or unique tile instances, used by an inner loop to determine the performance of a particular application or instruction set. In an example, the Spoke RAM can specify when a synchronous input is to be written to a tile memory, for instance when multiple inputs for a particular tile instruction are used and one of the inputs arrives before the others. The early-arriving input can be written to the tile memory and can be later read when all of the inputs are available. In this example, the tile memory can be accessed as a FIFO memory, and FIFO read and write pointers can be stored in a register-based memory region or structure in the tile memory.

[0093] FIG. 6A and FIG. 6B illustrate generally an example of a chiplet system that can be used to implement one or more aspects of the CNM system 102. As similarly mentioned above, a node in the CNM system 102, or a device within a node in the CNM system 102, can include a chiplet-based architecture or compute-near-memory (CNM) chiplet. A packaged memory-compute device can include, for example, one, two, or four CNM chiplets. The chiplets can be interconnected using high-bandwidth, low-latency interconnects such as using a CPI interface. Generally, a chiplet system is made up of discrete modules (each a "chiplet") that are integrated on an interposer and, in many examples, are interconnected as desired through one or more established networks to provide a system with the desired functionality. The interposer and included chiplets can be packaged together to facilitate interconnection with other components of a larger system. Each chiplet can include one or more individual integrated circuits (ICs), or "chips/' potentially in combination with discrete circuit components, and can be coupled to a respective substrate to facilitate attachment to the interposer. Most or all chiplets in a system can be individually configured for communication through established networks.

[0094] The configuration of chiplets as individual modules of a system is distinct from such a system being implemented on single chips that contain distinct device blocks (e.g., intellectual property (IP) blocks) on one substrate (e.g., single die), such as a system-on-a- chip (SoC), or multiple discrete packaged devices integrated on a printed circuit board (PCB). In general, chiplets provide better performance (e.g., lower power consumption, reduced latency, etc.) than discrete packaged devices, and chiplets provide greater production benefits than single die chips. These production benefits can include higher yields or reduced development costs and time.

[0095] Chiplet systems can include, for example, one or more application (or processor) chiplets and one or more support chiplets. Here, the distinction between application and support chiplets is simply a reference to the likely design scenarios for the chiplet system. Thus, for example, a synthetic vision chiplet system can include, by way of example only, an application chiplet to produce the synthetic vision output along with support chiplets, such as a memory controller chiplet, a sensor interface chiplet, or a communication chiplet. In a typical use case, the synthetic vision designer can design the application chiplet and source the support chiplets from other parties. Thus, the design expenditure (e.g., in terms of time or complexity) is reduced because by avoiding the design and production of functionality embodied in the support chiplets.

[0096] Chiplets also support the tight integration of IP blocks that can otherwise be difficult, such as those manufactured using different processing technologies or using different feature sizes (or utilizing different contact technologies or spacings). Thus, multiple ICs or IC assemblies, with different physical, electrical, or communication characteristics can be assembled in a modular manner to provide an assembly with various desired functionalities. Chiplet systems can also facilitate adaptation to suit needs of different larger systems into which the chiplet system will be incorporated. In an example, ICs or other assemblies can be optimized for the power, speed, or heat generation for a specific function— as can happen with sensors— can be integrated with other devices more easily than attempting to do so on a single die. Additionally, by reducing the overall size of the die, the yield for chiplets tends to be higher than that of more complex, single die devices.

[0097] FIG. 6A and FIG. 6B illustrate generally an example of a chiplet system, according to an embodiment. FIG. 6A is a representation of the chiplet system 602 mounted on a peripheral board 604, that can be connected to a broader computer system by a peripheral component interconnect express (PCIe), for example. The chiplet system 602 includes a package substrate 606, an interposer 608, and four chiplets, an application chiplet 610, a host interface chiplet 612, a memory controller chiplet 614, and a memory device chiplet 616. Other systems can include many additional chiplets to provide additional functionalities as will be apparent from the following discussion. The package of the chiplet system 602 is illustrated with a lid or cover 618, though other packaging techniques and structures for the chiplet system can be used. FIG. 6B is a block diagram labeling the components in the chiplet system for clarity.

[0098] The application chiplet 610 is illustrated as including a chiplet system NOC 620 to support a chiplet network 622 for inter-chiplet communications. In example embodiments the chiplet system NOC 620 can be included on the application chiplet 610. In an example, the first NOC 118 from the example of FIG. 1 can be defined in response to selected support chiplets (e.g., host interface chiplet 612, memory controller chiplet 614, and memory device chiplet 616) thus enabling a designer to select an appropriate number or chiplet network connections or switches for the chiplet system NOC 620. In an example, the chiplet system NOC 620 can be located on a separate chiplet, or within the interposer 608. In examples as discussed herein, the chiplet system NOC 620 implements a chiplet protocol interface (CPI) network.

[0099] In an example, the chiplet system 602 can include or comprise a portion of the first memory-compute node 104 or the first memory-compute device 112. That is, the various blocks or components of the first memory-compute device 112 can include chiplets that can be mounted on the peripheral board 604, the package substrate 606, and the interposer 608. The interface components of the first memory-compute device 112 can comprise, generally, the host interface chiplet 612, the memory and memory control- related components of the first memory-compute device 112 can comprise, generally, the memory controller chiplet 614, the various accelerator and processor components of the first memory-compute device 112 can comprise, generally, the application chiplet 610 or instances thereof, and so on.

[0100] The CPI interface, such as can be used for communication between or among chiplets in a system, is a packet-based network that supports virtual channels to enable a flexible and high-speed interaction between chiplets. CPI enables bridging from intra- chiplet networks to the chiplet network 622. For example, the Advanced extensible Interface (AXI) is a widely used specification to design intra-chip communications. AXI specifications, however, cover a great variety of physical design options, such as the number of physical channels, signal timing, power, etc. Within a single chip, these options are generally selected to meet design goals, such as power consumption, speed, etc. However, to achieve the flexibility of the chiplet system, an adapter, such as CPI, is used to interface between the various AXI design options that can be implemented in the various chiplets. By enabling a physical channel to virtual channel mapping and encapsulating time-based signaling with a packetized protocol, CPI bridges intra-chiplet networks across the chiplet network 622.

[0101] CPI can use a variety of different physical layers to transmit packets. The physical layer can include simple conductive connections, or can include drivers to increase the voltage, or otherwise facilitate transmitting the signals over longer distances. An example of one such a physical layer can include the Advanced Interface Bus (AIB), which in various examples, can be implemented in the interposer 608. AIB transmits and receives data using source synchronous data transfers with a forwarded clock. Packets are transferred across the AIB at single data rate (SDR) or dual data rate (DDR) with respect to the transmitted clock. Various channel widths are supported by AIB. The channel can be configured to have a symmetrical number of transmit (TX) and receive (RX) input/outputs (I/Os), or have a non-sym metrical number of transmitters and receivers (e.g., either all transmitters or all receivers). The channel can act as an AIB principal or subordinate depending on which chiplet provides the principal clock. AIB I/O cells support three clocking modes: asynchronous (i.e. non-clocked), SDR, and DDR. In various examples, the non-clocked mode is used for clocks and some control signals. The SDR mode can use dedicated SDR only I/O cells, or dual use SDR/DDR I/O cells.

[0102] In an example, CPI packet protocols (e.g., point-to-point or routable) can use symmetrical receive and transmit I/O cells within an AIB channel. The CPI streaming protocol allows more flexible use of the AIB I/O cells. In an example, an AIB channel for streaming mode can configure the I/O cells as all TX, all RX, or half TX and half RX. CPI packet protocols can use an AIB channel in either SDR or DDR operation modes. In an example, the AIB channel is configured in increments of 80 I/O cells (i.e. 40 TX and 40 RX) for SDR mode and 40 I/O cells for DDR mode. The CPI streaming protocol can use an AIB channel in either SDR or DDR operation modes. Here, in an example, the AIB channel is in increments of 40 I/O cells for both SDR and DDR modes. In an example, each AIB channel is assigned a unique interface identifier. The identifier is used during CPI reset and initialization to determine paired AIB channels across adjacent chiplets. In an example, the interface identifier is a 20-bit value comprising a seven-bit chiplet identifier, a seven-bit column identifier, and a six-bit link identifier. The AIB physical layer transmits the interface identifier using an AIB out-of-band shift register. The 20-bit interface identifier is transferred in both directions across an AIB interface using bits 32-51 of the shift registers.

[0103] AIB defines a stacked set of AIB channels as an AIB channel column. An AIB channel column has some number of AIB channels, plus an auxiliary channel. The auxiliary channel contains signals used for AIB initialization. All AIB channels (other than the auxiliary channel) within a column are of the same configuration (e.g., all TX, all RX, or half TX and half RX, as well as having the same number of data I/O signals). In an example, AIB channels are numbered in continuous increasing order starting with the AIB channel adjacent to the AUX channel. The AIB channel adjacent to the AUX is defined to be AIB channel zero.

[0104] Generally, CPI interfaces on individual chiplets can include serializationdeserialization (SERDES) hardware. SERDES interconnects work well for scenarios in which high-speed signaling with low signal count are desirable. SERDES, however, can result in additional power consumption and longer latencies for multiplexing and demultiplexing, error detection or correction (e.g., using block level cyclic redundancy checking (CRC)), link-level retry, or forward error correction. However, when low latency or energy consumption is a primary concern for ultra-short reach, chiplet-to-chi plet interconnects, a parallel interface with clock rates that allow data transfer with minimal latency can be utilized. CPI includes elements to minimize both latency and energy consumption in these ultra-short reach chiplet interconnects.

[0105] For flow control, CPI employs a credit-based technique. A recipient, such as the application chiplet 610, provides a sender, such as the memory controller chiplet 614, with credits that represent available buffers. In an example, a CPI recipient includes a buffer for each virtual channel for a given time-unit of transmission. Thus, if the CPI recipient supports five messages in time and a single virtual channel, the recipient has five buffers arranged in five rows (e.g., one row for each unit time). If four virtual channels are supported, then the recipient has twenty buffers arranged in five rows. Each buffer holds the payload of one CPI packet.

[0106] When the sender transmits to the recipient, the sender decrements the available credits based on the transmission. Once all credits for the recipient are consumed, the sender stops sending packets to the recipient. This ensures that the recipient always has an available buffer to store the transmission.

[0107] As the recipient processes received packets and frees buffers, the recipient communicates the available buffer space back to the sender. This credit return can then be used by the sender allow transmitting of additional information.

[0108] The example of FIG. 6A includes a chiplet mesh network 624 that uses a direct, chi plet-to-chiplet technique without a need for the chiplet system NOC 620. The chiplet mesh network 624 can be implemented in CPI, or another chiplet-to-chi plet protocol. The chiplet mesh network 624 generally enables a pipeline of chiplets where one chiplet serves as the interface to the pipeline while other chiplets in the pipeline interface only with themselves.

[0109] Additionally, dedicated device interfaces, such as one or more industry standard memory interfaces (such as, for example, synchronous memory interfaces, such as DDR5, DDR6), can be used to connect a device to a chiplet. Connection of a chiplet system or individual chiplets to external devices (such as a larger system can be through a desired interface (for example, a PCIe interface). Such an external interface can be implemented, in an example, through the host interface chiplet 612, which in the depicted example, provides a PCIe interface external to chiplet system. Such dedicated chiplet interfaces 626 are generally employed when a convention or standard in the industry has converged on such an interface. The illustrated example of a Double Data Rate (DDR) interface connecting the memory controller chiplet 614 to a dynamic random access memory (DRAM) memory device chiplet 616 is just such an industry convention.

[0110] Of the variety of possible support chiplets, the memory controller chiplet 614 is likely present in the chiplet system due to the near omnipresent use of storage for computer processing as well as sophisticated state-of-the-art for memory devices. Thus, using memory device chiplets 616 and memory controller chiplets 614 produced by others gives chiplet system designers access to robust products by sophisticated producers. Generally, the memory controller chiplet 614 provides a memory device-specific interface to read, write, or erase data. Often, the memory controller chiplet 614 can provide additional features, such as error detection, error correction, maintenance operations, or atomic operator execution. For some types of memory, maintenance operations tend to be specific to the memory device chiplet 616, such as garbage collection in NAND flash or storage class memories, temperature adjustments (e.g., cross temperature management) in NAND flash memories. In an example, the maintenance operations can include logical- to-physical (L2P) mapping or management to provide a level of indirection between the physical and logical representation of data. In other types of memory, for example DRAM, some memory operations, such as refresh can be controlled by a host processor or of a memory controller at some times, and at other times controlled by the DRAM memory device, or by logic associated with one or more DRAM devices, such as an interface chip (in an example, a buffer).

[0111] Atomic operators are a data manipulation that, for example, can be performed by the memory controller chiplet 614. In other chiplet systems, the atomic operators can be performed by other chiplets. For example, an atomic operator of "increment" can be specified in a command by the application chiplet 610, the command including a memory address and possibly an increment value. Upon receiving the command, the memory controller chiplet 614 retrieves a number from the specified memory address, increments the number by the amount specified in the command, and stores the result. Upon a successful completion, the memory controller chiplet 614 provides an indication of the command success to the application chiplet 610. Atomic operators avoid transmitting the data across the chiplet mesh network 624, resulting in lower latency execution of such commands.

[0112] Atomic operators can be classified as built-in atomics or programmable (e.g., custom) atomics. Built-in atomics are a finite set of operations that are immutably implemented in hardware. Programmable atomics are small programs that can execute on a programmable atomic unit (PAU) (e.g., a custom atomic unit (CAU)) of the memory controller chiplet 614.

[0113] The memory device chiplet 616 can be, or include any combination of, volatile memory devices or non-volatile memories. Examples of volatile memory devices include, but are not limited to, random access memory (RAM)— such as DRAM) synchronous DRAM (SDRAM), graphics double data rate type 6 SDRAM (GDDR6 SDRAM), among others. Examples of non-volatile memory devices include, but are not limited to, negative-and- (NAND)-type flash memory, storage class memory (e.g., phase-change memory or memristor based technologies), ferroelectric RAM (FeRAM), among others. The illustrated example includes the memory device chiplet 616 as a chiplet, however, the device can reside elsewhere, such as in a different package on the peripheral board 604. For many applications, multiple memory device chiplets can be provided. In an example, these memory device chiplets can each implement one or multiple storage technologies, and may include integrated compute hosts. In an example, a memory chiplet can include, multiple stacked memory die of different technologies, for example one or more static random access memory (SRAM) devices stacked or otherwise in communication with one or more dynamic random access memory (DRAM) devices. In an example, the memory controller chiplet 614 can serve to coordinate operations between multiple memory chiplets in the chiplet system 602, for example, to use one or more memory chiplets in one or more levels of cache storage, and to use one or more additional memory chiplets as main memory. The chiplet system 602 can include multiple memory controller chiplet 614 instances, as can be used to provide memory control functionality for separate hosts, processors, sensors, networks, etc. A chiplet architecture, such as in the illustrated system, offers advantages in allowing adaptation to different memory storage technologies; and different memory interfaces, through updated chiplet configurations, such as without requiring redesign of the remainder of the system structure.

[0114] FIG. 7 illustrates generally an example of a chiplet-based implementation for a memory-compute device, according to an embodiment. The example includes an implementation with four compute-near-memory, or CNM, chiplets, and each of the CNM chiplets can include or comprise portions of the first memory-compute device 112 or the first memory-compute node 104 from the example of FIG. 1. The various portions can themselves include or comprise respective chiplets. The chiplet-based implementation can include or use CPI-based intra-system communications, as similarly discussed above in the example chiplet system 602 from FIG. 6A and FIG. 6B.

[0115] The example of FIG. 7 includes a first CNM package 700 comprising multiple chiplets. The first CNM package 700 includes a first chiplet 702, a second chiplet 704, a third chiplet 706, and a fourth chiplet 708 coupled to a CNM NOC hub 710. Each of the first through fourth ch i l ets can com rise instances of the same, or substantially the same, components or modules. For example, the chiplets can each include respective instances of an HTP accelerator, an HTF accelerator, and memory controllers for accessing internal or external memories.

[0116] In the example of FIG. 7, the first chiplet 702 includes a first NOC hub edge 714 coupled to the CNM NOC hub 710. The other chiplets in the first CNM package 700 similarly include NOC hub edges or endpoints. The switches in the NOC hub edges facilitate intra-chiplet, or intra-chiplet-system, communications via the CNM NOC hub 710.

[0117] The first chiplet 702 can further include one or multiple memory controllers 716. The memory controllers 716 can correspond to respective different NOC endpoint switches interfaced with the first NOC hub edge 714. In an example, the memory controller 716 comprises the memory controller chiplet 614 or comprises the memory controller 130, or comprises the memory subsystem 200, or other memory-compute implementation. The memory controllers 716 can be coupled to respective different memory devices, for example including a first external memory module 712a or a second external memory module 712b. The external memory modules can include, e.g., GDDR6 memories that can be selectively accessed by the respective different chiplets in the system.

[0118] The first chiplet 702 can further include a first HTP chiplet 718 and second HTP chiplet 720, such as coupled to the first NOC hub edge 714 via respective different NOC endpoint switches. The HTP chiplets can correspond to HTP accelerators, such as the HTP 140 from the example of FIG. 1, or the HTP accelerator 400 from the example of FIG. 4. The HTP chiplets can communicate with the HTF chiplet 722. The HTF chiplet 722 can correspond to an HTF accelerator, such as the HTF 142 from the example of FIG. 1, or the HTF 500 from the example of FIG. 5.

[0119] The CNM NOC hub 710 can be coupled to NOC hub instances in other chiplets or other CNM packages by way of various interfaces and switches. For example, the CNM NOC hub 710 can be coupled to a CPI interface by way of multiple different NOC endpoints on the first CNM package 700. Each of the multiple different NOC endpoints can be coupled, for example, to a different node outside of the first CNM package 700. In an example, the CNM NOC hub 710 can be coupled to other peripherals, nodes, or devices using CTCPI or other, non-CPI protocols. For example, the first CNM package 700 can include a PCIe scale fabric interface (PCIE/SFI) or a CXL interface (CXL) configured to interface the first CNM package 700 with other devices. In an example, devices to which the first CNM package 700 is coupled using the various CPI, PCIe, CXL, or other fabric, can make up a common global address space.

[0120] In the example of FIG. 7, the first CNM package 700 includes a host interface 724 (HIF) and a host processor (R5). The host interface 724 can correspond to, for example, the HIF 120 from the example of FIG. 1. The host processor, or R5, can correspond to the internal host processor 122 from the example of FIG. 1. The host interface 724 can include a PCI interface for coupling the first CNM package 700 to other external devices or systems. In an example, work can be initiated on the first CNM package 700, or a tile cluster within the first CNM package 700, by the host interface 724. For example, the host interface 724 can be configured to command individual HTF tile clusters, such as among the various chiplets in the first CNM package 700, into and out of power/clock gate modes.

[0121] FIG. 8 illustrates an example tiling of memory-compute devices, according to an embodiment. In FIG. 8, a tiled chiplet example 800 includes four instances of different compute-near-memory clusters of chiplets, where the clusters are coupled together. Each instance of a compute-near-memory chiplet can itself include one or more constituent chiplets (e.g., host processor chiplets, memory device chiplets, interface chiplets, and so on).

[0122] The tiled chiplet example 800 includes, as one or multiple of its compute-near- memory (CNM) clusters, instances of the first CNM package 700 from the example of FIG.

7. For example, the tiled chiplet example 800 can include a first CNM cluster 802 that includes a first chiplet 810 (e.g., corresponding to the first chiplet 702), a second chiplet 812 (e.g., corresponding to the second chiplet 704), a third chiplet 814 (e.g., corresponding to the third chiplet 706), and a fourth chiplet 816 (e.g., corresponding to the fourth chiplet 708). The chiplets in the first CNM cluster 802 can be coupled to a common NOC hub, which in turn can be coupled to a NOC hub in an adjacent cluster or clusters (e.g., in a second CNM cluster 804 or a fourth CNM cluster 808).

[0123] In the example of FIG. 8, the tiled chiplet example 800 includes the first CNM cluster 802, the second CNM cluster 804, a third CNM cluster 806, and the fourth CNM cluster 808. The various different CNM chiplets can be configured in a common address space such that the chiplets can allocate and share resources across the different tiles. In an example, the chiplets in the cluster can communicate with each other. For example, the first CNM cluster 802 can be communicatively coupled to the second CNM cluster 804 via an inter-chiplet CPI interface 818, and the first CNM cluster 802 can be communicatively coupled to the fou rth CNM cluster 808 via another or the same CPI interface. The second CNM cluster 804 can be communicatively coupled to the third CNM cluster 806 via the same or other CPI interface, and so on.

[0124] In an example, one of the compute-near-memory chiplets in the tiled chiplet example 800 ca n include a host interface (e.g., corresponding to the host interface 724 from the example of FIG. 7) that is responsible for workload balancing across the tiled chiplet example 800. The host interface can facilitate access to host-based command request queues and response queues, such as from outside of the tiled chiplet example 800. The host interface can dispatch new threads of execution using hybrid threading processors and the hybrid threading fa bric in one or more of the compute-near-memory chiplets in the tiled chiplet example 800.

[0125] As previously described, a single cache can become a bottleneck for highly parallel systems. For example, SIM D (e.g., the HTF) or vector architectures may need to load many values stored in a large number of addresses in order to begin processing. These architectures use a gather address instruction to specify a plu rality of addresses to load in a single command. If the cache is a single buffer with only a single hit logic unit, this can become a bottleneck as each address in the gather instruction is processed separately and sequentially.

[0126] Increased bandwidth caches, as described herei n, are able to process operations requiring multiple values from multiple addresses more efficiently. This increased bandwidth allows for multiple cache operations to be performed in pa rallel, increasing system throughput and reducing cycles used to check for cache hits and to retrieve any hits from memory. In some examples, to achieve this bandwidth increase, multiple copies of the hit logic are used in conju nction with dividing the cache into two or more segments with each segment storing values from different addresses. In some examples, the hit logic may detect hits for each segment. That is, the hit logic does not correspond to a particular cache segment. Each add ress value may be serviced by any of the plurality of hit logic units; if an address is in the cache, the hit logic unit then passes the add ress through a selection unit that routes the request to the proper cache based upon the value of the address and one or more selection rules to output the value stored at the address. I n other examples, each hit logic unit may be specific to a particular cache segment. In these examples, the request may be routed to the proper hit logic using the selection rules and the value of the address. The hit logic unit may then store cache information for only the corresponding cache segment. If the address is cached in the segment, the hit logic unit may then pass the request to the cache. In either implementation, a multiplexor may ensure that the response to the gather address request is ordered in a same order as the request.

[0127] While the present disclosure will described the above two specific implementations, one of ordinary skill in the art with the benefit of the present disclosure will recognize that the presently disclosed systems may utilize a cache buffer segmented into a plurality of m segments with a plurality of n hit logic units. Each of the m segments may services a unique portion of the memory address space of the system. Selection logic may be used to route requests to the specific cache segment and/or hit logic unit (in examples in which the hit logic unit is specific to a cache segment).

[0128] Multiple copies of the hit logic unit also This allows full bandwidth for sequential 8-byte reads that can result in unaligned 16-byte reads that straddle cache lines when both cache lines are in the cache. As the addresses in the gather request are likely to be randomly distributed it is unlikely that all address hit operations can occur in the same cycle unless the hit logic for each way is duplicated. Nevertheless, for 8-byte reads example configurations can allow full bandwidth for 50% of read gather operations assuming the operands are evenly distributed and both cache lines are in the cache. The hit logic may be organized into a - set associative cache with four segments, with each segment containing 2 Ki B of data. The data memory is built out of 2 8-byte wide SRAMs to allow 16 bytes to be read in parallel from two different addresses. This concept can be extended to match the width of the SIMD pipe to allow more gather operations to occur in parallel as shown in the 8- way gather cache from FIG. 10. In some examples, the cache can have more copies of the hit logic than the number of addresses presented in a cycle to improve the throughput when the addresses are random. This reduces the likelihood that multiple addresses are mapped to the same hit logic.

[0129] In a first example, the cache buffers are segmented into n segments. The system may have n hit logic units with each cache segment having a corresponding hit logic unit. The hit logic units may be processing circuitry that checks cache tags in the cache for hits for 1/nth of the address space. FIG. 9 illustrates a diagram of a high bandwidth cache 900 with two cache segments and two corresponding hit logic units according to some examples of the present disclosure. Addresses from a gather address request are routed by a selection logic unit 905 to hit logic unit 920 and cache segment 930 or hit logic 925 and cache segment 935. The memory addresses in the gather address request may be a range of addresses, or may be a list of random addresses, or the like. The selection logic unit, in some examples, may utilize a selection logic and the value of the address to determine which hit logic unit and which cache segment to send the request to. For example, the selection logic unit may send addresses with a zero value in bit position seven to hit logic unit 920 and cache segment 930; and addresses with a one value in bit position seven to hit logic unit 925 and cache segment 935. Hit logic units 920 and 925 may have a list of addresses that have values stored in its corresponding cache segment. If the requested address is in the last, it is considered a cache "hit" and the value stored at that address is read out of the cache segment and multiplexed with multiplexors 937 and 940 back into a gather address response. Hit logic units 920 and 925 as well as cache segments 930 and 935 may operate in parallel or substantially in parallel (e.g., contemporaneously) to each other. This increases the speed at which a gather address request is processed by the cache system.

[0130] If the address is not in the cache segment (called a cache miss), then the address is added to a miss table of a miss table logic unit 910. In some examples, one of the hit logic units (as shown, hit logic unit 925) may be buffered in a FIFO in the event that both address both miss the cache at the same time, and in the event that the miss table logic unit 910 cannot handle simultaneously adding two values to the table. Miss table logic unit 910 has logic to send a request to main memory to read values that were not in the cache. In some examples, the miss table may wait until all values from the gather address request has been processed and send all cache misses in a same message or in a sequence of messages contemporaneously. As shown, the read request is a Network On Chip (NOC) message.

[0131] The data response from the memory is handled by miss response logic unit 950. Miss response logic unit 950 places the values in the cache segments 930 and 935 and updates the hit logic units 920 and 925 (not shown for clarity). The miss response logic unit 950 then informs the miss table logic unit 910 that the values were returned. Miss table logic unit 910 may then issue an address request for those values, which is multiplexed with any other gather addresses from the gather address request and reissued to the hit logic units to be read out into the gather address response. While the cache miss scenario put the returned values in the cache and issued a new request, in other examples, the miss response logic unit 950 may simply put the requested values into the gather response directly.

[0132] While FIG. 9 illustrated a two-segment cache with two hit logic units that correspond to each segment, each hit logic unit may be decoupled from the cache segment. FIG. 10 illustrates a diagram of a high bandwidth cache with eight hit logic instances (one for each address in a gather address command) feeding sixteen caches according to some examples of the present disclosure. In the example of FIG. 10 the gather address request has eight addresses, 1005. Each address is processed, in parallel (or concurrently) by a separate one of eight hit logic units 1010. Each hit logic unit 1010 has a complete knowledge of whether the address is within one of the cache segments 1020. If the address is a hit, then logic units (not shown for clarity) route the request to the proper one of the cache memory segments 1020. For example, by using selection logic and the address as previously described. In addition the address bits are also used to select a data segment. The address bits are also used to determine the correct output data segment in the gather response 1030. FIFO queues 1015 queue requests if multiple addresses from the gather address request map to a same cache segment. Multiplexors 1025 reconstruct the responses into the gather cache response 1030 in the proper order.

[0133] FIG. 11 illustrates a flowchart of a method 1100 of checking for a hit in a high bandwidth cache system according to some examples of the present disclosure. At operation 1102, a request for a first value stored at a first memory location and a second value stored at a second memory location is received. For example, a gather request with the first and second addresses listed. At operation 1104, the system may determine whether to submit the first memory location to a first hit logic or a second hit logic. At operation 1106, the system may determine whether to submit the second memory location to the first hit logic or the second hit logic. Operations 1104 and 1106 may be performed sequentially, in parallel, or at least partially in parallel (e.g., contemporaneously), depending on the architecture.

[0134] At operation 1108, the system uses the determinations of operations 1104 and 1106 to route the memory addresses to the proper hit logic. If both addresses are served by the first hit logic unit, then the addresses are processed sequentially. The first hit logic may determine at operation 1110 whether the first value of the first memory location is within a first cache. At operation 1112, the first hit logic may determine whether the second value of the second memory location is within the first cache. Operations 1110 and 1112 may be performed in any order sequentially. In some examples, in order to sequentially process these requests, a queue may be used to queue sequential requests. In contrast, if both the addresses are routed to the second hit logic, then the second hit logic unit may process both the addresses sequentially at operations 1114 and 1116. As with operations 1110 and 1112, operations 1114 and 1116 may be done in any order and in some examples, a queue may be used to queue sequential requests.

[0135] If, on the other hand, each of the memory addresses is serviced by a different hit logic, then a first hit logic may determine whether the first value of the first memory location is within a first cache memory at operation 1118 in parallel (or partially in parallel) with a second hit logic determining whether the second value of the second memory location is within a second cache memory at operation 1120. If the first or second values are within the first or second cache memory, the request is sent to the cache to read out the values. The cache segments then read out the values in parallel, or at least partially in parallel with each other (e.g., such as within a particular time window). The values may then be multiplexed with values read from other cache memory segments to provide an inorder response to the gather address request.

[0136] The Example of FIG. 11 utilized hit logic units that are coupled to each particular cache segment, for example, as shown in FIG. 9. FIG. 12 illustrates a flowchart of a method 1200 of checking for a hit in a high bandwidth cache system according to some examples of the present disclosure. FIG. 12 illustrates a method where the hit logic units are not coupled to a particular cache segment. For example, as shown in FIG. 10.

[0137] As shown in FIG. 12, the flow begins at operation 1202 with the receipt of a request for a first value at a first memory location and a second value at a second memory location. For example, the requests may be received as part of a single gather address request. A first hit logic unit may check to see if a cache segment has the value at the first memory location at operation 1204, while in parallel, or partially in parallel, the second hit logic unit may check to see if a cache segment has the value at a second memory location. Each hit logic unit is able to determine if any memory address is within any of the cache segments in the example shown in FIG. 12. For each address registered as a hit by one or more of the hit logic units, the system determines at operation 1208 a destination cache segment for the request based upon the address and the selection rule. For example, address bits may be used to select the proper cache segment. The determination of cache segments for multiple addresses may be done in parallel or at least partially parallel.

[0138] FIG. 13 illustrates a method 1300 of reading from a cache segment in a high bandwidth cache system according to some examples of the present disclosure. Method 1300 is performed after the hit logic unit has determined that the value stored at the address in the request is stored in the cache. At operation 1302, a request is received from a hit logic unit. As noted previously, this may be a hit logic unit specific to this cache segment or may be hit logic that services all cache segments. At operation 1304, the cache segment reads the value at the address location of requests in parallel or at least partially in parallel with another cache segment. At operation 1306, a multiplexor may reorder the values read from the segments such that the ordering matches the ordering of the request. [0139] FIG. 14 illustrates a method 1400 of handling a memory read response in a high bandwidth cache system according to some examples of the present disclosure. These operations may be performed in response to a cache miss. At operation 1402, the system may receive the memory read response for a memory location. At operation 1404, the system may determine which cache segment to store the response using a selection rule and, in some examples, the address of the memory location. At operation 1406, the value may be stored in the determined cache segment. At operation 1408, the appropriate hit logic may be updated. For example, for a system such as described in FIG. 9, one of the two hit logic units may be selected based upon the appropriate cache selected at operation 1404. For a system such as described in FIG. 10, all hit logic units may be updated. At operation 1410, a request for the memory address may be re-issued to the appropriate hit logic which may then retrieve the value placed in the cache segment at operation 1406. The value is then put into the output buffer used to gather memory values sent in response to the gather address command. In other examples, at operation 1410, the returned value may be directly stored in the output buffer rather than reading it out of cache.

[0140] FIG. 15 illustrates a block diagram of an example machine 1500 with which, in which, or by which any one or more of the techniques (e.g., methodologies) discussed herein can be implemented. Examples, as described herein, can include, or can operate by, logic or a number of components, or mechanisms in the machine 1500. Circuitry (e.g., processing circuitry) is a collection of circuits implemented in tangible entities of the machine 1000 that include hardware (e.g., simple circuits, gates, logic, etc.). Circuitry mem ershi can be flexible over time. Circuitries include members that can, alone or in combination, perform specified operations when operating. In an example, hardware of the circuitry can be immutably designed to carry out a specific operation (e.g., hardwired). In an example, the hardware of the circuitry can include variably connected physical components (e.g., execution units, transistors, simple circuits, etc.) including a machine readable medium physically modified (e.g., magnetically, electrically, moveable placement of invariant massed particles, etc.) to encode instructions of the specific operation. In connecting the physical components, the underlying electrical properties of a hardware constituent are changed, for example, from an insulator to a conductor or vice versa. The instructions enable embedded hardware (e.g., the execution units or a loading mechanism) to create members of the circuitry in hardware via the variable connections to carry out portions of the specific operation when in operation. Accordingly, in an example, the machine-readable medium elements are part of the circuitry or are communicatively coupled to the other components of the circuitry when the device is operating. In an example, any of the physical components can be used in more than one member of more than one circuitry. For example, under operation, execution units can be used in a first circuit of a first circuitry at one point in time and reused by a second circuit in the first circuitry, or by a third circuit in a second circuitry at a different time. Additional examples of these components with respect to the machine 1500.

[0141] In alternative embodiments, the machine 1500 can operate as a standalone device or can be connected (e.g., networked) to other machines. In a networked deployment, the machine 1500 can operate in the capacity of a server machine, a client machine, or both in server-client network environments. In an example, the machine 1500 can act as a peer machine in peer-to-peer (P2P) (or other distributed) network environment. The machine 1500 can be a personal computer (PC), a tablet PC, a set-top box (STB), a personal digital assistant (PDA), a mobile telephone, a web appliance, a network router, switch or bridge, or any machine capable of executing instructions (sequential or otherwise) that specify actions to be taken by that machine. Further, while only a single machine is illustrated, the term "machine" shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein, such as cloud computing, software as a service (SaaS), other computer cluster configurations. [0142] The machine 1500 (e.g., computer system) can include a hardware processor 1502 (e.g., a central processing unit (CPU), a graphics processing unit (GPU), a hardware processor core, or any combination thereof), a main memory 1504, a static memory 1506 (e.g., memory or storage for firmware, microcode, a basic-input-output (BIOS), unified extensible firmware interface (UEFI), etc.), and mass storage device 1508 (e.g., hard drives, tape drives, flash storage, or other block devices) some or all of which can communicate with each other via an interlink 1530 (e.g., bus). The machine 1500 can further include a display device 1510, an alphanumeric input device 1512 (e.g., a keyboard), and a user interface (Ul) Navigation device 1514 (e.g., a mouse). In an example, the display device 1510, the input device 1512, and the Ul navigation device 1514 can be a touch screen display. The machine 1500 can additionally include a mass storage device 1508 (e.g., a drive unit), a signal generation device 1518 (e.g., a speaker), a network interface device 1520, and one or more sensor(s) 1516, such as a global positioning system (GPS) sensor, compass, accelerometer, or other sensor. The machine 1500 can include an output controller 1528, such as a serial (e.g., universal serial bus (USB), parallel, or other wired or wireless (e.g., infrared (IR), near field communication (NFC), etc.) connection to communicate or control one or more peripheral devices (e.g., a printer, card reader, etc.).

[0143] Registers of the hardware processor 1502, the main memory 1504, the static memory 1506, or the mass storage device 1508 can be, or include, a machine-readable media 1522 on which is stored one or more sets of data structures or instructions 1524 (e.g., software) embodying or used by any one or more of the techniques or functions described herein. The instructions 1524 can also reside, completely or at least partially, within any of registers of the hardware processor 1502, the main memory 1504, the static memory 1506, or the mass storage device 1508 during execution thereof by the machine 1500. In an example, one or any combination of the hardware processor 1502, the main memory 1504, the static memory 1506, or the mass storage device 1508 can constitute the machine-readable media 1522. While the machine-readable media 1522 is illustrated as a single medium, the term "machine-readable medium" can include a single medium or multiple media (e.g., a centralized or distributed database, or associated caches and servers) configured to store the one or more instructions 1524.

[0144] The term "machine readable medium" can include any medium that is capable of storing, encoding, or carrying instructions for execution by the machine 1500 and that cause the machine 1500 to perform any one or more of the techniques of the present disclosure, or that is capable of storing, encoding or carrying data structures used by or associated with such instructions. Non-limiting machine-readable medium examples can include solid-state memories, optical media, magnetic media, and signals (e.g., radio frequency signals, other photon-based signals, sound signals, etc.). In an example, a non- transitory machine-readable medium comprises a machine-readable medium with a plurality of particles having invariant (e.g., rest) mass, and thus are compositions of matter. Accordingly, non-transitory machine-readable media are machine readable media that do not include transitory propagating signals. Specific examples of non-transitory machine readable media can include: non-volatile memory, such as semiconductor memory devices (e.g., electrically programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM)) and flash memory devices; magnetic disks, such as internal hard disks and removable disks; magneto-optical disks; and CD-ROM and DVD-ROM disks.

[0145] In an example, information stored or otherwise provided on the machine-readable media 1522 can be representative of the instructions 1524, such as instructions 1524 themselves or a format from which the instructions 1524 can be derived. This format from which the instructions 1524 can be derived can include source code, encoded instructions (e.g., in compressed or encrypted form), packaged instructions (e.g., split into multiple packages), or the like. The information representative of the instructions 1524 in the machine-readable media 1522 can be processed by processing circuitry into the instructions to implement any of the operations discussed herein. For example, deriving the instructions 1524 from the information (e.g., processing by the processing circuitry) can include: compiling (e.g., from source code, object code, etc.), interpreting, loading, organizing (e.g., dynamically or statically linking), encoding, decoding, encrypting, unencrypting, packaging, unpackaging, or otherwise manipulating the information into the instructions 1524.

[0146] In an example, the derivation of the instructions 1524 can include assembly, compilation, or interpretation of the information (e.g., by the processing circuitry) to create the instructions 1524 from some intermediate or preprocessed format provided by the machine-readable media 1522. The information, when provided in multiple parts, can be combined, unpacked, and modified to create the instructions 1524. For example, the information can be in multiple compressed source code packages (or object code, or binary executable code, etc.) on one or several remote servers. The source code packages can be encrypted when in transit over a network and decrypted, uncompressed, assembled (e.g., linked) if necessary, and compiled or interpreted (e.g., into a library, stand-alone executable etc.) at a local machine, and executed by the local machine.

[0147] The instructions 1524 can be further transmitted or received over a communications network 1526 using a transmission medium via the network interface device 1520 utilizing any one of a number of transfer protocols (e.g., frame relay, internet protocol (IP), transmission control protocol (TCP), user datagram protocol (UDP), hypertext transfer protocol (HTTP), etc.). Example communication networks can include a local area network (LAN), a wide area network (WAN), a packet data network (e.g., the Internet), mobile telephone networks (e.g., cellular networks), plain old telephone (POTS) networks, and wireless data networks (e.g., Institute of Electrical and Electronics Engineers (IEEE) 802.11 family of standards known as Wi-Fi®, IEEE 802.16 family of standards known as WiMax®), IEEE 802.15.4 family of standards, peer-to-peer (P2P) networks, among others. In an example, the network interface device 1520 can include one or more physical jacks (e.g., Ethernet, coaxial, or phone jacks) or one or more antennas to connect to the network 1526. In an example, the network interface device 1520 can include a plurality of antennas to wirelessly communicate using at least one of single-input multiple-output (SIMO), multiple-input multiple-output (MIMO), or multiple-input single-output (MISO) techniques. The term "transmission medium" shall be taken to include any intangible medium that is capable of storing, encoding or carrying instructions for execution by the machine 1500, and includes digital or analog communications signals or other intangible medium to facilitate communication of such software. A transmission medium is a machine readable medium.

[0148] To better illustrate the methods and apparatuses described herein, a non-limiting set of Example embodiments are set forth below as numerically identified Examples.

[0149] Example 1 is an apparatus, comprising: a cache memory with a first and second segment, the first and second segments, the first segment assigned to a first set of addresses, the second segment assigned to a second set of addresses, the first and second sets different; a processing element, the processing element configured to perform operations comprising: receiving a request, the request including both a request for a first value stored at a first memory location and a request for a second value stored at a second memory location; determining, using a first logic unit during a time period, whether the first value stored at the first memory location is within a first cache segment and at least partially during the time period, determining, using a second hit logic unit, whether the second value stored at the second memory location is within a second segment, the first segment assigned to a first set of addresses, the second segment assigned to a second set of addresses, the first and second sets different; and responsive to determining that the first value stored at the first memory location is within the first segment, and the second value stored at the second memory location is within the second segment, reading the first value from the first segment and the second value from the second segment at least partially during a same time period.

[0150] In Example 2, the subject matter of Example 1 includes, wherein the request is a gather address request and the method further comprises ordering the first and second values in a same order in a response as in the request.

[0151] In Example 3, the subject matter of Examples 1-2 includes, wherein the processing element is further configured to perform operations comprising: determining that the first memory location is to be checked by the first hit logic unit based upon a selection rule and the first memory location; and wherein the operations of determining, using first hit logic unit, whether the first value stored at the first memory location is within the first segment is responsive to determining that the first memory location is to be checked by the first hit logic.

[0152] In Example 4, the subject matter of Example 3 includes, wherein the selection rule comprises a selection of one or more bit positions of a memory location and a value, and wherein determining that the first memory location is to be submitted to the first hit logic comprises determining that the one or more bit positions of the first memory location matches the value.

[0153] In Example 5, the subject matter of Examples 1-4 includes, wherein the apparatus is a component of a compute near memory device.

[0154] In Example 6, the subject matter of Examples 1-5 includes, wherein the request comprises a request for a third value stored at a third memory location and wherein the processing element is further configured to perform operations comprising: determining using the first hit logic whether a third value stored at the third memory location is within the first segment; responsive to determining that the third value stored at the third memory location is not within the first segment, requesting the third value from a memory communicatively coupled to the apparatus; receiving the third value; and updating the first segment to include the third value.

[0155] In Example 7 , the subject matter of Examples 1-6 includes, wherein the processing element is further configured to perform operations comprising: determining whether to route a request for the first value stored at the first memory location to the first segment based upon selection rules and the first memory location; and wherein reading the first value from the first segment is responsive to determining that the request is to be routed to the first segment.

[0156] Example 8 is a method, comprising: receiving a request, the request including both a request for a first value stored at a first memory location and a request for a second value stored at a second memory location; determining, using a first logic unit during a time period, whether the first value stored at the first memory location is within a first cache segment and at least partial ly during the time period, determining, using a second hit logic unit, whether the second value stored at the second memory location is within a second segment, the first segment assigned to a first set of addresses, the second segment assigned to a second set of addresses, the first and second sets different; and responsive to determining that the first value stored at the first memory location is within the first segment, and the second value stored at the second memory location is within the second segment, reading the first value from the first segment and the second value from the second segment at least partially during a same time period.

[0157] In Example 9, the subject matter of Example 8 includes, wherein the request is a gather address request and wherein the operations further comprise ordering the first and second values in a same order in a response as in the request.

[0158] In Example 10, the subject matter of Examples 8-9 includes, wherein the method further comprises: determining that the first memory location is to be checked by the first hit logic unit based upon a selection rule and the first memory location; and wherein the operations of determining, using first hit logic unit, whether the first value stored at the first memory location is within the first segment is responsive to determining that the first memory location is to be checked by the first hit logic.

[0159] In Example 11, the subject matter of Example 10 includes, wherein the selection rule comprises a selection of one or more bit positions of a memory location and a value, and wherein determining that the first memory location is to be submitted to the first hit logic comprises determining that the one or more bit positions of the first memory location matches the value.

[0160] In Example 12, the subject matter of Examples 8-11 includes, wherein the cache is a component of a com pute near memory device.

[0161] In Example 13, the subject matter of Examples 8-12 includes, wherein the request comprises a request for a third value stored at a third memory location and wherein the method further comprises: determining using the first hit logic whether a third value stored at the third memory location is within the first segment; responsive to determining that the third value stored at the third memory location is not within the first segment, requesting the third value from a memory communicatively coupled to the method; receiving the third value; and updating the first segment to include the third value.

[0162] In Example 14, the subject matter of Examples 8-13 includes, wherein the method further comprises: determining whether to route a request for the first value stored at the first memory location to the first segment based upon selection rules and the first memory location; and wherein reading the first value from the first segment is responsive to determining that the request is to be routed to the first segment.

[0163] In Example 15 is a non-transitory machine-readable medium, storing instructions, which when executed by a machine, cause the machine to perform operations comprising: receiving a request, the request including both a request for a first value stored at a first memory location and a request for a second value stored at a second memory location; determining, using a first logic unit during a time period, whether the first value stored at the first memory location is within a first cache segment and at least partial ly during the time period, determining, using a second hit logic unit, whether the second value stored at the second memory location is within a second segment, the first segment assigned to a first set of add resses, the second segment assigned to a second set of addresses, the first and second sets different; and responsive to determining that the first value stored at the first memory location is within the first segment, and the second value stored at the second memory location is within the second segment, reading the first value from the first segment and the second value from the second segment at least partially during a same time period. [0164] In Example 16, the subject matter of Example 15 includes, wherein the request is a gather address request and the operations further comprises ordering the first and second values in a same order in a response as in the request.

[0165] In Example 17, the subject matter of Examples 15-16 includes, wherein the operations further comprise: determining that the first memory location is to be checked by the first hit logic unit based upon a selection rule and the first memory location; and wherein the operations of determining, using first hit logic unit, whether the first value stored at the first memory location is within the first segment is responsive to determining that the first memory location is to be checked by the first hit logic.

[0166] In Example 18, the subject matter of Example 17 includes, wherein the selection rule comprises a selection of one or more bit positions of a memory location and a value, and wherein determining that the first memory location is to be submitted to the first hit logic comprises determining that the one or more bit positions of the first memory location matches the value.

[0167] In Example 19, the subject matter of Examples 15-18 includes, wherein the non- transitory machine-readable medium is a component of a compute near memory device.

[0168] In Example 20, the subject matter of Examples 15-19 includes, wherein the request comprises a request for a third value stored at a third memory location and wherein the operations further comprise: determining using the first hit logic whether a third value stored at the third memory location is within the first segment; responsive to determining that the third value stored at the third memory location is not within the first segment, requesting the third value from a memory communicatively coupled to the non- transitory machine-readable medium; receiving the third value; and updating the first segment to include the third value.

[0169] In Example 21, the subject matter of Examples 15-20 includes, wherein the operations further comprise: determining whether to route a request for the first value stored at the first memory location to the first segment based upon selection rules and the first memory location; and wherein reading the first value from the first segment is responsive to determining that the request is to be routed to the first segment.

[0170] Example 22 is at least one machine-readable medium including instructions that, when executed by processing circuitry, cause the processing circuitry to perform operations to implement of any of Examples 1-21. [0171] Example 23 is an apparatus comprising means to implement of any of Examples 1- 21.

[0172] Example 24 is a system to implement of any of Examples 1-21.

[0173] Example 25 is a method to implement of any of Examples 1-21.

[0174] The above detailed description includes references to the accompanying drawings, which form a part of the detailed description. The drawings show, by way of illustration, specific embodiments in which the invention can be practiced. These embodiments are also referred to herein as "examples". Such examples can include elements in addition to those shown or described. However, the present inventors also contemplate examples in which only those elements shown or described are provided. Moreover, the present inventors also contemplate examples using any combination or permutation of those elements shown or described (or one or more aspects thereof), either with respect to a particular example (or one or more aspects thereof), or with respect to other examples (or one or more aspects thereof) shown or described herein.

[0175] In this document, the terms "a" or "an" are used, as is common in patent documents, to include one or more than one, independent of any other instances or usages of "at least one" or "one or more." In this document, the term "or" is used to refer to a nonexclusive or, such that "A or B" can include "A but not B," "B but not A," and "A and B," unless otherwise indicated. In the appended claims, the terms "including" and "in which" are used as the plain-English equivalents of the respective terms "comprising" and "wherein". Also, in the following claims, the terms "including" and "comprising" are open- ended, that is, a system, device, article, or process that includes elements in addition to those listed after such a term in a claim are still deemed to fall within the scope of that claim. Moreover, in the following claims, the terms "first," "second," and "third," etc. are used merely as labels, and are not intended to impose numerical requirements on their objects.

[0176] The above description is intended to be illustrative, and not restrictive. For example, the above-described examples (or one or more aspects thereof) can be used in combination with each other. Other embodiments can be used, such as by one of ordinary skill in the art upon reviewing the above description. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. Also, in the above Detailed Description, various features can be grouped together to streamline the disclosure. This should not be interpreted as intending that an unclaimed disclosed feature is essential to any claim. Rather, inventive subject matter can lie in less than all features of a particular disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment, and it is contemplated that such embodiments can be combined with each other in various combinations or permutations. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.