Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HIGH-DENSITY LOW TEMPERATURE CARBON FILMS FOR HARDMASK AND OTHER PATTERNING APPLICATIONS
Document Type and Number:
WIPO Patent Application WO/2018/226370
Kind Code:
A1
Abstract:
Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than -500 MPa.

Inventors:
VENKATASUBRAMANIAN ESWARANAND (US)
GOTTHEIM SAMUEL E (US)
YANG YANG (US)
MANNA PRAMIT (US)
RAMASWAMY KARTIK (US)
KOSHIZAWA TAKEHITO (US)
MALLICK ABHIJIT BASU (US)
GANDIKOTA SRINIVAS (US)
Application Number:
PCT/US2018/032684
Publication Date:
December 13, 2018
Filing Date:
May 15, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
International Classes:
H01L21/02; G03F7/20; H01J37/32; H01L21/033; H01L21/683
Foreign References:
US20120276743A12012-11-01
US20150371851A12015-12-24
KR20110115291A2011-10-21
US20160179005A12016-06-23
US20060264063A12006-11-23
Attorney, Agent or Firm:
PATTERSON, B. Todd et al. (US)
Download PDF:
Claims:
Claims:

1. A method of processing a substrate, comprising:

flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr; and generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate, wherein the diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than -500 MPa,

2. The method of claim 1 , wherein the generating a plasma at the substrate level further comprises applying a second RF bias to the electrostatic chuck.

3. The method of claim 2, wherein the first RF bias is provided at a power between about 10 Watts and about 3000 Watts at a frequency of from about 350 KHz to about 100 MHz.

4. The method of claim 3, wherein the first RF bias is provided at a power between about 2500 Watts and about 3000 Watts at a frequency of about 13.56 MHz.

5. The method of claim 4, wherein the second RF bias is provided at a power between about 10 Watts and about 3000 Watts at a frequency of from about 350 KHz to about 100 MHz.

6. The method of claim 5, wherein the second RF bias is provided at a power between about 800 Watts and about 1200 Watts at a frequency of about 2 MHz.

7. The method of claim 1 , further comprising applying a chucking voltage to the substrate positioned on the electrostatic chuck.

8. The method of claim 1 , wherein the diamond-like carbon film contains from about 50 percent to about 90 percent of sp3 hybridized carbon atoms.

9. The method of claim 1 , wherein the substrate is maintained at a temperature from about 10 °C to about 100 °C.

10. The method of claim 1 , wherein the diamond-like carbon film has an elastic modulus greater than 150 GPa.

1 1. The method of claim 1 , wherein the hydrocarbon-containing gas mixture includes a hydrocarbon precursor selected from the group consisting of: C2H2i C3H6l CH , C4H3, 1 ,3-dimethyladamantane, bicycio[2.2.1 ]hepta-2,5-diene (2,5-Norbornadiene), adamantine (CioHi6), norbornene (C7H10), and combinations thereof.

12. The method of claim 1 1 , wherein the hydrocarbon-containing gas mixture further comprises a dilution gas selected from the group consisting of: He, Ar, Xe, N2, H2, and combinations thereof.

13. A method of processing a substrate, comprising:

flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr, and wherein the hydrocarbon-containing gas mixture comprises acetylene (C2H2); and

generating a plasma at the substrate level by applying a first RF bias and a second RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate, wherein the diamond-like carbon film has a density from about 1.8 g/cc to about 2.5 g/cc and a stress from approximately -600 MPa to approximately -300 MPa.

14. The method of claim 13, wherein the first RF bias is provided at a power between about 2500 Watts and about 3000 Watts at a frequency of about 13.58 MHz and the second RF bias is provided at a power between about 800 Watts and about 1200 Watts at a frequency of about 2 MHz.

15. The method of claim 13, wherein the diamond-like carbon film is used as an underiayer in an extreme ultraviolet ("EUV") lithography process.

Description:
HIGH-DENSITY LOW TEMPERATURE CARBON FILMS FOR HARDMAS AND OTHER PATTERNING APPLICATIONS

BACKGROUND Field

[00013 Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications.

Description of the Related Art

[0002] Integrated circuits have evolved into complex devices that can include millions of transistors, capacitors and resistors on a single chip. The evolution of chip designs continually requires faster circuitry and greater circuit density. The demands for faster circuits with greater circuit densities impose corresponding demands on the materials used to fabricate such integrated circuits, in particular, as the dimensions of integrated circuit components reduce to the sub-micron scale, it is now necessary to use low resistivity conductive materials as well as low dielectric constant insulating materials to obtain suitable electrical performance from such components.

[0003] The demands for greater integrated circuit densities also impose demands on the process sequences used in the manufacture of integrated circuit components. For example, in process sequences that use conventional photolithographic techniques, a layer of energy sensitive resist is formed over a stack of material layers disposed on a substrate. The energy sensitive resist layer is exposed to an image of a pattern to form a photoresist mask. Thereafter, the mask pattern is transferred to one or more of the material layers of the stack using an etch process. The chemical etchant used in the etch process is selected to have a greater etch selectivity for the material layers of the stack than for the mask of energy sensitive resist. That is, the chemical etchant etches the one or more layers of the material stack at a rate much faster than the energy sensitive resist. The etch selectivity to the one or more material layers of the stack over the resist prevents the energy sensitive resist from being consumed prior to completion of the pattern transfer.

[0004] As the pattern dimensions are reduced, the thickness of the energy sensitive resist is correspondingly reduced in order to control pattern resolution. Such thin resist layers can be insufficient to mask underlying material layers during the pattern transfer step due to attack by the chemical etchant. An intermediate layer (e.g., silicon oxynitride, silicon carbine or carbon film), called a hardmask, is often used between the energy sensitive resist layer and the underlying material layers to facilitate pattern transfer because of greater resistance to the chemical etchant. Hardmask materials having both high etch selectivity and high deposition rates are desirable. As critical dimensions (CD) decrease, current hardmask materials lack the desired etch selectivity relative to underlying materials (e.g., oxides and nitrides) and are often difficult to deposit.

[0005] Therefore, there is a need in the art for an improved hardmask layers and methods for depositing improved hardmask layers,

SUMMARY

[0006] Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of high-density films for patterning applications, in one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr, The method further includes generating a plasma at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater than 1.8 g/cc and a stress less than -500 MPa.

[0007] In another implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.5 mTorr and about 10 Torr and the hydrocarbon-containing gas mixture comprises acetylene (C 2 H 2 ). The method further includes generating a plasma at the substrate level by applying a first RF bias and a second RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater from about 1.8 g/cc to about 2.5 g/cc and a stress from approximately -600 MPa to approximately -300 MPa.

£0008] In yet another implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The processing volume is maintained at a pressure between about 0,5 mTorr and about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias and a second RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamond-like carbon film has a density greater from about 1.8 g/cc to about 2.5 g/cc and a stress from approximately -1000 MPa to approximately -100 MPa, for example from approximately - 600 MPa to approximately -300 MPa. The method further includes forming a patterned photoresist layer over the diamond-like carbon film. The method further includes etching the diamond-like carbon in a pattern corresponding with the patterned photoresist layer. The method further includes etching the pattern into the substrate. The method further includes depositing a material into the etched portions of the diamond-like carbon film. [0009] In yet another implementation, a film for use as an underlayer for a EUV lithography process is provided. The film has an sp 3 hybridized carbon atom content of between 40% and 90% based on the total amount of carbon atoms in the film; a density of between 1.8 g/cc and 2.5 g/cc; and an elastic modulus that is between 150 GPa and 400 GPa. In some implementations, the film has a density of between 2.0 g/cc and 2.5 g/cc and an elastic modulus that is between 180 GPa and 200 GPa. In some implementations, the film has a stress of -600MPa; a refractive index that is between 2.0 and 3.0; and an extinction coefficient of between 0.2 and 0.3.

BRIEF DESCRIPTION OF THE DRAWINGS

[0010] So that the manner in which the above-recited features of the present disclosure can be understood in detail, a more particular description of the implementations, briefly summarized above, may be had by reference to implementations, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical implementations of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective implementations.

[0011] FIG. 1A depicts a schematic cross-sectional view of a deposition system that can be used for the practice of implementations described herein;

[0012] FIG. 1 B depicts a schematic cross-sectional view of another deposition system that can be used for the practice of implementations described herein;

[0013] FIG. 2 depicts a schematic cross-sectional view of an electrostatic chuck that may be used in the apparatus of FIG. 1A and FIG. 1 B for the practice of implementations described herein;

[0014] FIG. 3 depicts a flow diagram of a method for forming a diamond-like carbon layer on a film stack disposed on a substrate in accordance with one or more implementations of the present disclosure; [0015] FIGS, 4A-4B depict one implementation of a sequence for forming a diamond-like carbon layer on a film stack formed on a substrate in accordance with one or more implementations of the present disclosure;

[0016] FIG. 5 is a graph depicting density as a function of bias power for a diamondlike carbon layer formed in accordance with one or more implementations of the present disclosure;

[0017] FIG. 6 is a graph depicting stress as a function of bias power for a diamondlike carbon layer formed in accordance with one or more implementations of the present disclosure; and

[0018] FIG. 7 is a graph depicting density and stress as a function of pressure for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure.

[0019] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one implementation may be beneficially incorporated in other implementations without further recitation.

DETAILED DESCRIPTION

[0020] The following disclosure describes techniques for deposition of diamond-like carbon films on a substrate. Certain details are set forth in the following description and in FIGS. 1 -7 to provide a thorough understanding of various implementations of the disclosure. Other details describing well-known structures and systems often associated with plasma processing and diamond-like carbon film deposition are not set forth in the following disclosure to avoid unnecessarily obscuring the description of the various implementations.

[0021] Many of the details, dimensions, angles and other features shown in the Figures are merely illustrative of particular implementations. Accordingly, other implementations can have other details, components, dimensions, angles and features without departing from the spirit or scope of the present disclosure, in addition, further implementations of the disclosure can be practiced without several of the details described below,

[0022] Implementations described herein will be described below in reference to a PECVD process that can be carried out using any suitable thin film deposition system. Examples of suitable systems include the CENTURA® systems which may use a DXZ® processing chamber, PRECISION 5000® systems, PRODUCER® systems, PRODUCER® GT™ systems, PRODUCER® XP Precision™ systems, PRODUCER® SE™ systems, Sym3© processing chamber, and Mesa™ processing chamber, all of which are commercially available from Applied Materials, inc., of Santa Clara, Calif. Other tools capable of performing PECVD processes may also be adapted to benefit from the implementations described herein, in addition, any system enabling the PECVD processes described herein can be used to advantage. The apparatus description described herein is illustrative and should not be construed or interpreted as limiting the scope of the implementations described herein.

[0023] Current hardmask applications for memory and other devices largely make use of thick carbon films (e.g., 300 nanometers to 1.5 microns) that are amorphous in nature but their etch selectivity is no longer sufficient to meet the increasingly stringent requirements and the high-aspect ratio etch of the upcoming nodes. To achieve greater etch selectivity, the density and the Young's modulus of the film needs to be improved. One of the main challenges in achieving greater etch selectivity and improved Young's modulus is the high compressive stress of such a film making it unsuitable for applications owing to the resultant high wafer bow. Hence there is a need for carbon (diamond-like) films with high-density and modulus (e.g., higher sp 3 content, more diamond-like) with high etch selectivity along with low stress (e.g., < -500 MPa). [0024] Implementations described herein, include improved methods of fabricating carbon films with high-density (e.g., >1 ,8 g/cc), high modulus (e.g., > 150 GPa), and low stress (e.g., < -500 MPa). The carbon films fabricated according to the implementations described herein are amorphous in nature and have a higher etch selectivity with much greater modulus (e.g., >150 GPa) along with lower stress (< -500 MPa) than current patterning films. The carbon films fabricated according to the implementations described herein not only have a low stress but also have a high sp 3 carbon content, in general, the deposition process described herein is also fully compatible with current integration schemes for hardmask applications.

[0025] In some implementations, the diamond-like carbon films described herein may be formed by chemical vapor deposition (plasma enhanced and/or thermal) processes using hydrocarbon-containing gas mixtures including precursors such as but not limited to C 2 H 2 , C 3 H 6 , CH 4 , C 4 H S , ,3-dimethyladamantane, bicycio[2,2, 1 ]hepta-2,5- diene (2,5-Norbornadiene), adamantine (CioHi 6 ), norbornene (C 7 H 10 ), or combinations thereof. The deposition process may be carried out at temperatures ranging from -50 degrees Celsius to 600 degrees Celsius. The deposition process may be carried out at pressures ranging from 0.1 mTorr to 10 Torr in a processing volume. The hydrocarbon- containing gas mixture may further include any one of, or a combination of any of He, Ar, Xe, N 2 , H 2 , The hydrocarbon-containing gas mixture may further include etchant gases such as Ci 2 , CF 4 , and/or NF 3 to improve film quality. The plasma (e.g., capacitive-coupled plasma) may be formed from either top and bottom electrodes or side electrodes. The electrodes may be formed from a single powered electrode, dual powered electrodes, or more electrodes with multiple frequencies such as, but not limited to, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz and 100 MHz, being used alternatively or simultaneously in a CVD system with any or all of the reactant gases listed herein to deposit a thin film of diamond-like carbon for use as a hardmask and/or etch stop or any other application requiring smooth carbon films. The high etch selectivity of the diamond-like carbon film is achieved by having higher density and modulus than current generation films. Not to be bound by theory but it is believed that the higher density and modulus are a result of the high content of sp 3 hybridized carbon atoms in the film, which in turn may be achieved by a combination of low pressure and plasma power.

[0026] In some implementations, hydrogen radical are fed through an RPS, which leads to selective etching of sp 2 hybridized carbon atoms thus increasing the sp 3 hybridized carbon atom fraction of the film further, thus further increasing the etch selectivity,

[0027] In some implementations, the diamond-like carbon film was deposited in a chamber with substrate pedestal maintained at 10 degrees Celsius and the pressure was maintained at 2 mTorr, with plasma generated at the wafer level by applying a bias of 2500 Watts (13.56 MHz) to the electrostatic chuck. In some implementations, an additional RF of 1000 Watts at 2 MHz was also delivered to the electrostatic chuck thus generating a dual-bias plasma at the wafer level.

[0028] In some implementations, a film for use as an underlayer for an EUV lithography process can be any film described herein.

[0029] In some implementations, a film for use as an underlayer for an EUV lithography process has an sp 3 hybridized carbon atom content of between 40% and 90% based on the total amount of carbon atoms in the film; a density of between 1.8 g/cc and 2.5 g/cc; and an elastic modulus that is between 150 GPa and 400 GPa.

[0030] In some implementations, a film for use as an underlayer for an EUV lithography process has a density of between 2.0 g/cc and 2.2 g/cc; and an elastic modulus that is between about 180 GPa and about 200 GPa. In some implementations, the film has a density of about 2.1 g/cc and an elastic modulus of about 195 GPa.

[0031] In some implementations, for use as an underlayer for an EUV lithography process has a stress of -800 MPa; a refractive index that is between 2.0 and 3.0; and an extinction coefficient of between 0.2 and 0.3. [0032] FIG, 1A depicts a schematic illustration of a substrate processing system 132 that can be used to perform diamond-like carbon layer deposition in accordance with implementations described herein. The substrate processing system 132 includes a process chamber 100 coupled to a gas panel 130 and a controller 1 10. The process chamber 100 generally includes a top wail 124, a sidewaii 101 and a bottom wall 122 that define a processing volume 126. A substrate support assembly 146 is provided in the processing volume 126 of the process chamber 100. The substrate support assembly 146 generally includes an electrostatic chuck 150 supported by a stem 160. The electrostatic chuck 150 may be typically fabricated from aluminum, ceramic, and other suitable materials. The electrostatic chuck 150 may be moved in a vertical direction inside the process chamber 100 using a displacement mechanism (not shown).

[0033] A vacuum pump 102 is coupled to a port formed in the bottom of the process chamber 100. The vacuum pump 102 is used to maintain a desired gas pressure in the process chamber 100, The vacuum pump 102 also evacuates post-processing gases and by-products of the process from the process chamber 100.

[0034] The substrate processing system 132 may further include additional equipment for controlling the chamber pressure, for example, valves (e.g., throttle valves and isolation valves) positioned between the process chamber 100 and the vacuum pump 102 to control the chamber pressure.

[0035] A gas distribution assembly 120 having a plurality of apertures 128 is disposed on the top of the process chamber 100 above the electrostatic chuck 150. The apertures 128 of the gas distribution assembly 120 are utilized to introduce process gases into the process chamber 100. The apertures 128 may have different sizes, number, distributions, shape, design, and diameters to facilitate the flow of the various process gases for different process requirements. The gas distribution assembly 120 is connected to the gas panel 130 that allows various gases to supply to the processing volume 126 during processing. A plasma is formed from the process gas mixture exiting the gas distribution assembly 120 to enhance thermal decomposition of the process gases resulting in the deposition of material on a surface 191 of the substrate 190.

[0036] The gas distribution assembly 120 and the electrostatic chuck 150 may form a pair of spaced apart electrodes in the processing volume 126. One or more RF power source 140 provide a bias potential through a matching network 138, which is optional, to the gas distribution assembly 120 to facilitate generation of plasma between the gas distribution assembly 120 and the electrostatic chuck 150. Alternatively, the RF power source 140 and the matching network 138 may be coupled to the gas distribution assembly 120, the electrostatic chuck 150, or coupled to both the gas distribution assembly 120 and the electrostatic chuck 150, or coupled to an antenna (not shown) disposed exterior to the process chamber 100. In some implementations, the RF power source 140 may produce power at a frequency of 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz. In one implementation, the RF power source 140 may provide between about 100 Watts and about 3,000 Watts at a frequency of about 50 kHz to about 13.6 MHz. In another implementation, the RF power source 140 may provide between about 500 Watts and about 1 ,800 Watts at a frequency of about 50 kHz to about 13.6 MHz,

[0037] The controller 1 10 includes a central processing unit (CPU) 1 12, a memory 1 16, and a support circuit 1 14 utilized to control the process sequence and regulate the gas flows from the gas panel 130. The CPU 1 12 may be of any form of a general- purpose computer processor that may be used in an industrial setting. The software routines can be stored in the memory 1 16, such as random access memory, read only memory, floppy, or hard disk drive, or other form of digital storage. The support circuit 1 14 is conventionally coupled to the CPU 1 12 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bi-directional communications between the controller 1 10 and the various components of the substrate processing system 132 are handled through numerous signal cables collectively referred to as signal buses 1 18, some of which are illustrated in FIG. 1A. £0038] FIG, 1 B depicts a schematic cross-sectional view of another substrate processing system 180 that can be used for the practice of implementations described herein. The substrate processing system 180 is similar to the substrate processing system 132 of FIG, 1A, except that the substrate processing system 180 is configured to flow processing gases from gas pane! 130 across the surface 191 of the substrate 190 via the sidewal! 101. in addition, the gas distribution assembly 120 depicted in F!G. 1A is replaced with an electrode 182. The electrode 182 may be configured for secondary electron generation. In one implementation, the electrode 182 is a silicon- containing electrode,

[0039] FIG. 2 depicts a schematic cross-sectional view of the substrate support assembly 146 used in the processing systems of FIG. 1A and FIG. 1 B that can be used for the practice of implementations described herein. Referring to FIG. 2, the electrostatic chuck 150 may include a heater element 170 suitable for controlling the temperature of a substrate 190 supported on an upper surface 192 of the electrostatic chuck 150. The heater element 170 may be embedded in the electrostatic chuck 150. The electrostatic chuck 150 may be resistively heated by applying an electric current from a heater power source 106 to the heater element 170. The heater power source 106 may be coupled through an RF filter 216. The RF filter 216 may be used to protect the heater power source 106 from RF energy. The heater element 170 may be made of a nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (e.g., INCOLOY ® ) sheath tube. The electric current supplied from the heater power source 106 is regulated by the controller 1 10 to control the heat generated by the heater element 170, thus maintaining the substrate 190 and the electrostatic chuck 150 at a substantially constant temperature during film deposition. The supplied electric current may be adjusted to selectively control the temperature of the electrostatic chuck 150 between about -50 degrees Celsius to about 600 degrees Celsius.

[0040] Referring to FIG. 1 , a temperature sensor 172, such as a thermocouple, may be embedded in the electrostatic chuck 150 to monitor the temperature of the electrostatic chuck 150 in a conventional manner. The measured temperature is used by the controller 1 10 to control the power supplied to the heater element 170 to maintain the substrate at a desired temperature.

[0041] The electrostatic chuck 150 includes a chucking electrode 210, which may be a mesh of a conductive material. The chucking electrode 210 may be embedded in the electrostatic chuck 150. The chucking electrode 210 is coupled to a chucking power source 212 that, when energized, electrostatically clamps the substrate 190 to the upper surface 192 of the electrostatic chuck 150.

[0042] The chucking electrode 210 may be configured as a monopolar or bipolar electrode, or have another suitable arrangement. The chucking electrode 210 may be coupled through an RF filter 214 to the chucking power source 212, which provides direct current (DC) power to electrostatically secure the substrate 190 to the upper surface 192 of the electrostatic chuck 150. The RF filter 214 prevents RF power utilized to form plasma within the process chamber 100 from damaging electrical equipment or presenting an electrical hazard outside the chamber. The electrostatic chuck 150 may be fabricated from a ceramic material, such as A!N or Ai 2 0 3 . Alternately, the electrostatic chuck 150 may be fabricated from a polymer, such as polyimide, polyetheretherketone (PEEK), polyaryletherketone (PAEK), and the like.

[0043] A power application system 220 is coupled to the substrate support assembly 146. The power application system 220 may include the heater power source 106, the chucking power source 212, a first radio frequency (RF) power source 230, and a second RF power source 240. Implementations of the power application system 220 may additionally include the controller 1 10, and a sensor device 250 that is in communication with the controller 1 10 and both of the first RF power source 230 and the second RF power source 240.

[9044] The controller 1 10 may also be utilized to control the plasma from the processing gas by application of RF power from the first RF power source 230 and the second RF power source 240 in order to deposit a layer of material on the substrate 190. [0045] As described above, the electrostatic chuck 150 includes the chucking electrode 210 that may function in one aspect to chuck the substrate 190 while also functioning as a first RF electrode. The electrostatic chuck 150 may also include a second RF electrode 260, and together with the chucking electrode 210, may apply RF power to tune the plasma. The first RF power source 230 may be coupled to the second RF electrode 260 while the second RF power source 240 may be coupled to the chucking electrode 210. A first matching network and a second matching network may be provided for the first RF power source 230 and the second RF power source 240, respectively. The second RF electrode 260 may be a solid metal plate of a conductive material as shown. Alternatively, the second RF electrode 260 may be a mesh of conductive material.

[0046] The first RF power source 230 and the second RF power source 240 may produce power at the same frequency or a different frequency, in some implementations, one or both of the first RF power source 230 and the second RF power source 240 may independently produce power at a frequency from about 350 KHz to about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). In some implementations, the first RF power source 230 may produce power at a frequency of 13.56 MHz and the second RF power source 240 may produce power at a frequency of 2 MHz, or vice versa. RF power from one or both of the first RF power source 230 and second RF power source 240 may be varied in order to tune the plasma. For example, the sensor device 250 may be used to monitor the RF energy from one or both of the first RF power source 230 and the second RF power source 240. Data from the sensor device 250 may be communicated to the controller 1 10, and the controller 1 10 may be utilized to vary power applied by the first RF power source 230 and the second RF power source 240.

[0047] The quantity/percentage of sp 3 hybridized carbon atoms in the as-deposited diamond-like carbon may vary from application to application. In various implementations of the present disclosure, the as-deposited diamond-like carbon film may contain at least 40, 45, 50, 55, 60, 65, 70, 75, 80, or 85 percent of sp 3 hybridized carbon atoms. The as-deposited diamond-like carbon film may contain up to 45, 50, 55, 60, 65, 70, 75, 80, 85, or 90 percent of sp 3 hybridized carbon atoms. The as- deposited diamond-like carbon film may contain from about 50 to about 90 percent of sp 3 hybridized carbon atoms. The as-deposited diamond-like carbon film may contain from about 60 to about 70 percent of sp 3 hybridized carbon atoms,

[0048] In general, the following exemplary deposition process parameters may be used to form the as-deposited diamond-like carbon layer. The wafer temperature may range from about -50 °C to about 350 °C (e.g., from about 10 °C to about 100 °C; or from about 10 °C to about 50 °C), The chamber pressure may range from a chamber pressure of about 0.5 mTorr to about 10 Torr (e.g., about 2 mTorr to about 50 mTorr; or between about 2 mTorr and about 10 mTorr). The flow rate of the hydrocarbon- containing gas mixture may be from about 10 seem to about 1 ,000 seem (e.g., from about 100 seem to about 200 seem, or from about 150 seem to about 200 seem). The flow rate of a dilution gas may individually range from about 50 seem to about 50,000 seem (e.g., from about 50 seem to about 500 seem; or from about 50 seem to about 100 seem).

Table

[0049] The diamond-like carbon layer may be deposited to a thickness between about 5 A and about 20,000 A (e.g., between about 300 A to about 5000 A; between about 2000 A and about 3000 A, or between about 5 A to about 200 A). The above process parameters depicted in Table I provide examples of process parameters for a 300 mm substrate in a deposition chamber available from Applied Materials, Inc. of Santa Clara, CA,

[0050] The as-deposited diamond-like carbon film may have a refractive index or n- vaiue (n (at 633 nm)) greater than 2.0, for example, approximately 2.0 to approximately 3.0, such as 2.3. The as-deposited diamond-like carbon film may have an extinction coefficient or k-value (K (at 833 nm)) greater than 0.1 , for example, approximately 0.2 to approximately 0.3, such as 0.25. The as-deposited diamond-like carbon film may have a stress (MPa) less than about -100 MPa, for example from approximately -1000 MPa to approximately -100 MPa, from approximately -600 MPa to approximately -300 MPa, from approximately -600 MPa to approximately -500 MPa, such as approximately -550 MPa. The as-deposited diamond-like carbon film may have a density (g/cc) of greater than 1.8 g/cc, for example approximately 2.0 g/cc or higher, approximately 2,5 g/cc or higher, such as from about 1.8 g/cc to about 2.5 g/cc. The as-deposited diamond-like carbon film may film has an elastic modulus (GPa) of greater than 150 GPa, for example, from about 200 to about 400 GPa.

[0051] FIG. 3 depicts a flow diagram of a method 300 for forming a diamond-like carbon layer on a film stack disposed on a substrate in accordance with one implementation of the present disclosure. The diamond-like carbon layer formed on a film stack may be utilized, for example, as a hardmask to form stair-iike structures in the film stack. FIGS. 4A-4B are schematic cross-sectional views illustrating a sequence for forming a diamond-like carbon layer on a film stack disposed on a substrate according to the method 300. Although the method 300 is described below with reference to a hardmask layer that may be formed on a film stack utilized to manufacture stair-like structures in the film stack for three dimensional semiconductor devices, the method 300 may also be used to advantage in other device manufacturing applications. Further, it should also be understood that the operations depicted in FIG. 3 may be performed simultaneously and/or in a different order than the order depicted in FIG. 3. [0052] The method 300 begins at operation 310 by positioning a substrate, such as a substrate 400 depicted in F!G. 4A, into a process chamber, such as the process chamber 100 depicted in FIG. 1A or FIG. 1 B. The substrate 400 may be substrate 190 depicted in FIG. 1A, FIG. 1 B and FIG. 2. The substrate 400 may be positioned on an electrostatic chuck, for example, the upper surface 192 of the electrostatic chuck 150. The substrate 400 may be a silicon-based material or any suitable insulating material or conductive material as needed, having a film stack 404 disposed on the substrate 400 that may be utilized to form a structure 402, such as stair-like structures, in the film stack 404.

[0053] As shown in the exemplary implementation depicted in FIG. 4A, the substrate 400 may have a substantially planar surface, an uneven surface, or a substantially planar surface having a structure formed thereon. The film stack 404 is formed on the substrate 400. in one implementation, the film stack 404 may be utilized to form a gate structure, a contact structure or an interconnection structure in a front end or back end process. The method 300 may be performed on the film stack 404 to form the stair-like structures therein used in a memory structure, such as NAND structure, in one implementation, the substrate 400 may be a material such as crystalline silicon (e.g., Si<100> or Si<1 1 1 >), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon substrates and patterned or non- patterned substrates silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire. The substrate 400 may have various dimensions, such as 200 mm, 300 mm, and 450 mm or other diameter substrates, as well as, rectangular or square panels. Unless otherwise noted, implementations and examples described herein are conducted on substrates with a 200 mm diameter, a 300 mm diameter, or a 450 mm diameter substrate, in the implementation wherein a SOI structure is utilized for the substrate 400, the substrate 400 may include a buried dielectric layer disposed on a silicon crystalline substrate. In the implementation depicted herein, the substrate 400 may be a crystalline silicon substrate. [0054] In one implementation, the film stack 404 disposed on the substrate 400 may have a number of vertically stacked layers. The film stack 404 may comprise pairs including a first layer (shown as 408a ! , 408a 2 , 408a 3 , , 408ar.) and a second layer

(shown as 408bi, 408b 2 , 408b 3 , , 408b ri ) repeatedly formed in the film stack 404.

The pairs includes alternating first layer (shown as 408a!, 408a 2 , 408a 3 , , 408a n ) and second layer (shown as 408bi, 408b 2 , 408b 3 , , 408b ) repeatedly formed until desired numbers of pairs of the first layers and the second layers are reached.

[0055] The film stack 404 may be a part of a semiconductor chip, such as a three- dimensional memory chip. Although three repeating layers of first layers (shown as

408a ! , 408a 2 , 408a 3 , , 408a ri ) and second layers (shown as 408b ! , 408b 2 ,

408b 3 , , 408b n ) are shown in FIGS. 4A-4B, it is noted that any desired number of repeating pairs of the first and the second layers may be utilized as needed.

[0056] In one implementation, the film stack 404 may be utilized to form multiple gate structures for a three-dimensional memory chip. The first layers 408ai, 408a 2 ,

408a 3 , , 408a,,, formed in the film stack 404 may be a first dielectric layer and the second layers 408b!, 408b 2 , 408b 3 , , 408bn may be a second dielectric layer.

Suitable dielectric layers may be utilized to form the first layers 408a , 408a 2 ,

408a 3 , , 408a n and the second layer 408b-i , 408b 2 , 408b 3 , , 408b ri include siiicon oxide, silicon nitride, silicon oxynitride, silicon carbide, siiicon oxycarbide, titanium nitride, composite of oxide and nitride, at least one or more oxide layers sandwiching a nitride layer, and combinations thereof, among others. In some implementations, the dielectric layers may be a high-k material having a dielectric constant greater than 4. Suitable examples of the high-k materials include hafnium dioxide (Hf0 2 ), zirconium dioxide (Zr0 2 ), hafnium siiicon oxide (HfSi0 2 ), hafnium aluminum oxide (HfAIO), zirconium siiicon oxide (ZrSi0 2 ), tantalum dioxide (Ta0 2 ), aluminum oxide, aluminum doped hafnium dioxide, bismuth strontium titanium (BST), and platinum zirconium titanium (PZT), among others. [0057] In one particular example, the first layers 408ai, 408a 2 , 4G8a 3 , , 4083.·, are silicon oxide layers and the second layers 408bi, 408b 2! 408b 3 , , 408b n are silicon nitride layers or poiysilicon layers disposed on the first layers 408a ! , 408a 2 ,

408a 3 , , 408a ri . In one implementation, the thickness of first layers 408a ! , 408a 2 ,

408a 3 , , 408a n may be controlled at between about 50 A and about 1000 A, such as about 500 A, and the thickness of the each second layers 408b-i, 408b 2 , 408b 3 , ,

408b n may be controlled at between about 50 A and about 1000 A, such as about 500 A, The film stack 404 may have a total thickness between about 100 A and about 2000 A. In one implementation, a total thickness of the film stack 404 is about 3 microns to about 10 microns and will vary as technology advances.

[0058] It is noted that the diamond-like carbon layer may be formed on any surfaces or any portion of the substrate 400 with or without the film stack 404 present on the substrate 400.

[0059] At operation 320, a chucking voltage is applied to the electrostatic chuck to clamp the substrate 400 to the electrostatic chuck. In some implementations, where the substrate 400 is positioned on the upper surface 192 of the electrostatic chuck 150, the upper surface 192 provides support and clamps the substrate 400 during processing. The electrostatic chuck 150 flattens the substrate 400 closely against the upper surface 192, preventing backside deposition. An electrical bias is provided to the substrate 400 via chucking electrode 210. The chucking electrode 210 may be in electronic communication with the chucking power source 212 that supplies a biasing voltage to the chucking electrode 210. in one implementation, the chucking voltage is between about 10 volts and about 3000 volts, in one implementation, the chucking voltage is between about 100 volts and about 2000 volts, in one implementation, the chucking voltage is between about 200 volts and about 1000 volts.

[0060] During operation 320, several process parameters may be regulated the process, in one implementation suitable for processing a 300 mm substrate, the process pressure in the processing volume may be maintained at about 0.1 mTorr to about 10 Torr (e.g., about 2 mTorr to about 50 mTorr; or about 5 mTorr to about 20 mTorr). In one implementation suitable for processing a 300 mm substrate, the processing temperature and/or substrate temperature may be maintained at about -50 degrees Celsius to about 350 degrees Celsius (e.g., about 0 degrees Celsius to about 50 degrees Celsius; or about 10 degrees Celsius to about 20 degrees Celsius).

[0061] In one implementation, a constant chucking voltage is applied to the substrate 400. In one implementation, the chucking voltage may be pulsed to the electrostatic chuck 150. In some implementations, a backside gas may be applied to the substrate 400 while applying the chucking voltage to control the temperature of the substrate. Backside gases may include but are not limited to, helium (He), argon (Ar), or the like.

[0062] At operation 330, a plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck. Plasma generated at the substrate level may be generated in a plasma region between the substrate and the electrostatic chuck. The first RF bias may be from about 10 Watts and about 3000 Watts at a frequency of from about 350 KHz to about 100 MHz (e.g., 350 KHz, 2 MHz, 13.58 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). In one implementation, the first RF bias is provided at a power between about 2500 Watts and about 3000 Watts at a frequency of about 13.56 MHz. In one implementation, the first RF bias is provided to the electrostatic chuck 150 via the second RF electrode 260, The second RF electrode 260 may be in electronic communication with the first RF power source 230 that supplies a biasing voltage to the second RF electrode 260. In one implementation, the bias power is between about 10 Watts and about 3000 Watts. In one implementation, the bias power is between about 2000 Watts and about 3000 Watts, in one implementation, the bias power is between about 2500 Watfs and about 3000 Watts. The first RF power source 230 may produce power at a frequency of from about 350 KHz to about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). [0063] In some implementations, operation 330 further comprises applying a second RF bias to the electrostatic chuck. The second RF bias may be from about 10 Watts and about 3000 Watts at a frequency of from about 350 KHz to about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). In one implementation, the second RF bias is provided at a power between about 800 Watts and about 1200 Watts at a frequency of about 2 MHz. In one implementation, the second RF bias is provided to the substrate 400 via the chucking electrode 210. The chucking electrode 210 may be in electronic communication with second RF power source 240 that supplies a biasing voltage to the chucking electrode 210. In one implementation, the bias power is between about 10 Watts and about 3000 Watts. In one implementation, the bias power is between about 500 Watts and about 1500 Watts. In one implementation, the bias power is between about 800 Watts and about 1200 Watts. The second RF power source 240 may produce power at a frequency of from about 350 KHz to about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). In one implementation, the chucking voltage supplied in operation 320 is maintained during operation 330.

[0064] In some implementations, during operation 330, the first RF bias is provided to the substrate 400 via the chucking electrode 210 and the second RF bias may be provided to the substrate 400 via the second RF electrode 260. in one implementation, the first RF bias is about 2500 Watts (13.56 MHz) and the second RF bias is about 1000 W/atts (2 MHz).

[0065] During operation 340, a hydrocarbon-containing gas mixture is flowed into the processing volume 126 to form the diamond-like carbon film on the film stack. The hydrocarbon-containing gas mixture may be flowed from the gas panel 130 into the processing volume 126 either through the gas distribution assembly 120 or via the sidewail 101. The hydrocarbon-containing gas mixture may include at least one hydrocarbon compound. The hydrocarbon-containing gas mixture may further include an inert gas, a dilution gas, a nitrogen-containing gas, an etchant gas or combinations thereof. The hydrocarbon can be any liquid or gas, though the preferred precursor would be vapor at room temperature to simplify the hardware needed for material metering, control and delivery to the chamber. In some implementations, the chucking voltage supplied during operation 320 is maintained during operation 340. in some implementations, the process conditions established during operation 320 and plasma formed during operation 330 are maintained during operation 340.

[0066] In one implementation, the hydrocarbon compound is a gaseous hydrocarbon, in one implementation, the hydrocarbon compound has a general formula C x H y , where x has a range of between 1 and 20 and y has a range of between 1 and 20. Suitable hydrocarbon compounds include, for example, C 2 H 2! C 3 H 6 , CH 4 , C 4 H 8 , 1 ,3-dimethyladamantane, bicyclo[2.2.1 ]hepta-2,5-diene (2,5-Norbornadiene), adamantine (CioH-i 6 ), norbornene (C 7 H 10 ), or combinations thereof, in one example, C 2 H 2 is preferable due to formation of more stable intermediate species, which allows more surface mobility.

[0067] In one implementation, the hydrocarbon compound is an aikane (e.g., Cr,H2n+2, wherein n is between 1 and 20). Suitable hydrocarbon compounds include, for example, aikanes such as methane (CH 4 ), ethane (C 2 H 6 ), propylene (C 3 H 6 ), propane (C 3 H 8 ), butane (C 4 H 10 ) and its isomer isobutane, pentane (C 5 H 12 ), hexane (C 6 H 4 ) and its isomers isopentane and neopentane, hexane (C 6 Hi 4 ) and its isomers 2- methy!pentane, 3-rnethylpentane, 2,3-dimethylbutane, and 2,2-dimethyi butane, or combinations thereof.

[0068] In one implementation, the hydrocarbon compound is an aikene (e.g., C n H 2 n, wherein n is between 1 and 20). Suitable hydrocarbon compounds include, for example, aikenes such as acetylene, ethylene, propylene, butylene and its isomers, pentene and its isomers, and the like, dienes such as butadiene, isoprene, pentadiene, hexadiene, or combinations thereof. Additional suitable hydrocarbons include, for example, halogenated aikenes such as monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafiuoroethyiene, monochloroethylene, dichioroethylenes, trichioroethylene, tetrachioroethylene, or combinations thereof. [0069] In one implementation, the hydrocarbon compound is an a!kyne (e.g., Ο η Η 2ί1 _ 2, wherein n is between 1 and 20). Suitable hydrocarbon compounds include, for example, aikynes such as propyne (C 3 H 4 ), butylene (C 4 H 8 ), vinylacetyiene, or combinations thereof.

[0070] In one implementation, the hydrocarbon compound is an aromatic hydrocarbon compound, such as benzene, styrene, toluene, xylene, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresoi, furan, and the like, aipha-terpinene, cymene, 1 , 1 ,3,3,-tetramethylbutylbenzene, t-butyiether, t- butylethylene, methyi-methacrylate, and t-butylfurfurylether, compounds having the formula C 3 H 2 and C 5 H 4 , halogenafed aromatic compounds including monofiuorobenzene, difiuorobenzenes, tetrafiuorobenzenes, hexafiuorobenzene, or combinations thereof.

[0071] In some implementations, the hydrocarbon-containing gas mixture further comprises one or more dilution gases. Suitable dilution gases such as helium (He), argon (Ar), xenon (Xe). hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ), or combinations thereof, among others, may be added to the gas mixture, if desired. Ar, He, and N 2 are used to control the density and deposition rate of the diamond-like carbon layer. In some cases, the addition of N 2 and/or NH 3 can be used to control the hydrogen ratio of the diamond-like carbon layer, as discussed below. Alternatively, dilution gases may not be used during the deposition,

[0072] In some implementations, the hydrocarbon-containing gas mixture further comprises one or more nitrogen-containing gases. Suitable nitrogen-containing compounds include, for example, pyridine, aliphatic amine, amines, nitriies, ammonia and similar compounds.

[0073] In some implementations, the hydrocarbon-containing gas mixture further comprises an inert gas. in some implementations, an inert gas, such as argon (Ar) and/or helium (He) may be supplied with the hydrocarbon-containing gas mixture into the processing volume 126. Other inert gases, such as nitrogen (N 2 ) and nitric oxide (NO), may also be used to control the density and deposition rate of the diamond-like carbon layer. Additionally, a variety of other processing gases may be added to the hydrocarbon-containing gas mixture to modify properties of the diamond-like carbon material, in one implementation, the other processing gases may be reactive gases, such as hydrogen (H 2 ), ammonia (NH 3 ), a mixture of hydrogen (H 2 ) and nitrogen (N 2 ), or combinations thereof. The addition of H 2 and/or NH 3 may be used to control the hydrogen ratio (e.g. , carbon to hydrogen ratio) of the deposited diamond-iike carbon layer. The hydrogen ratio present in the diamond-like carbon film provides control over layer properties, such as reflectivity.

[0074] In some implementations, the hydrocarbon-containing gas mixture further comprises an etchant gas. Suitable etchant gases include chlorine (Ci 2 ), carbon tetrafiuoride (CF 4 ), nitrogen trifiuoride (NF 3 ), or combinations thereof. Not to be bound by theory, but it is believed that the etchant gases selectively etch sp 2 hybridized carbon atoms from the film thus increasing the fraction of sp 3 hybridized carbon atoms in the film, which increases the etch selectivity of the film.

[0075] In some implementation, after the diamond-like carbon layer 412 is formed on the substrate during operation 340, the diamond-like carbon layer 412 is exposed to hydrogen radicals. In some implementations, the diamond-like carbon layer is exposed to hydrogen radicals during the deposition process of operation 340. In some implementations, the hydrogen radicals formed in an RPS and delivered to the processing region. Not to be bound by theory, but it is believed that exposing the diamond-like carbon layer to hydrogen radicals leads to selective etching of sp 2 hybridized carbon atoms thus increasing the sp 3 hybridized carbon atom fraction of the film, thus increasing the etoh selectivity.

[0076] At operation 350, after the diamond-like carbon layer 412 is formed on the substrate, the substrate is de-chucked. During operation 350, the chucking voltage is turned-off. The reactive gases are turned-off and optionally purged from the processing chamber, in one implementation, during operation 350 RF power is reduced (e.g., -200 W). Optionally, the controller 1 10 monitors impedance change to determine whether electrostatic charges are dissipated to ground through the RF path. Once the substrate is de-chucked from the electrostatic chuck, the remaining gases are purged from the processing chamber. The processing chamber is pumped down and the substrate is moved up on the lift pins and transferred out of chamber.

[0077] After the diamond-like carbon layer 412 is formed on the substrate, the diamond-like carbon layer 412 may be utilized in an etching process as a patterning mask to form a three-dimensional structure, such as a stair like structure. The diamond-like carbon layer 412 may be patterned using a standard photoresist patterning techniques. A patterned photoresist (not shown) may be formed over the diamond-like carbon layer 412. The diamond-like carbon layer 412 may be etched in a pattern corresponding with the patterned photoresist layer followed by etching the pattern into the substrate 400. Material may be deposited into the etched portions of the diamond-like carbon layer 412. The diamond-like carbon layer 412 may be removed using a solution comprising hydrogen peroxide and sulfuric acid. One exemplary solution comprising hydrogen peroxide and sulfuric acid is known as Piranha solution or Piranha etch. The diamond-like carbon layer 412 may also be removed using etch chemistries containing oxygen and halogens (e.g., fluorine or chlorine), for example, Ci 2 /0 2 , CF 4 /0 2 , CI 2 /O 2 /CF 4 , The diamond-like carbon layer 412 may be removed by a chemical mechanical polishing (CMP) process.

[0078] Examples:

[00793 The following non-limiting examples are provided to further illustrate implementations described herein. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the implementations described herein.

[OO803 In one implementation, a low stress, high-density diamond-like carbon film of the present disclosure was fabricated by flowing 150 seem C 2 H 2 and 100 seem He as process gases at a temperature of 10 degrees Celsius, applying 2500 Watts RF (13.56 MHz) power and 1000 Watts (2 MHz) through the substrate pedestal (electrostatic chuck) in a CVD reactor with Ar and/or He as diluting gas. The resultant diamond-like carbon film had a density of 1 .94 g/cc and stress of -350 MPa and an etch selectivity greater than currently available amorphous carbon films.

Table I I.

[0081] FIG. 5 is a graph 500 depicting density as a function of bias power for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure. The graph 500 depicts density as a function of bias power for diamond-like carbon films deposited at a frequency of 2 MHz and a frequency of 13.56 MHz. The y-axis represents the density (g/cc) of the deposited films and the x-axis represents the bias power (Watts). As illustrated in FIG. 5, for the most part, as bias power increases, the density of the as-deposited film increases.

[0082] FIG. 6 is a graph 600 depicting stress as a function of bias power for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure. The graph 600 depicts stress as a function of bias power for diamond-like carbon films deposited at a frequency of 2 MHz and a frequency of 13,56 MHz. The y-axis represents the stress (MPa) of the deposited films and the x-axis represents the bias power (Watts). As illustrated in FIG. 6, for the most part, as bias power increases, the stress of the as-deposited film increases. [0083] FIG, 7 is a graph 700 depicting density and stress as a function of pressure for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure. The y-axis represents the density (g/cc) and stress (MPa) of the deposited films and the x-axis represents the process pressure (mTorr). As illustrated in FIG. 7, lower pressure yields a slightly higher density and lower stress and higher pressure yields more compressive stress.

[0084] Extreme Ultraviolet ("EUV") Patterning Schemes

[0085] The choice of underlayer is critical to preventing nanofailures (e.g., bridging defects and spacing defects) in semiconductor devices when using metal-containing photoresists in extreme ultraviolet ("EUV") patterning schemes. Conventional underiayers for EUV patterning (lithography) schemes are spin on carbon (SOC) materials. However, during patterning, metals such as fin, for example, diffuse through the SOC material leading to nanofailures in the semiconductor devices. Such nanofailures act to reduce, degrade, and hamper semiconductor performance.

[0086] The high-density carbon films described herein, on the other hand, have superior film qualities such as improved hardness and density. Such hardness and density allow the high-density carbon film to act as a stronger barrier against metal infiltration and to prevent and at a minimum, reduce nanofailures to a greater extent than the conventional SOC films.

[0087] In some implementations, a film for use as an underlayer for an extreme ultraviolet ("EUV") lithography process is provided. In some implementations, the film (and/or as-deposited film) has one or more of the following characteristics:

[0088] 1 ) A quantify/percentage of sp 3 hybridized carbon atoms (i.e., a sp 3 hybridized carbon atom content) that is at least 40, 45, 50, 55, 60, 65, 70, 75, 80, or 85 percent based on the total amount of carbon atoms in the as-deposited film, in these or other implementations, the quantity/percentage of sp 3 hybridized carbon atoms is up to 45, 50, 55, 60, 65, 70, 75, 80, 85, or 90 percent based on the total amount of carbon atoms in the as-deposited film. In these or other implementations, the quantity/percentage of sp 3 hybridized carbon atoms is from about 50 to about 90 percent (such as from about 80 to about 70 percent) based on the total amount of carbon atoms in the as-deposited film,

[0089] 2) A thickness that is between about 5 A and about 20,000 A (e.g., between about 300 A to about 5000 A, such as between about 2000 A and about 3000 A). Alternately, a thickness that is between about 5 A to about 200 A.

[0090] 3) A refractive index or n-value (n (at 633 nm)) that is greater than 2.0, for example, approximately 2.0 to approximately 3.0, such as 2.3.

[0091] 4) An extinction coefficient or k-vaiue (K (at 633 nm)) that is greater than 0.1 , for example, approximately 0.2 to approximately 0.3, such as 0.25.

[0092] 5) A stress (MPa) that is less than about -300 Pa, for example from approximately -600 MPa to approximately -300 MPa, from approximately -600 MPa to approximately -500 MPa, such as approximately -550 MPa.

[0093] 6) A density (g/cc) that is greater than 1.8 g/cc, for example approximately 2.0 g/cc or higher, approximately 2.5 g/cc or higher, such as from about 1.8 g/cc to about 2.5 g/cc.

[0094] 7) An elastic modulus (GPa) that is greater than 150 GPa, for example, from about 200 to about 400 GPa.

[0095] In some implementations, a film for use as an underlayer for an EUV lithography process can be any film described herein.

[0096] In some implementations, a film for use as an underlayer for an EUV lithography process has an sp 3 hybridized carbon atom content of between 40% and 90% based on the total amount of carbon atoms in the film; a density of between 1.8 g/cc and 2.5 g/cc; and an elastic modulus that is between 150 GPa and 400 GPa. [0097] In some implementations, a film for use as an underlayer for an EUV lithography process has a density of between 2.0 g/cc and 2.2 g/cc; and an elastic modulus that is between about 180 GPa and about 200 GPa. in some implementations, the film has a density of about 2.1 g/cc and an elastic modulus of about 195 GPa.

[0098] In some implementations, for use as an underlayer for an EUV lithography process has a stress of -600 MPa; a refractive index that is between 2.0 and 3.0; and an extinction coefficient of between 0.2 and 0.3.

[0099] Thus, methods and apparatus for forming a diamond-like carbon hardmask layer that may be utilized to form stair-!ike structures for manufacturing three- dimensional stacking of semiconductor devices are provided. By utilization of the diamond-like hardmask layer with desired robust film properties and etching selectivity, an improved dimension and profile control of the resultant structures formed in a film stack may be obtained and the electrical performance of the chip devices may be enhanced in applications for three-dimensional stacking of semiconductor devices.

[00100] In summary, some of the benefits of the present disclosure provide a process for depositing diamond-like hardmask films on a substrate. Typical PECVD hardmask films have a very low percent of hybridized sp 3 atoms and hence low modulus and etch selectivity, in some implementations described herein, low process pressures (mTorr vs. Torr) and bottom driven plasma enables fabrication of films with approximately 60% or greater hybridized sp 3 atoms, which results in an improvement in etch selectivity relative to previously available hardmask films. In addition, some of the implementations described herein are performed at low substrate temperatures, which enable the deposition of other dielectric films at much lower temperatures than currently possible, opening up applications with low thermal budget that could not be currently addressed by CVD. Additionally, some of the implementations described herein may be used as an underlayer for an EUV lithography process. [00101] When introducing elements of the present disclosure or exemplary aspects or implementation(s) thereof, the articles "a," "an," "the" and "said" are intended to mean that there are one or more of the elements.

[00102] The terms "comprising," "including" and "having" are intended to be inclusive and mean that there may be additional elements other than the listed elements.

[00103] While the foregoing is directed to implementations of the present disclosure, other and further implementations of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.