Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
HIGH FORCE LOW VOLTAGE PIEZOELECTRIC MICROMIRROR ACTUATOR
Document Type and Number:
WIPO Patent Application WO/2022/112027
Kind Code:
A1
Abstract:
A micromirror array comprises a substrate, a plurality of mirrors for reflecting incident light and, for each mirror of the plurality of mirrors, at least one multilayer piezoelectric actuator for displacing the mirror, wherein the at least one multilayer piezoelectric actuator is connected to the substrate, and wherein the at least one multilayer piezoelectric actuator comprises a plurality of piezoelectric layers of piezoelectric material interleaved with a plurality of electrode layers to form a stack of layers. Also disclosed is a method of forming such a micromirror array. The micromirror array may be used in a programmable illuminator. The programmable illuminator may be used in a lithographic apparatus and/or in an inspection and/or metrology apparatus.

Inventors:
HASPESLAGH LUC (BE)
PANDEY NITESH (NL)
VAN DER WOORD TIES (NL)
YEGEN HALIL (NL)
OVERKAMP JIM (NL)
BRONDANI TORRI GUILHERME (BE)
GOORDEN SEBASTIANUS (NL)
KLEIN ALEXANDER (NL)
OSORIO OLIVEROS EDGAR (NL)
Application Number:
PCT/EP2021/081584
Publication Date:
June 02, 2022
Filing Date:
November 12, 2021
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
International Classes:
G03F7/20; G02B26/08; H01L41/047; H01L41/083
Domestic Patent References:
WO1998038597A21998-09-03
WO1998033096A11998-07-30
Foreign References:
US8724200B12014-05-13
EP2849238A12015-03-18
US20180073992A12018-03-15
US20160004070A12016-01-07
US5296891A1994-03-22
US5523193A1996-06-04
US5229872A1993-07-20
EP20072005A
US8294877B22012-10-23
US10254654B22019-04-09
US9946167B22018-04-17
Attorney, Agent or Firm:
ASML NETHERLANDS B.V. (NL)
Download PDF:
Claims:
CLAIMS

1. A microelectromechanical system, MEMS, comprising: a substrate; a plurality of MEMS elements; for each MEMS element of the plurality of MEMS elements, at least one multilayer piezoelectric actuator for displacing the MEMS element and connected to the substrate; and one or more pillars connecting the MEMS element to the at least one multilayer piezoelectric actuator, wherein the at least one multilayer piezoelectric actuator comprises a plurality of piezoelectric layers of piezoelectric material interleaved with a plurality of electrode layers to form a stack of layers, wherein the at least one multilayer piezoelectric actuator comprises a strip of flexible material connected at one end to the substrate and at the other end to a respective one of the pillars, the stack of layers being provided on the strip of flexible material and being configured to cause the strip to bend during use, and wherein the at least one multilayer piezoelectric actuator is substantially arranged underneath the MEMS element.

2. A microelectromechanical system, MEMS, according to claim 1 in which, in the stack of layers, electrode layers alternate with piezoelectric layers, there being a piezoelectric layer between each pair of neighboring electrode layers.

3. A microelectromechanical system, MEMS, according to claim 1 or claim 2, wherein when respective electric fields are induced across different ones of the plurality of layers of piezoelectric material in different respective directions as a result of voltages applied to ones of the plurality of electrode layers, the plurality of layers of piezoelectric material are all caused to bend in the same direction.

4. A microelectromechanical system, MEMS, according to any one of claims 1 to 3, wherein the plurality of layers of piezoelectric material each comprise a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field with a magnitude above a threshold.

5. A microelectromechanical system, MEMS, according to any one of claims 1 to 4, further comprising a voltage control system for controlling the multilayer piezoelectric actuator, wherein the voltage control system is configured to apply different corresponding voltages to different ones of the electrodes, to induce respective electric fields in different directions in different respective ones of the piezoelectric layers. 6. A microelectromechanical system, MEMS, according to claim 5 in which the plurality of electrode layers comprise a first set of electrode layers and a second set of electrode layers, the first set of electrode layers being interleaved with the second set of electrode layers, and wherein the voltage control system is configured to apply (i) a first voltage to each of the first set of electrode layers, and (ii) a second voltage to each of the second set of electrode layers, wherein the second voltage is lower than the first voltage.

7. A microelectromechanical system, MEMS, according to claim 6, wherein the first voltage differs from the second voltage by a voltage in the range 30 to 70 Volts.

8. A microelectromechanical system, MEMS, according to any of the preceding claims, wherein the at least one multilayer piezoelectric actuator further comprises a hinge connected to an end of the strip of flexible material and to the respective pillar, and wherein the hinge has a smaller cross section than the strip of flexible material in the elongation direction of the strip.

9. A microelectromechanical system, MEMS, according to any one of the preceding claims, comprising, for each MEMS element of the plurality of MEMS elements, at least one sensing element connected to the at least one multilayer piezoelectric actuator for sensing displacement of the MEMS element.

10. A microelectromechanical system, MEMS, according to claim 9, wherein the sensing element comprises a piezoresistor arranged so that displacement of the MEMS element causes the piezoresistor to deflect.

11. A microelectromechanical system, MEMS, according to claim 10 wherein the sensing element further comprises a temperature sensor to measure the temperature of the piezoresistor.

12. A microelectromechanical system, MEMS, according to any one of the preceding claims, comprising for each MEMS element four said multilayer piezoelectric actuators arranged so as to enable tip and tilt displacement control of the MEMS element.

13. A microelectromechanical system, MEMS, according to any one of the preceding claims, comprising, for each MEMS element of the plurality of MEMS elements, a heat diffuser for diffusing heat from the MEMS element, the heat diffuser comprising a heat sink and a thermally conductive post connecting the heat sink to the MEMS element.

14. A microelectromechanical system, MEMS, according to claim 13, wherein the heat sink comprises a flexible membrane, which allows the thermally conductive post to pivot when the MEMS element is displaced.

15. A microelectromechanical system, MEMS, according to claim 14, wherein the flexible membrane comprises a patterned silicon layer.

16. A microelectromechanical system, MEMS, according to any one of the preceding claims, wherein the MEMS is a micromirror array and wherein each MEMS element of the plurality of MEMS elements is associated with a mirror for reflecting incident light.

17. A microelectromechanical system, MEMS, according to any one of claims 1 to 15, further comprising a continuous reflective layer, wherein displacement of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer.

18. A microelectromechanical system, MEMS, according to claim 17, wherein each MEMS element comprises a displacement structure for supporting the continuous reflective layer and for translating displacements of the one or more piezoelectric actuators to the continuous reflective layer.

19. A microelectromechanical system, MEMS, according to claim 17, wherein each MEMS element is directly bonded to the continuous reflective layer or to a substrate on which the continuous reflective layer is located.

20. A microelectromechanical system, MEMS, according to claim 17, 18 or 19, wherein the continuous reflective layer is a multilayer reflector.

21. A microelectromechanical system, MEMS, according to claim 17 or 18, wherein the continuous reflective layer is a metal foil.

22. A programmable illuminator comprising a microelectromechanical system, MEMS, according to claim 16 for conditioning a radiation beam.

23. A programmable illuminator according to claim 22 and comprising a displacement control feedback system configured to determine for each mirror of the plurality of mirrors a position of the mirror and to adjust a voltage applied to the one or more multilayer piezoelectric actuators based on the determined position and based on a predefined target position of the mirror. 24. A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, comprising a programmable illuminator according to claims 22 or 23 for conditioning a radiation beam used to illuminate the patterning device and/or for conditioning a radiation beam used to measure a target structure on the substrate.

25. A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate comprising: a patterning device comprising a first microelectromechanical system according to any one of claims 17 to 20; and a mirror for projecting the pattern from the patterning device and comprising a second microelectro mechanical system according to any one of claims 16 to 20, wherein the mirror comprises an opening arranged to let through a radiation beam used to illuminate the patterning device; wherein the first MEMS is configured to redirect a first order diffraction from the patterning device falling inside the opening onto the mirror, and wherein the second MEMS is configured to compensate for the redirection so as to correct the projected pattern.

26. A lithographic apparatus according to claim 25, wherein the patterning device comprises a mask, and wherein the mask is arranged on the continuous reflective surface of the first MEMS.

27. A mask assembly comprising: a pellicle arranged to protect a surface of a patterning device from contamination; and a pellicle frame for supporting the pellicle and for fixing the pellicle relative to the patterning device, wherein the frame comprises a microelectromechanical system according to any one of claims 1 to 15, and wherein the MEMS is configured to displace at least part of the pellicle so as to apply stress to the pellicle.

28. A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, the lithographic apparatus comprising a mask assembly according to claim 27.

29. A grazing incidence mirror for reflecting light having an angle of incidence in the range of 75° to 89°, comprising a microelectromechanical system according to claim 17, 18 or 21.

30. A collector for collecting light from a light source to form a radiation beam, comprising a plurality of grazing incidence mirrors according to claim 29.

31. An inspection and/or metrology apparatus comprising a grazing incidence mirror according to claim 29, the grazing incidence mirror being configured to allow adjustment of a focus of a radiation beam on a substrate.

32. An inspection and/or metrology apparatus, comprising a programmable illuminator according to claim 22 or claim 23 for conditioning a radiation beam used to measure a target structure on a substrate.

33. A method of forming a microelectromechanical system, MEMS, comprising: providing a substrate; forming a plurality of MEMS elements for reflecting incident light; for each MEMS element of the plurality of MEMS elements, forming at least one multilayer piezoelectric actuator, comprising a plurality of piezoelectric layers of piezoelectric material interleaved with a plurality of electrode layers to form a stack of layers, for displacing the MEMS element and connected to the substrate; and forming one or more pillars connecting the MEMS element to the at least one multilayer piezoelectric actuator, wherein the at least one multilayer piezoelectric actuator comprises a strip of flexible material connected at one end to the substrate and at the other end to a respective one of the pillars, the stack of layers being provided on the strip of flexible material and being configured to cause the strip to bend during use, and wherein the at least one multilayer piezoelectric actuator is substantially arranged underneath the MEMS element.

34. A method according to claim 33 wherein the plurality of layers of piezoelectric material comprise a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field with a magnitude above a threshold.

35. A method according to claim 33 or 34: wherein the layers in the stack of layers alternate between an electrode layer and a layer of piezoelectric material, and wherein the first and last layers in the stack of layers in a direction of stacking are electrode layers.

36. A method according to any one of claims 33 to 35, wherein the step of forming at least one multilayer piezoelectric actuator further comprises: forming a curved strip of flexible material having one end connected to the substrate and another end connected to the pillar, the stack of layers being formed on the pillar; and forming a hinge connected to an end of the curved strip and to the pillar, wherein the hinge is thinner than the strip.

37. A method according to any one of claims 33 to 36, and comprising, for each MEMS element of the plurality of MEMS elements, forming a heat diffuser for diffusing heat from the MEMS element by forming a heat sink and a thermally conductive post connected to the MEMS element.

38. A method according to claim 37, wherein the step of forming the heat sink comprises forming a flexible membrane, which allows the thermally conductive post to pivot when the MEMS element is displaced.

39. A method according to any one of claims 33 to 38, wherein the step of forming one or more pillars comprises providing a thermally isolating layer in the or each pillar to reduce or prevent heat transfer from the MEMS element to the at least one multilayer piezoelectric actuator.

40. A method according to any one of claims 33 to 39 and comprising, for each MEMS element of the plurality of MEMS elements, forming at least one sensing element for sensing displacement of the MEMS element.

41. A method according to any one of claims 33 to 40, wherein the MEMS is a micromirror array and each MEMS element is associated with a mirror.

42. A method according to any one of claims 33 to 40, further comprising providing a continuous reflective layer on the plurality of MEMS elements so that displacements of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer.

Description:
HIGH FORCE LOW VOLTAGF. PIEZOELECTRIC MICROMIRROR ACTUATOR

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority of EP application 20210517.7 which was filed on November 30, 2020 and EP application 20213659.4 which was filed on December 14, 2020 which are incorporated herein in its entirety by reference.

FIELD

[0002] The present invention relates to a piezoelectric actuator for use in a microelectromechanical system (MEMS) such as a micromirror array, a MEMS comprising the piezoelectric actuator, a method for forming such a MEMS, a programmable illuminator comprising a micromirror array being such a MEMS, a lithographic apparatus comprising such a programmable illuminator and an inspection and/or metrology apparatus comprising such a programmable illuminator.

BACKGROUND

[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern at a patterning device onto a layer of radiation-sensitive material (resist) provided on a substrate. The term “patterning device” as employed in this text should be broadly interpreted as referring to a device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context. Generally, the pattern will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit or other device. Examples of such patterning devices include: [0004] - A mask (or reticle). The concept of a mask is well known in lithography, and it includes mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. Placement of such a mask in the radiation beam causes selective transmission (in the case of a transmissive mask) or reflection (in the case of a reflective mask) of the radiation impinging on the mask, according to the pattern on the mask. The mask may be supported by a support structure such as a mask table or mask clamp. This support structure ensures that the mask can be held at a desired position in the incoming radiation beam, and that it can be moved relative to the beam if so desired; [0005] - A programmable mirror array. One example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such a device is that (for example) addressed areas of the reflective surface reflect incident light as diffracted light, whereas unaddressed areas reflect incident light as undiffracted light. Using an appropriate filter, the undiffracted light can be filtered out of the reflected beam, leaving only the diffracted light behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix- addressable surface. An alternative embodiment of a programmable mirror array employs a matrix arrangement of tiny mirrors, each of which can be individually tilted about an axis, for example by applying a suitable localized electric field, or by employing electrostatic or piezoelectric actuation means. Once again, the mirrors are matrix-addressable, such that addressed mirrors will reflect an incoming radiation beam in a different direction to unaddressed mirrors; in this manner, the reflected beam is patterned according to the addressing pattern of the matrix-addressable mirrors. The required matrix addressing can be performed using suitable electronic means. In both of the situations described hereabove, the patterning means can comprise one or more programmable mirror arrays. More information on mirror arrays as here referred to can be gleaned, for example, from United States Patents US 5,296,891 and US 5,523,193, and PCT patent applications WO 98/38597 and WO 98/33096, which are incorporated herein by reference. Such a programmable mirror array may be supported by a support structure such as a frame or table, for example, which may be fixed or movable as required; and [0006] - A programmable LCD array. An example of such a construction is given in United

States Patent US 5,229,872, which is incorporated herein by reference. Such a programmable LCD array may be supported by a support structure such as a frame or table, for example, which may be fixed or movable as required.

[0007] For purposes of simplicity, the rest of this text may, at certain locations, specifically direct itself to examples involving a mask and a mask table; however, the general principles discussed in such instances should be seen in the broader context of the patterning means as hereabove set forth.

[0008] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation (here referred to often as simply “light”, though the wavelength may not be in the visible range). The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.

[0009] Besides the wavelength (l) of the radiation and the Numerical Aperture (NA) of the projection lens, the shape, or more generally the angular intensity distribution, of the illumination source is one of the most important parameters in enabling high resolution in lithography.

[00010] A micromirror array is a unit comprising an array of hundreds or thousands of micromirrors (often referred to below simply as “mirrors”), and which can be used in the illumination system of a lithographic apparatus to control the cross-sectional shape and intensity distribution of the light. Each micromirror reflects a spot of light and changing the angles of the micromirrors changes the positions of the spots and thus changes the shape of the radiation beam.

[00011] Microelectromechanical systems (MEMS) technology may be used to manufacture the micromirror array as a one-piece unit, and control the mirrors. For example, an electrostatic or piezoelectric MEMS system may be used to angle the mirrors. [00012] Currently micromirror arrays exist for shaping light having a wavelength in the deep ultraviolet spectrum (DUV), e.g. l = 193 nm. However, these micromirror arrays cannot be effectively used at shorter wavelengths as required for light in the extreme ultraviolet spectrum (EUV), e.g. l = 13.5 nm. New micromirror array technology is required for use with EUV radiation. Also, advantageous new applications for this new micromirror array technology are desired, for use with EUV and/or non-EUV radiation, e.g. visible light or DUV radiation.

[00013] PCT patent application PCT/EP2020/072005 (which was not published at the priority date of the present application) discloses a micromirror array which uses piezoelectric actuators to control the angles of mirrors in a micromirror array. The actuator includes a layer of piezoelectric material sandwiched between two electrode layers. The force that the piezoelectric actuator can deliver is related to the piezoelectric coefficient and the electric field through the piezoelectric layer, which itself depends upon the voltage difference between the electrode layers. To create a greater actuator force, a higher voltage difference must be applied to the electrode layers. However, particularly for a micromirror array that is used in a vacuum or low pressure environment, or in a plasma environment, increasing the voltage to very high values has serious drawbacks. For example, using high voltages in a vacuum or low pressure environment can initiate discharges. Conversely, in a gas environment, high voltages can initiate plasmas. Furthermore, applying high voltages requires high voltage electronics, and such electronics occupy an area on the micromirror array which scales with the voltage. Furthermore, the power consumption of the electronics also scales with the voltage. For reliable operation it is advantageous to keep the voltage below a certain critical level (e.g. < 70V), and for practical purposes, such as power reduction, it is beneficial to use even lower voltages (such as < 50V).

SUMMARY

[00014] According to a first aspect of the present invention there is provided a multilayer piezoelectric actuator for use within a microelectromechanical system that, for example, may be used in the illumination system of a lithographic apparatus or an inspection and or metrology apparatus to condition a radiation beam. The microelectromechanical system, MEMS, comprises a substrate and a plurality of MEMS elements, which may each comprise or be associated with a mirror for reflecting incident light. For each MEMS element, there is at least one piezoelectric actuator for displacing the MEMS element. A part of the piezoelectric actuator is connected to the substrate, and one or more pillars connect the, or each, piezoelectric actuator to the MEMS element. The piezoelectric actuator comprises a plurality of piezoelectric layers interleaved with a plurality of electrode layers. Thus, the piezoelectric actuator is termed a “multilayer piezoelectric actuator”. This has the advantage that even if the voltage between each pair of neighboring electrode layers is below a pre-determined limit, the total force developed by the multilayer piezoelectric actuator is increased. That is, by applying electric fields across the plurality of layers of piezoelectric material, the plurality of layers of piezoelectric material each can be caused to deform, and thereby stress the multilayer piezoelectric actuator and cause it to bend. The total bending force is the sum of the forces generated by each piezoelectric layer. For example, if there are four piezoelectric layers, the voltage difference between the corresponding electrode layers to either side of each piezoelectric layer only needs to be one quarter of the voltage that would have to be applied to two electrodes sandwiching a single layer of piezoelectric material having the same total thickness as the four piezoelectric layers, to obtain the same force.

[00015] In an embodiment, the at least one multilayer piezoelectric actuator comprises a strip of flexible material connected at one end to the substrate and at the other end to a respective one of the pillars, the stack of layers being provided on the strip of flexible material and being configured to cause the strip to bend during use. Advantageously, the at least one multilayer piezoelectric actuator is substantially arranged underneath the MEMS element.

[00016] In principle, the stack of layers may include other layers, such as a layer of elastic material which is not piezoelectric. However, the force developed by the multilayer piezoelectric actuator may be maximized, for a given thickness of the multilayer piezoelectric actuator, by arranging that the electrode layers alternate with the piezoelectric layers, such that a respective one of the piezoelectric layers is positioned between each pair of neighboring electrode layers in the stack. Typically, the two outer layers of the stack are electrode layers.

[00017] The microelectromechanical system further comprises a voltage control system for controlling the multilayer piezoelectric actuator by selectively (i.e. at times when flexing of the multilayer piezoelectric actuator is required) applying different corresponding voltages to different ones of the electrodes. In order that the total voltage between the two furthermost electrodes is not greater than a pre-determined limit, the voltage system may apply respective voltages to the electrode layers which do not monotonously increase or decrease in the thickness direction of the stack (i.e. the direction transverse to the layers). Thus, the direction of the electric field between neighbouring electrode layers in the stack is not the same for all pairs of neighbouring electrodes. For example, the electrode layers may be partitioned into a first plurality of electrode layers and a second plurality of electrode layers. The first plurality of electrodes may be interleaved with the second plurality of electrodes, and voltage control system may be configured to apply a first (e.g. high) voltage to the first plurality of electrode layers, and a second (lower) voltage to the second plurality of electrode layers. In this case, the direction of the electric field between each successive pair of neighbouring electrodes alternates. Thus, the direction of the electric field between neighbouring electrode layers in the stack alternates for successive pairs of neighbouring electrodes. Optionally, either of the first and second voltages may be the ground voltage.

[00018] In the case that one of the piezoelectric layers is positioned between each pair of neighbouring electrode layers, consecutive ones of the piezoelectric layers of piezoelectric material experience electric fields of opposite polarities (i.e. in opposite directions). The piezoelectric material in each piezoelectric layer is preferably selected such that in this case each of the piezoelectric layers generates a bending force which tends to urge the multilayer piezoelectric actuator to flex in the same sense (e.g. urges the multilayer piezoelectric actuator to flex in a sense which causes an exterior face of a certain one of the outer electrode layers of the multilayer piezoelectric actuator becomes concave). [00019] For example, the piezoelectric material used to form the plurality of layers of piezoelectric material may be a piezoelectric material which exhibits a unipolar strain response in response to an applied electric field, at least if the magnitude (strength) of the field is above a threshold; that is, the strain response will be the same regardless of the polarity of the electric field provided the strength of the electric field is above the threshold (i.e. either the strain response is a contraction irrespective of the field strength, or the strain response is an expansion irrespective of the field strength). For example, the piezoelectric material may exhibit a unipolar strain response to an applied electric field of any strength; that is, the strain response is the same (i.e. always an expansion, or always a contraction) regardless of both the strength and the polarity of the electric field (i.e. the threshold is zero). Alternatively, the piezoelectric material may be a material such as lead tirconate titanate (PZT) that exhibits a bipolar strain response in the presence of a sufficiently small applied electric field (i.e. the material will expand/contract depending upon the polarity of the applied electric field), and a unipolar strain response in response to an applied electric field when the strength of the electric field is sufficiently large (i.e. above a non-zero threshold). The voltages applied to the electrodes in the embodiment may be voltages which produce an electric field with a strength above the threshold (e.g. if the electrodes are in a face- to-face configuration sandwiching the piezoelectric layer, the strength of the field is the voltage difference between the pair of electrodes divided by the spacing of the electrodes). This is typically true at least in the case of one(s) of the piezoelectric layers to which the electrodes apply an electric field in the direction in which piezoelectric layer exhibits opposite responses according to whether the electric field strength is above or below the threshold.

[00020] The pillar(s) may be operative to support the MEMS element from the multilayer piezoelectric actuator(s). At times when the voltage control system applies appropriate voltages to the multilayer piezoelectric actuator, this causes the actuator to move the pillar and thereby displace the MEMS element, in order to change the angle of the MEMS element, for example to thereby change the direction in which a mirror reflects a radiation beam incident on the mirror. Preferably, the microelectromechanical system includes four multilayer piezoelectric actuators for each MEMS element, arranged so as to enable tip and tilt displacement control of the MEMS element.

[00021] Each multilayer piezoelectric actuator may comprise a strip of flexible material connected at one end to the substrate, with the pillar being located at the opposite end of the strip of flexible material. The stack of layers of the multilayer piezoelectric actuator may be positioned on the strip of flexible material. The strip and the plurality of layers of piezoelectric material may thereby form a cantilever, anchored to the substrate at one (fixed relative to the substrate) end and connected to the MEMS element via the pillar at the opposite (moving) end.

[00022] Each multilayer piezoelectric actuator may also comprise a hinge connected to an end of the strip and to the pillar. The hinge has a smaller cross section than the strip in the elongation direction of the strip (i.e. the cross section perpendicular to the length of the strip). For example, the hinge may be formed from the same material as the strip of flexible material (typically silicon), but be patterned to have a smaller cross section to increase its flexibility and thereby cause it to act as a hinge between the strip and the pillar. The reduced cross-sectional area can also decrease the thermal conductivity of the hinge compared to the strip of flexible material, which may therefore be advantageous in preventing heating of the multilayer piezoelectric actuator. The pillar may comprise a thermally isolating layer (e.g. oxide) to reduce or prevent heat transfer from the MEMS element to the multilayer piezoelectric actuator. The pillar may also be configured to electrically isolate the MEMS element from the multilayer piezoelectric actuator. This may prevent charge build up on the MEMS element from affecting the multilayer piezoelectric actuator.

[00023] The microelectromechanical system may also comprise, for each MEMS element in the array, a heat diffuser for diffusing heat from the MEMS element. In use, the microelectromechanical system will absorb some energy from the incident light, which increases the temperature of the device. This increase in temperature can decrease device performance. Typically, the microelectromechanical system is intended to operate in environment with a gas pressure far less than one atmosphere, in fact typically substantially in a vacuum, so heat convection is substantially zero. Instead, the heat diffuser allows heat to be conducted away, such as to the substrate. Typically, the heat diffuser comprises a flexible element connected between the MEMS element and the substrate and arranged to flex as the MEMS element is moved. Note that there is a trade-off between increased flexibility of the heat diffuser, and increased ability for the heat diffuser to conduct heat away from the MEMS element. Using multilayer piezoelectric actuator(s) allows increased force to be applied to the flexible element, in turn allowing the heat diffuser to be selected to provide improved thermal conductivity.

[00024] In one example, the heat diffuser may comprise a heat sink and a thermally conductive post connecting the heat sink to the MEMS element. The heat sink may comprise a flexible membrane, which allows the post to pivot when the MEMS element is displaced. The flexible membrane can be a patterned silicon layer, which has the advantage of being readily available in a CMOS manufacturing process, without requiring further masks or process steps. The flexible membrane can comprise grooves through the flexible membrane and extending from an outer edge of the heat sink towards the thermally conductive post. The grooves, which may be curved grooves, increase the flexibility of the membrane so as to not impede motion of the MEMS element. The multilayer piezoelectric actuators of preferred embodiments are selected to provide a level of force which is greater than the electrostatic actuators used in some conventional systems, and which is sufficient to deform the flexible member even though it has sufficient cross-sectional area (for example, as measured at the intersection of the flexible member with a circular-cylindrical surface with an axis coinciding with an axis of the post) to permit greater heat diffusion than that provided for conventional mirror arrays. This allows the present microelectromechanical system to be used in applications for which the conventional mirror arrays would be unsuitable. [00025] The heat sink may comprise a layer of metal, such as aluminum, which has a higher thermal conductivity compared to silicon. The layer of metal can also protect the heat sink from plasma. The thermally conductive post may also be electrically conductive and connected to ground, so as to prevent charge build up on the MEMS element, which may otherwise impede displacement control of the MEMS element.

[00026] The microelectromechanical system may further comprise, for each MEMS element in the array, a sensing element for sensing displacement of the MEMS element. The sensing element can allow accurate determination of the MEMS element position (e.g. tip and tilt angles), which may be important for providing feedback to the multilayer piezoelectric actuators. The sensing element may be connected to the multilayer piezoelectric actuator. For example, the sensing element may comprise a piezoresistor arranged so that displacement of the MEMS element causes the piezoresistor to deflect (that is, be deformed). The piezoresistor may have one (fixed) end connected to the substrate and another (moving) end connected to one of the MEMS element, the pillar, and the multilayer piezoelectric actuator. The voltage output from the piezoresistor may be proportional to the displacement of the MEMS element.

[00027] When the microelectromechanical system is a micromirror array, each mirror in the array is preferably suitable for reflecting light having a wavelength in the range of about 13nm, such as a narrow range centered substantially on 13.5nm. This enables the micromirror to be used with a lithographic apparatus operating in the extreme ultraviolet (EUV) spectrum.

[00028] Apart from the micromirror array, the microelectromechanical system, MEMS, may be used in other parts of a lithographic apparatus and/or an inspection and or metrology apparatus. For example, the MEMS may be used with a single (macro) mirror by locating the plurality of MEMS elements underneath and deforming the mirror surface. Accordingly, the MEMS may further comprise a continuous reflective layer, wherein displacement of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer. The reflective layer can be a multilayer reflector, for example when used in a patterning device or in a normal incidence mirror, or may be a single metal foil, for example when used in a grazing incidence mirror.

[00029] The MEMS elements can in some instances be directly bonded to the continuous reflective layer or to a continuous substrate on which the continuous reflective layer is located (for example, the pillars directly attached to the piezoelectric actuators can be directly bonded to the back of the reflective layer). Alternatively, each MEMS element may comprise a displacement structure for supporting the continuous reflective layer and for translating displacements of the one or more piezoelectric actuators to the continuous reflective layer. The displacement structure may be formed in a separate wafer and bonded to the other part of the MEMS. The displacement structure may be a rectangular layer or block, which is tipped and tilted when displaced by the piezoelectric actuators. Other shapes of the displacement structure are also of course possible (e.g. hexagonal in the horizontal plane), depending on the type of deformation of the overlying structure that is required.

[00030] According to a second aspect of the present invention there is provided a programmable illuminator that comprises a micromirror array being a microelectromechanical system according to the first aspect of the present invention for conditioning a radiation beam.

[00031] The programmable illuminator may further comprise a displacement control feedback system configured to determine for each mirror in the micromirror array a position of the mirror and to adjust a voltage applied to the associated multilayer piezoelectric actuators based on the determined position and based on a predefined target position of the mirror. The performance of the multilayer piezoelectric actuators may change over time, so that the initial calibration of displacement to applied voltage is no longer valid, and the displacement control feedback system can be used to adapt applied voltage based on the measured mirror position. The feedback system may comprise or make use of the sensing element of the micromirror array to determine the mirror position.

[00032] According to a third aspect of the present invention there is provided a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate. The lithographic apparatus comprises a programmable illuminator according to the second aspect of the present invention for conditioning a radiation beam used to illuminate the patterning device and/or for conditioning a radiation beam used to measure a target structure on the substrate. The micromirror array in the programmable illuminator may be used in an illumination system of a lithographic apparatus for example to control or condition a cross-sectional shape and/or intensity distribution of the light or radiation beam that is used to illuminate the patterning device. Alternatively or in addition, the micromirror array in the programmable illuminator may be used in an alignment system and or overlay measurement system, respectively, of the lithographic apparatus to control or condition a spectral and or spatial distribution of the light or radiation beam that is used to measure a position of an alignment mark(er) or target structure on the substrate and or to perform an overlay measurement of a mark(er) or target structure on the substrate, respectively.

[00033] According to a fourth aspect of the present invention there is provided an inspection and/or metrology apparatus that comprises a programmable illuminator according to the second aspect of the present invention for conditioning a radiation beam used to measure a target structure on a substrate. For example, the micromirror array in the programmable illuminator may be used to control or condition a spectral and/or spatial distribution of the light or radiation beam that is used by the inspection and or metrology apparatus to measure a target structure, e.g. a mark(er), on the substrate in order to determine the position of that target structure for alignment purposes and/or in order to perform an overlay measurement.

[00034] According to a fifth aspect of the present invention there is provided lithographic apparatus arranged to operate in a dark-field imaging mode when projecting a pattern from a patterning device onto a substrate. The apparatus comprises a patterning device (which may be referred to as a mask or a reticle) comprising a first MEMS according to the first aspect of the invention, wherein the first MEMS comprises a continuous reflective layer such as a multilayer reflector provided with a pattern for patterning the incident radiation beam. The apparatus further comprises a mirror for projecting the pattern from the patterning device and comprising a second MEMS according to the first aspect (e.g. a micromirror array), wherein the mirror comprises an opening arranged to let through the radiation beam used to illuminate the patterning device. The opening allows the radiation beam to have normal incidence on the patterning device. The first MEMS is configured to redirect a first order diffraction from the patterning device, which would otherwise fall inside the opening, and onto the mirror. The second MEMS is configured to compensate for the redirection so as to correct the projected pattern. The diffraction angle at any one point on the patterning device depends on the density or pitch of features of the patterning device at that point. A large pitch gives a small diffraction angle and requires a larger deformation of the surface of the patterning device to redirect the first order diffraction onto the mirror. The first MEMS can be pre-set to provide the appropriate local deformation across the patterning device and may then remain fixed throughout the patterning/scanning process. The second MEMS (i.e. the MEMS on the projection mirror) needs to dynamically update the displacements of the MEMS elements as the radiation beam is scanned across the patterning device in order to correctly compensate for the local deformation of the patterning device where the radiation beam is incident. [00035] According to a sixth aspect of the present invention there is provided a mask assembly, comprising a pellicle (a thin film) arranged to protect a surface of a patterning device from contamination, and a pellicle frame configured to support the pellicle and configured to fix the pellicle relative to the patterning device. The frame comprises a MEMS according to the first aspect of the invention, and the MEMS is configured to displace part of the pellicle so as to apply stress to the pellicle. The MEMS can displace a border area/portion of the pellicle to increase the stress, which can prevent the film from slacking and requiring replacement thereby prolonging the lifetime of the pellicle and mask assembly. Sensors in the MEMS elements can be used to determine the stress in the pellicle, and the MEMS elements can be individually controlled to apply stress locally across different sections of the pellicle.

[00036] According to a seventh aspect of the present invention, there is provided a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, the lithographic apparatus comprising a mask assembly according to the sixth aspect of the invention.

[00037] According to an eighth aspect of the present invention there is provided a grazing incidence mirror for reflecting light having an angle of incidence in the range of about 75° to 89°. The mirror comprises a MEMS according to the first aspect of the invention. The MEMS can comprise micromirrors or preferably a continuous reflective layer such as a metal foil. The continuous layer can prevent incident radiation from being scattered from the edges of the micromirrors and can also prevent plasma formation in the gaps and underneath the micromirrors. [00038] According to a ninth aspect of the present invention there is provided a collector for collecting light from a light source to form a radiation beam. The collector comprises a plurality of grazing incidence mirrors according to the eighth aspect of the present invention.

[00039] According to a tenth aspect of the present invention there is provided an inspection and/or metrology apparatus comprising a grazing incidence mirror according to the eighth aspect of the present invention, the grazing incidence mirror being configured to allow adjustment of a focus of a radiation beam on a substrate such as a wafer.

[00040] According to an eleventh aspect of the present invention there is provided a method of forming a microelectromechanical system. The method may be used to form a microelectromechanical system according to the first aspect of the present invention. The method of forming a microelectromechanical system comprises: providing a substrate, forming a plurality of MEMS elements and for each MEMS element in the array, forming at least one multilayer piezoelectric actuator for displacing the MEMS element. The multilayer piezoelectric actuator is connected to the substrate and comprises a plurality of piezoelectric layers interleaved with a plurality of electrode layers. The method further comprises forming one or more pillars for connecting the MEMS element to the at least one multilayer piezoelectric actuator.

[00041] The method may comprise forming a heat diffuser for diffusing heat from the MEMS element by forming a heat sink and a thermally conductive post connected to the MEMS element, wherein said step of bonding causes the thermally conductive post to connect to the heat sink. The step of forming the heat sink may comprise forming a flexible membrane, which allows the thermally conductive post to pivot when the MEMS element is displaced. The flexible membrane can be formed by patterning a silicon layer. The step of patterning the silicon layer may comprise forming grooves through the silicon layer that extend from an outer edge of the heat diffuser towards the thermally conductive post. The grooves may be curved grooves.

[00042] The step of forming a multilayer piezoelectric actuator may comprise forming a strip of flexible material connected at one end to the substrate and forming the layers of piezoelectric material and the electrode layers alternately on the strip of flexible material.

[00043] The step of forming the pillar may comprise providing a thermally isolating layer in the pillar to reduce or prevent heat transfer from the MEMS element to the multilayer piezoelectric actuator. [00044] The method may further comprise, for each MEMS element in the array, forming at least one sensing element connected to the at least one multilayer piezoelectric actuator for sensing displacement of the MEMS element. The step of forming the sensing element may comprise forming a piezoresistor arranged so that displacement of the MEMS element causes the piezoresistor to deflect. [00045] The step of forming at least one multilayer piezoelectric actuator for each MEMS element may include forming four multilayer piezoelectric actuators, and said step of forming at least one pillar then includes forming four pillars connected to the MEMS element, wherein said step of bonding causes each of the four pillars to connect to a respective multilayer piezoelectric actuator of the four multilayer piezoelectric actuators.

BRIEF DESCRIPTION OF THE DRAWINGS

[00046] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:

Figure 1 depicts a lithographic system comprising a lithographic apparatus with a (programmable) illuminator and a radiation source;

Figure la depicts a part of a lithographic apparatus;

Figure lb shows a part of a lithographic apparatus configured for dark-field imaging;

Figure lc depicts a known inspection and/or metrology apparatus;

Figure Id depicts a programmable illuminator for use in the inspection and/or metrology apparatus of Figure lc.

Figure 2 depicts a part of a micromirror array which is an embodiment of the invention comprising a mirror and four multilayer piezoelectric actuators;

Figure 2a depicts a multilayer piezoelectric actuator of the micromirror array of Figure 2.

Figure 3 depicts a stack of layers in a piezoelectric actuator of a comparative example comprising a single layer of piezoelectric material.

Figure 3a depicts schematically a cross-section of a stack of layers forming part of the multilayer piezoelectric actuator of the embodiment of Figure 2 comprising a plurality of layers of piezoelectric material and a plurality of electrode layers.

Figure 3b depicts a first possible stack of layers which can be used in the embodiment of Figure 2 comprising two layers of piezoelectric material.

Figure 3c depicts a second possible stack of layers which can be used in the embodiment of Figure 2 comprising three layers of piezoelectric material.

Figure 3d depicts a third stack of layers which can be used in the embodiment of Figure 2 comprising four layers of piezoelectric material.

Figure 4 depicts a schematic cross section of a part of a micromirror array according to an embodiment;

Figure 5 depicts a part of a micromirror array according to another embodiment having multiple different sensing elements for sensing mirror displacement;

Figure 6 depicts a part of a sensing element having a piezoresistor;

Figure 7 depicts a circuit diagram of a Wheatstone bridge;

Figure 8a depicts a configuration of resistors in a sensing element;

Figure8b depicts another configuration of resistors in a sensing element;

Figure 9a depicts a first step in a method of forming a micromirror array which is an embodiment of the invention; Figure 9b depicts a second step in a method of forming the micromirror array;

Figure 9c depicts a third step in a method of forming the micromirror array;

Figure 9d depicts a fourth step in a method of forming the micromirror array;

Figure 9e depicts a fifth step in a method of forming the micromirror array;

Figure 9f depicts a sixth step in a method of forming the micromirror array;

Figure 9g depicts a seventh step in a method of forming the micromirror array;

Figure 9h depicts an eighth step in a method of forming the micromirror array;

Figure 9i depicts a ninth step in a method of forming the micromirror array;

Figure 9j depicts a tenth step in a method of forming the micromirror array;

Figure 10a depicts a grazing incidence mirror being a micromirror array;

Figure 10b depicts a grazing incidence mirror according to another embodiment;

Figure 11 depicts a grazing incidence collector according to an embodiment;

Figure 12 depicts a part of an inspection and/or metrology apparatus comprising a grazing incidence mirror according to an embodiment;

Figure 13a depicts a schematic top view of a pellicle and pellicle frame according to an embodiment; and

Figure 13b shows a side view cross section of the pellicle and frame.

DETAILED DESCRIPTION

[00047] Figure 1 shows a lithographic system comprising a radiation source SO and a lithographic apparatus LA. The radiation source SO is configured to generate an EUV radiation beam B and to supply the EUV radiation beam B to the lithographic apparatus LA. The lithographic apparatus LA comprises an illumination system IL, a support structure or mask table MT configured to support a mask assembly 15 comprising a patterning device MA, a projection system PS and a substrate table WT configured to support a substrate W.

[00048] The illumination system IL is configured to condition the EUV radiation beam B before the EUV radiation beam B is incident upon the patterning device MA. Thereto, the illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11. The radiation beam B passes from the illumination system IL and is incident upon the mask assembly 15 held by the support structure MT. The mask assembly 15 includes a patterning device MA and, optionally, a pellicle 19, which, if present, is held in place by a pellicle frame 17. The patterning device MA reflects and patterns the radiation beam B’ . The illumination system IL may include other mirrors or devices in addition to, or instead of, the faceted field mirror device 10 and or the faceted pupil mirror device 11. For example, a micromirror array as described herein may be added to the illumination system IL in addition to the facetted field mirror device 10 and faceted pupil mirror device 11 as disclosed in US 8,294,877 B2, which is hereby incorporated in its entirety by reference, or may be used to replace one or both of the faceted field mirror device 10 and the faceted pupil mirror device 11 as disclosed in US 10,254,654 B2, which is hereby incorporated in its entirety by reference. In that case the illumination system IL, which now includes at least one micromirror array as described herein, is a programmable illuminator IL. Such a programmable illuminator IL may be used for conditioning a radiation beam used to illuminate the patterning device. For example, the programmable illuminator IL may be used to control or condition the EUV radiation beam B by providing it with a desired cross-sectional shape and/or a desired intensity distribution.

[00049] After being thus conditioned, the EUV radiation beam B illuminates the patterning device MA and interacts with it. As a result of this interaction, a patterned EUV radiation beam B’ is generated. The projection system PS is configured to project the patterned EUV radiation beam B’ onto the substrate W. For that purpose, the projection system PS may comprise a plurality of mirrors 13,14 which are configured to project the patterned EUV radiation beam B’ onto the substrate W held by the substrate table WT. The projection system PS may apply a reduction factor to the patterned EUV radiation beam B’, thus forming an image with features that are smaller than corresponding features on the patterning device MA. For example, a reduction factor of 4 or 8 may be applied. Although the projection system PS is illustrated as having only two mirrors 13,14 in Figure 1, the projection system PS may include a different number of mirrors (e.g. six or eight mirrors).

[00050] The substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus LA aligns the image, formed by the patterned EUV radiation beam B’, with a pattern previously formed on the substrate W.

[00051] A relative vacuum, i.e. a small amount of gas (e.g. hydrogen) at a pressure well below atmospheric pressure, may be provided in the radiation source SO, in the illumination system IL, and or in the projection system PS.

[00052] The radiation source SO may be a laser produced plasma (LPP) source, a discharge produced plasma (DPP) source, a free electron laser (EEL) or any other radiation source that is capable of generating EUV radiation.

[00053] In order to separate the optics in the illumination system IL (i.e. optics before the pattering device MA) and the optics of the projection system PS (i.e. the optics after the patterning device MA), a so called chief ray angle of optics (CRAO) may be used, such that the radiation beam B is incident on the patterning device MA at an angle.

[00054] Figure la shows a part of a lithographic apparatus, such as the lithographic apparatus LA of Figure 1, wherein the radiation beam B is incident on the patterning device MA. The patterning device is typically a mask (e.g. a binary, alternating phase-shift, or attenuated phase-shift mask) on a multilayer reflector. The multilayer reflector typically comprises alternating layers of e.g. Mo or Ru and Si. The layer thicknesses is determined by the target wavelength (e.g. 13.5 nm for EUV) so as to maximize the reflectivity at that wavelength and thereby optimize overall throughput. The reflectivity of the multilayer reflector has an angular dependence, which needs to be taken into account when used for lithography. [00055] The radiation beam is generally a conditioned radiation beam B that has been conditioned by optics of the illumination system IL (e.g. mirrors 10 and 11 of Figure 1). The radiation beam B is incident on the patterning device MA at an angle within the numerical aperture NA centered on the CRAO. The patterning device MA has to reflect over a large angular bandwidth, to support the NA. If the angle of incidence is too large, then the patterning device MA may not accurately reflect the radiation beam B. Therefore, the angular bandwidth of the patterning device limits the maximum NA achievable at the patterning device MA.

[00056] Figure lb shows a part of a lithographic apparatus, such as the lithographic apparatus LA of Figure 1, with an alternative configuration for separating the optics in the illumination system IL and the optics of the projection system PS using dark-field imaging. In this configuration, the radiation beam B has substantially normal incidence on the patterning device MA, which can therefore reduce the requirements on the angular bandwidth of the patterning device MA compared to CRAO. The first mirror 101 of the projection optics comprises an opening 102 for letting the radiation beam B through. The radiation beam B is diffracted by the patterning device MA, with the zeroth order diffraction sent back through the opening 102 into the illuminator (not shown). Higher order diffractions, such as the + 1 and -1 diffraction orders are incident on the mirror 101 outside the opening 102 and can be projected onto the wafer (not shown) via the projection system PS.

[00057] As was described briefly above, the mask assembly 15 may include a pellicle 19 that is provided adjacent to the patterning device MA. The pellicle 19, if present, is provided in the path of the radiation beam B such that radiation beam B passes through the pellicle 19 both as it approaches the patterning device MA from the illumination system IL and as it is reflected by the patterning device MA towards the projection system PS. The pellicle 19 may comprise a thin film that is substantially transparent to EUV radiation (although it will absorb a small amount of EUV radiation). By EUV transparent pellicle or a film substantially transparent for EUV radiation herein is meant that the pellicle 19 is transmissive for at least 65% of the EUV radiation, preferably at least 80% and more preferably at least 90% of the EUV radiation. The pellicle 19 acts to protect the patterning device MA from particle contamination.

[00058] Whilst efforts may be made to maintain a clean environment inside the lithographic apparatus LA, particles may still be present inside the lithographic apparatus LA. In the absence of a pellicle 19, particles may be deposited onto the patterning device MA. Particles on the patterning device MA may disadvantageous^ affect the pattern that is imparted to the radiation beam B and therefore the pattern that is transferred to the substrate W. The pellicle 19 advantageously provides a barrier between the patterning device MA and the environment in the lithographic apparatus LA in order to prevent particles from being deposited on the patterning device MA.

[00059] The pellicle 19 is positioned at a distance from the patterning device MA that is sufficient that any particles that are incident upon the surface of the pellicle 19 are not in a field plane of the lithographic apparatus LA. This separation between the pellicle 19 and the patterning device MA acts to reduce the extent to which any particles on the surface of the pellicle 19 impart a pattern to the radiation beam B that is imaged onto the substrate W. It will be appreciated that where a particle is present in the beam of radiation B, but at a position that is not in a field plane of the beam of radiation B (i.e., not at the surface of the patterning device MA), then any image of the particle will not be in focus at the surface of the substrate W. In the absence of other considerations it may be desirable to position the pellicle 19 a considerable distance away from the patterning device MA. However, in practice the space which is available in the lithographic apparatus LA to accommodate the pellicle is limited due to the presence of other components. In some embodiments, the separation between the pellicle 19 and the patterning device MA may, for example, be approximately between 1 mm and 10 mm, for example between 1 mm and 5 mm, more preferably between 2 mm and 2.5 mm.

[00060] Figure lc shows an inspection and/or metrology apparatus that is known from US 9,946,167 B2, which is hereby incorporated in its entirety by reference. Figure lc corresponds to Figure 3a of US 9,946,167 B2. The inspection and/or metrology apparatus is a dark field metrology apparatus for measuring e.g. overlay and/or alignment.

[00061] In lithographic processes, it is desirable to frequently make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements are known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, the accuracy of alignment of two layers in a device and alignment, i.e. the position of alignment marks on the substrate . Various forms of scatterometers have been developed for use in the lithographic field. These devices direct a beam of radiation onto a target structure, e.g. a grating or mark(er), and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a “spectrum” from which a property of interest of the target can be determined. Determination of the property of interest may be performed by various techniques: e.g., reconstruction of the target structure by iterative approaches such as rigorous coupled wave analysis or finite element methods; library searches; and principal component analysis.

[00062] The dark field metrology apparatus shown in Figure lc may be a stand-alone device/system or may be incorporated in the lithographic apparatus LA as an alignment system and/or as an overlay measurement system (not shown). An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. In this apparatus, light emitted by radiation source 111 (e.g., a xenon lamp) is directed onto a substrate W via a beam splitter 115 by an optical system comprising lenses 112, 114 and objective lens 116. These lenses are arranged in a double sequence of a 4F arrangement. Therefore, the angular distribution at which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane, here referred to as a (conjugate) pupil plane. In particular, this can be done by inserting an aperture plate 113 of suitable form between lenses 112 and 114, in a plane which is a back-projected image of the objective lens pupil plane. In the example illustrated, aperture plate 113 has different forms, labeled 113N and 113S, allowing different illumination modes to be selected. The illumination system in the present example forms an off-axis illumination mode. In the first illumination mode, aperture plate 113N provides off-axis from a direction designated, for the sake of description only, as ‘north’ . In a second illumination mode, aperture plate 113S is used to provide similar illumination, but from an opposite direction, labeled ‘south’. Other modes of illumination are possible by using different apertures. The rest of the pupil plane is desirably dark, as any unnecessary light outside the desired illumination mode will interfere with the desired measurement signals.

[00063] A target structure (not shown), e.g. a grating or mark(er), on substrate W is placed normal to the optical axis O of objective lens 116. A ray of illumination impinging on the target structure from an angle off the axis O gives rise to a zeroth diffraction order ray and two first diffraction order rays. Since the aperture in plate 113 has a finite width (necessary to admit a useful quantity of light) the incident rays will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray. Note that the grating pitches and illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis.

[00064] At least the 0 and +1 orders diffracted by the target on substrate W are collected by objective lens 116 and directed back through beam splitter 115. Both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S). When the incident ray is from the north side of the optical axis, that is when the first illumination mode is applied using aperture plate 113N, the +1 diffracted rays, which are labeled +1(N), enter the objective lens 116. In contrast, when the second illumination mode is applied using aperture plate 113S the -1 diffracted rays (labeled -1(S) are the ones which enter the lens 116.

[00065] A second beam splitter 117 divides the diffracted beams into two measurement branches. In a first measurement branch, optical system 118 forms a diffraction spectrum (pupil plane image) of the target on first sensor 119 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams. Each diffraction order hits a different point on the sensor, so that image processing can compare and contrast orders. The pupil plane image captured by sensor 119 can be used for focusing the inspection and/or metrology apparatus and/or normalizing intensity measurements of the first order beam. The pupil plane image can also be used for many measurement purposes such as reconstruction. [00066] In the second measurement branch, an optical system including lenses 120, 122 forms an image of the target on the substrate W on sensor 123 (e.g. a CCD or CMOS sensor). In the second measurement branch, an aperture plate referred to as field stop 121 is provided in a plane that is conjugate to the pupil-plane. This plane will be referred to as an ‘intermediate pupil plane’ when describing the invention. Field stop 121 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 123 is formed only from the -1 or +1 first order beam. The images captured by sensors 119 and 123 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed. Note that the term ‘image’ is used here in a broad sense. An image of the grating lines as such will not be formed, if only one of the -1 and +1 orders is present.

[00067] The illumination system of the inspection and/or metrology apparatus comprises an illuminator 110. As shown in Figure lc, this illuminator 110 comprises lens 112 and aperture plate 113. More details of the inspection and or metrology apparatus can be found in US 9,946,167 B2.

[00068] Figure Id shows a programmable illuminator 140 for use in the inspection and or metrology apparatus of Figure lc. This programmable illuminator 140 can be used in the inspection and or metrology apparatus of Figure lc instead of the illuminator 110. The programmable illuminator 140 comprises a micromirror array 133 according to the present invention as well as a low NA relay 4F system 135 comprising a pair of lenses. Radiation or light from a radiation source 130 (not part of the programmable illuminator 140), e.g. a broad band radiation source or white light source, may be directed via an optional fiber 131 and an optional collimating lens system 132 to the micromirror array

133. A processing unit PU can control the micromirror array 133 in such a way that the micromirrors

134, or more precise the mirrors in the micromirrors 134, in the micromirror array 133 are tilted individually. By tuning the tilt angle of each individual mirror independently, the spatial distribution of the light that is output by the low NA relay system 135 can be controlled and various illumination modes can be made as desired without having to use aperture plates. If the programmable illuminator 140 is used in the inspection and or metrology apparatus of Figure lc it interfaces with lenses 114, meaning that the light that is output by the low NA relay system 135 is received by the lenses 114 of Figure lc. [00069] In order to control the spectral distribution of the light that is output by the low NA relay system 135 at least part of the mirrors in the micromirror array 133 may comprise a grating on top of the mirror surfaces (not shown). The grating may be the same for all mirrors or, alternatively, different gratings, e.g. gratings having different pitches, may be used. By appropriate control of the micromirror array 133 the light that is output by the low NA relay system 135 comprises a single wavelength or a single (narrow) range of wavelengths. It is however also possible to control the micromirror array 133 in such a way that the light that is output by the low NA relay system 135 comprises a number of different wavelengths or a number of different (narrow) ranges of wavelengths. The gratings may be lithographically patterned on the mirror surfaces. Each mirror with grating diffracts light of different wavelengths in different directions according to the associated grating equation. A portion of the diffracted light is captured by the low NA relay system 135 and an image is formed. By tuning the angle of each mirror independently, the light distribution at the output can be controlled both spatially and spectrally as (a) certain diffraction order(s) will be captured by the low NA relay system 135 and (an)other diffraction order(s) will not be captured. Such a spatial and spectral light distribution can be used advantageously for example for illuminating and measuring an overlay target structure on a substrate or for measuring the position of an alignment mark on a substrate. In this text, the terms target structure, target, mark, marker and grating are, where the context allows, all synonyms of each other. [00070] The spectral bandwidth of the diffracting beam which can be captured by the low NA relay system 135 is dl=P.NA where P is the pitch of the grating and NA is the numerical aperture of the low NA relay system 135. With P=500nm and NA=0.02 the spectral bandwidth is lOnm, meaning that a diffraction order of the grating comprises a range or band of wavelengths of lOnm.

[00071] The spatial resolution of the low NA relay system 135 is ~ l/NA. With l=850hhi and NA=0.02 the spatial resolution is 42.5 micrometer. If the size of the mirrors Is greater than 42.5 micrometer, each mirror can be resolved. A reasonable size of a mirror is 100x100 micrometer. [00072] By rotating/tilting the mirrors around their individual axis, a different central wavelength band can be directed into the low NA relay system 135. The rotating range of each mirror required for operation over the visible wavelength range should be Dl/2R, where Dl=400hhi for an operating wavelength range of 450nm-850nm. This means that each mirror must be able to rotate by 0.4 radians. [00073] The MEMS system shown in Figure 2 is a micromirror with a mirror 20 and four multilayer piezoelectric actuators 21 for displacing the mirror 20. In other embodiments (not shown) the micromirror may have a different number of multilayer piezoelectric actuators 21 for displacing the mirror 20. In all these embodiments the micromirror has at least one multilayer piezoelectric actuator 21 for displacing the mirror 20. A number of micromirrors as shown in Figure 2 can be arranged in an array to form a micromirror array.

[00074] Figure 2 shows a MEMS system with a mirror 20 which may be a part of a micromirror array according to an embodiment. The MEMS system has a four-fold rotational symmetry about an axis. In particular, four multilayer piezoelectric actuators 21 are arranged symmetrically under the mirror 20 to enable tip and tilt displacement of the mirror 20. The mirror 20 is typically rectangular (which in this document is used to include square) with each side being in the range 0.5mm to 2.5mm. For example, it may be square with a 1 mm 2 surface area. In other embodiments the mirror may be another shape, such as hexagonal. Figure 2a shows a piezo actuator 21 of the MEMS system of Figure 2a, omitting the other elements of MEMS system.

[00075] Each multilayer piezoelectric actuator 21 has a curved strip of flexible material 22, fixed to the underlying substrate (not shown) at one end and connected at the other end via a hinge 23 to a pillar 24. The strip of flexible material 22 has an elongation direction which at rest lies substantially parallel to the plane of the front surface of the mirror 20. As described in more detail below, the strip of flexible material 22 has a plurality of layers of piezoelectric material provided on it, and a plurality of electrode layers to which a voltage can be applied in order to activate the multilayer piezoelectric actuator 21. The piezoelectric material is one (e.g. PZT) that exhibits a bipolar strain response in the presence of an applied electric field with a strength above a threshold The voltages may be sufficient to cause an electric field having a strength above the threshold. This may be true of the electric fields in all the piezoelectric layers, or true at least in the piezoelectric layers in which the voltages generate an electric field in the direction for which the expansion/contraction response of the piezoelectric layer is different for field strengths above and below the threshold.

[00076] When activating the multilayer piezoelectric actuator 21, the strip 22 bends, acting as a cantilever, to displace the mirror 20 via the pillar 24. The magnitude of the displacement is a function of the applied voltage and the number of layers of piezoelectric material (as well as being a function of other parameters, such as the geometry of the multilayer piezoelectric actuator). The hinge 23 is formed by narrowing the strip 22 at one end, so that the cross sectional area of the hinge 23 transverse to the elongation direction of the strip 22 is smaller than that of the strip 22. The hinge 23 is therefore less stiff than the strip 22, which allows it to act as a hinge. The hinge is typically required to flex in a plane transverse to an axis direction, which itself is transverse to the elongation direction of the strip 22. [00077] In the embodiment as shown, it can be seen that the multilayer actuator 21, which is arranged on the strip 22, is substantially arranged underneath the mirror 20, in general the MEMS element that is displaced. So, when viewed from above, i.e. along the indicated Z-direction, the mirror 20 would substantially cover the actuation system, i.e. the strip 22 and the actuator 21. By positioning the multilayer actuator substantially underneath the MEMS element that is displaced, e.g. mirror 20, a multi-mirror array can be realized whereby adjacent mirrors can be arranged close to each other, resulting in a multi-mirror capable of reflecting a large portion of an incident light or radiation beam. [00078] The microelectromechanical system (MEMS) used to displace the mirrors 20 can be advantageously incorporated in other parts of the lithographic apparatus and/or the inspection and/or metrology apparatus. Looking at Figure 2, the mirror 20 of each MEMS element can be replaced by a displacement structure being any form of non-reflective object that fits over the MEMS element, and which translates the displacement from the piezoelectric actuators 21. Such a displacement structure can have the same shape and dimensions as the mirror (e.g. square as in Figure 2), but does not require a reflective top surface. Instead of directly reflecting incident light, the displacement structure can be used to deform an overlying structure, such as a continuous reflective layer of a mirror. In this way, the MEMS can be incorporated in the patterning device to deform the multilayer reflector and thereby redirect incident light. Alternatively, instead of replacing the mirror 20 with a displacement structure, the pillars 24 connected to the piezoelectric actuators 21 may be directly bonded to the overlying structure. That is, the pillars 24 may be directly bonded to the underside of the overlying structure (e.g. the underside of a multilayer reflector), in order to deform that structure with the piezoelectric actuators 21

[00079] Figure 3 shows a comparative example of a piezoelectric actuator in the system of PCT/EP2020/072005, comprising a single layer piezoelectric material 307. The actuator is formed on a strip of flexible material 305, and includes an insulating layer of silicon oxide and aluminum oxide 310. The piezoelectric actuator also comprises a respective electrode layer 308 on each surface of the layer of piezoelectric material 307. In order to bend the piezoelectric actuator, an electric field must be induced across the piezoelectric material 307. This is achieved by creating a potential difference between the two electrodes 308, by having a high voltage in a first electrode, and a lower voltage in a second electrode. The force generated by the layer of piezoelectric material 307 is proportional to the voltage applied and the piezoelectric coefficient. In order to increase the amount that the piezoelectric actuator bends, the potential difference between the two electrodes 308 must be increased, which requires higher voltages to be applied to the first electrode. Applying high voltages between the electrodes can have serious drawbacks. For example, using high voltages in a vacuum or low pressure environment can initiate discharges. Additionally, high voltages can initiate plasmas. Using a high voltage also increases the power consumption, and requires high voltage electronics to drive the actuator, which occupies a significant area of the micromirror.

[00080] Figure 3a shows schematically an example of a multilayer piezoelectric actuator in the embodiment of the invention illustrated by Figure 2. It comprises a plurality of substantially flat piezoelectric layers 307a, 307b of a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field across the piezoelectric layers 307a, 307b (at least if the magnitude of the electric field is above a threshold). The piezoelectric layers 307a, 307b are stacked on top of one another, and are separated by substantially-flat electrode layers 308a, 308b. The piezoelectric layers 307a 307b and electrode layers 308a, 308b collectively form a stack of layers. The first and last layers of the stack of layers in a direction of stacking (i.e. the vertical direction in Figure 3a, transverse to all the layers) are electrode layers 308a. The electrode layers 308a, 308b are made of platinum (Pt) and LaNi03 (LNO). The electrode layers 308a 308b are divided into a first set of electrode layers 308a and a second set of electrode layers 308b. A first voltage is applied to each of the electrode layers in the first set of electrode layers 308b. Specifically, in this example, a first voltage of 50V is applied to the first set of electrode layers 308a. The electrode layers in the second set of electrode layers 308b are grounded. In this example, the electrode layers 308a, 308b alternate so that if one electrode layer in the stack of electrode layers is part of the first set of electrode layers 308a, then the next electrode layer in a stacking direction of the stack of layers will be part of the second set of electrode layers 308b. By alternating the electrode layers in this way, an electric field is induced between two consecutive electrode layers 308, and the direction of the field induced alternates across consecutive layers of piezoelectric material 307a, 307b, as indicated by the arrows. It is shown downward for the layers 307a, and upward for the layers 307b. This, in effect, means that the piezoelectric effect in any one layer of piezoelectric material is independent of the piezoelectric effect in any of the other layers. This electric field acts on the piezoelectric layers 307a, 307b generating expansion/contraction, which leads to a bending force (mechanical strain) when the construction of the multilayer piezoelectric actuator allows layers towards the top of the stack to expand by a different amount to layers at the bottom of the stack. Because the piezoelectric layers 307a, 307b are made of a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold), such as PZT, each of the piezoelectric layers generates a bending force in the same sense (e.g. such as to cause an exterior (top) surface of the top electrode 308a in the stack to become concave), regardless of the direction of the electric field. This force may be have substantially the same amplitude in each of the piezoelectric layers 307a, 307b if the electric field has the same amplitude across each of the piezoelectric layers 307a, 307b, and if those piezoelectric layers are substantially identical.

[00081] In summary, when the multilayer piezoelectric actuator comprises multiple layers 307a, 307b of piezoelectric material, each having separate electric fields applied to them, the total force on the actuator will be equal to the number of layers of piezoelectric material multiplied by the force developed by each individual layer (assuming the same force is developed by each layer).

[00082] Figure 3b, shows a first specific example according to the schematic structure of Figure 3a. It is a portion of a multilayer piezoelectric actuator which can be used in the embodiment of Figure 2, comprising two layers of piezoelectric material 307, 307b and three electrode layers 308a, 308b. Moving in the downward direction in Figure 3a, the first layer of the stack of layers is an electrode layer 308a (which is one of the first set of electrode layers), the second layer is a first piezoelectric layer 307a, the third layer is an electrode layer 308b (which is one of the second set of electrode layers), the fourth layer is a second piezoelectric layer 307b, and the fifth layer is an electrode layer 308a (which is also one of the first set of electrode layers 308a). The multilayer piezoelectric actuator also includes a sixth layer which is a layer of silicon oxide and aluminum oxide 310, and a seventh layer 305 which is the strip of flexible material. The electric fields induced across the first piezoelectric layer 307a and the second piezoelectric layer 307b are in opposite directions. However, as both piezoelectric layers comprise a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold), both layers are caused to bend in the same direction. The present configuration of a multilayer piezoelectric actuator with multiple piezoelectric layers 307a, 307b has notable advantages over the multilayer piezoelectric actuator of Figure 3 comprising a single layer of piezoelectric material 307. For example, supposing that the layers 307a, 307b have the same total thickness as the piezoelectric layer 307, the layers 307a, 307b, each with a voltage of 50V across them in opposite senses, develop the same force as the layer 307 develops when a voltage of 100V is applied between the electrodes 308. This is achieved in the arrangement of Figure 3b even though no two points in the embodiment have a voltage difference between them greater than 50V. Using lower voltages reduces (or eliminates) the risk of initiating discharges in a vacuum or low pressure environment, and in a gaseous environment reduces (or eliminates) plasmas being initiated.

[00083] Figures 3c and 3b show second and third specific examples according to the schematic structure of Figure 3 a. Each is a portion of a multilayer piezoelectric actuator which can be used in the embodiment of Figure 2. In the actuator of Figure 3c there are three piezoelectric layers 307a, 307b of a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold). In the actuator of Figure 3d there are four piezoelectric layers 307a, 307b of a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold). In both of these figures, the electric fields induced across the first piezoelectric layers 307a are in the opposite direction to the fields induced across the second piezoelectric layers 307b. As both the first piezoelectric layers 307a and the second piezoelectric layers 307b comprise (or substantially consist of) a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold), both the first piezoelectric layers 307a and the second piezoelectric layers 307b are caused to bend in the same direction when first and second voltages are applied to the electrodes 308a, 308b respectively.

[00084] The configuration of figure 3c allows for generation of a force three times as strong as the force generated in the actuator in figure 3 for the same maximum voltage difference between any two points in the actuator, assuming that the layers 307a, 307a of Figure 3d have the same total thickness as the layer of 307 of Figure 3. From another point of view, to develop the same force as the actuator of Figure 3, the voltage difference between the electrode layers 308a and the electrode layers 308b only needs to be one third of the voltage difference between the electrode layers 308 of Figure 3.

[00085] The configuration of figure 3d allows for generation of a force four times as strong as the force generated in the actuator in figure 3 for the same maximum voltage difference between any two points in the actuator, assuming that the layers 307a, 307a of Figure 3d have the same total thickness as the layer of 307 of Figure 3. From another point of view, to develop the same force as the actuator of Figure 3, the voltage difference between the electrode layers 308a and the electrode layers 308b only needs to be one quarter of the voltage difference between the electrode layers 308 of Figure 3.

[00086] Referring again to Figure 2, the mirror 20 is also connected to a heat diffuser, comprising a thermally conductive post 25 connected to the center of the back of the mirror 20, and a heat sink 26 connected to the other end of the post 25. In a rest condition, the length direction of the post 25 is the axis of four-fold rotational symmetry of the MEMS system. The thermally conductive post 25 is arranged to transfer heat from the mirror 20 to the heat sink 26, which diffuses the heat over a relatively large surface area. The heat sink 26 comprises a flexible membrane being a circular, patterned silicon layer. It may alternatively have multiple layers; if so, one or more (e.g. all) of the layers may be silicon, and one or more layers may be of material of other than silicon. The flexible membrane has curved grooves 27 formed in it, which increase the flexibility of the flexible membrane. In use, when the mirror 20 is displaced, the thermally conductive post 25 pivots and elastically deforms the flexible membrane. [00087] Each multilayer piezoelectric actuator 21 is associated with a sensing element 28, being a piezoresistor fixed to the pillar 24 at one end and to the underlying substrate at the other. As the pillar 24 is displaced, the piezoresistor is stressed/deformed, which changes the electric properties of the piezoresistor, from which the displacement can be determined. For example, the piezoresistor may be connected in a Wheatstone bridge, configured so that the output voltage of the bridge is a function of the displacement of the mirror 20. The output from the piezoresistor is temperature sensitive, and temperature compensation may be used to increase the accuracy of displacement measurements. [00088] Figure 4 shows a schematic diagram of a cross section of a part of a micromirror array 300 according to an embodiment. Certain preferred dimensions of the embodiment are indicated in Figure 4 in microns (denoted “u”). A mirror 301 is connected to a multilayer piezoelectric actuator 302 via a pillar 303. The pillar 303 comprises layers of silicon, germanium, aluminum and oxide having a combined thickness of 151 pm. It further comprises a thermally isolating layer 304 in the form of an oxide layer which has a thickness of 1 pm. The layer 304 provides both thermal and electrical insulation to protect the multilayer piezoelectric actuator 302. In particular it may reduce or prevent heat transfer from the mirror 301 to the at least one multilayer piezoelectric actuator 302.

[00089] The multilayer piezoelectric actuator 302 comprises a strip of flexible material 305, being a 5 pm thick strip of silicon, connected to a substrate 306 at the opposite end from the pillar 303. The multilayer piezoelectric actuator 302 also comprises a plurality of layers of piezoelectric material 307a, 307b which are layers of PZT each having a thickness in the range of 500 nm to 2 pm. The piezoelectric layers 307a, 307b are spaced apart by an electrode layer 308b, and collectively sandwiched by two electrode layers 308a. The electrode layer 308a closest to the strip of flexible material 305 is bonded to the strip of flexible material 305 by a layer of nitride (SiN) 309 having a thickness of about 100 nm. The stack of SiN/Pt/LNO/PZT/Pt/LNO is covered by a layer of silicon oxide and aluminum oxide 310. Applying a voltage to the electrodes 308 causes the plurality of layers of piezoelectric material 307a, 307b to expand or contract, but because the plurality of layers are constrained at the interface to the strip of flexible material 305, the combined system of the plurality of layers of piezoelectric material 307a, 307b and the strip of flexible material 305 bends. This bending motion of the multilayer piezoelectric actuator 302 displaces the pillar 303 and thereby also the mirror 301.

[00090] In the embodiment as shown, it can be seen that the multilayer actuator 302, is substantially arranged underneath the mirror 301. By positioning the multilayer actuator 302 substantially underneath the mirror 301, a multi-mirror array can be realized whereby adjacent mirrors can be arranged close to each other, resulting in a multi-mirror capable of reflecting a large portion of an incident light or radiation beam.

[00091] A sensing element 311 comprising a piezoresistor is embedded in the strip of flexible material 305 and covered by oxide. The sensing element 311 is arranged to sense the deflection of the multilayer piezoelectric actuator 302.

[00092] The multilayer piezoelectric actuator 302 is electrically connected to the substrate 306 by a through silicon via (TSV) 312. The micromirror array further includes application specific integrated circuits (ASICs) 313. These function as a voltage control system to apply the voltages shown in Figure 3a to the electrodes 308a, 308b of the multilayer piezoelectric actuator 302, and also to derive the output voltage from the sensing element 310. The voltages which the ASICs apply to the electrode layers are controlled using control signals generated by a controller (not shown) for the micromirror array and transmitted to the ASICs 313 though the TSVs 312. [00093] The mirror 301 is connected to a heat sink 314 by a thermally conductive post 315. The heat sink 314 and the thermally conductive post 315 together form a heat diffuser for dissipating heat from the mirror 301. The post 315 comprises layers of silicon, germanium and aluminum. The heat sink 314 comprises a flexible element, specifically in this example a flexible membrane of silicon, which allows the post 315 to move as the mirror 301 is displaced. The heat sink 314 is electrically connected to the substrate 306, and may be grounded to prevent charge build up on the mirror 301. The heat sink 314 and the strip of flexible material 305 are in the same plane and may be formed from the same silicon wafer. The spacing between the lower surface of the mirror 301 and the upper surface of the heat sink 314 and/or the strip of flexible material 305 may be in the range 50mhi-120mhi, such as about 80mhi. Typically, known micromirror arrays have a smaller spacing than this, such as only a few microns. The greater spacing is achieved in this embodiment because the (piezoelectric) actuation force is generated on the strip of flexible material 305, rather than, for example, by an electrostatic actuator mounted on the mirror itself, which would typically limit the range of movement of the mirror to the range of relative movement of components of the electrostatic actuator.

[00094] The spacing between the lower surface of the heat sink 314 and or the strip of flexible material 305, and the upper surface of the substrate may be in the range 50mhi-120mhi, such as about 80mhi.

[00095] Each mirror may be provided with one or more control units which are operative to recognize, in a received control signal, an address corresponding to the mirror, and, upon recognizing the address, to generate control voltages for one or more multilayer piezoelectric actuators of the mirror based on control information additionally contained in the control signal. Thus, the control units act as a voltage control system. The control units may be implemented as the ASICs 313 which receive the control signals using the vias 312 and control the corresponding multilayer piezoelectric actuator 302 based on it; in this case, the address in the control signal may specify not only the mirror but also the ASIC 313 for a given multilayer piezoelectric actuator 302. Using the control units, an external control system is able to individually control all the mirrors of the mirror array, by transmitting identical control signals to the control units of all of the mirrors, such that each control unit recognizes control signals addressed to it, and controls the corresponding multilayer piezoelectric actuator(s) accordingly. Positioning the ASICs 313 within the structure, e.g. supported above the substrate 306 and proximate to (e.g. substantially in plane with) the strip of flexible material 305, is achievable because the embodiment may be formed in multiple layers by a MEMS process, as described below.

[00096] Figure 5 shows a schematic diagram of a top view of a MEMS system 40 for controlling a mirror (not shown) in a micromirror array according to an embodiment. The system 40 comprises four multilayer piezoelectric actuators 41 connected to the mirror by respective pillars 42. Each multilayer piezoelectric actuator 41 comprises a strip of flexible material 43 and a hinge 44, wherein the pillar 42 is connected to the hinge 44 at one end of the strip 43 and wherein the other end of the strip 43 is connected to (that is, in a substantially fixed positional relationship to) the substrate (not shown). The system 40 also comprises a heat diffuser 45 for diffusing heat from the mirror when in use. The heat diffuser 45 comprises a circular silicon layer fixed to the substrate along an outer edge of the silicon layer and a thermally conductive post connected to the center of the silicon layer.

[00097] The system 40 comprises any one or more of five different types of sensing elements 46a to 46e illustrated in Figure 5 for sensing a displacement of the mirror. Each sensing element 46a to 46e comprises a piezoresistor arranged so that displacement of the mirror induces stress in the piezoresistor. Preferably, each of the actuators is provided with only one of these five types of sensing element 46a to 46e, and the same type of sensing element is used in each of the four actuators.

[00098] A first type of sensing element 46a comprises a curved beam located in the annular space between the heat diffuser 45 and the multilayer piezoelectric actuator 41 and fixed to the substrate at one end and to the pillar 42 at the opposite end. One or more piezoresistors may be formed in the beam. [00099] A second type of sensing element 46b comprises two folded beams comprising respective piezoresistors, each located in the annular space between the heat diffuser 45 and the multilayer piezoelectric actuator 41. One of the folded beams is connected to the substrate and to the pillar 42, whereas the other folded beam is connected to two different points of the substrate to provide a reference value.

[000100] A third type of sensing element 46c comprises a straight beam comprising a piezoresistor connected to the pillar 42 at one end and to the substrate at the other end.

[000101] A fourth type of sensing element 46d comprises a curved beam comprising a piezoresistor, the beam being located outside the multilayer piezoelectric actuator 41. The beam is connected to the substrate at one end and to the pillar 42 at the opposite end.

[000102] A fifth type of sensing element 46e comprises four piezoresistors fixed to the heat diffuser 45. The fifth sensing element 46e is for sensing deformation of the heat diffuser caused by displacement of the mirror.

[000103] Figure 6 shows a schematic diagram of a top view of a part of a sensing element 50, which may be the first sensing element 46a in Figure 5 but the other sensing elements have a similar construction. The sensing element 50 comprises a curved beam 51 and a folded piezoresistor 52 in the annular space 53 between the multilayer piezoelectric actuator 54 and the heat diffuser 55. A temperature sensor 56 may be provided to measure the temperature of the piezoresistor 52. The temperature sensor may for example be implemented as a bipolar transistor or a diode, since for such devices the current is a function of temperature.

[000104] Figure 7 shows a circuit diagram of a Wheatstone bridge having a supply voltage V s , resistors Rl, R2, R3 and R4, and output voltage V 0 . The circuit may be a part of the sensing element for sensing displacement of the mirror in a micromirror array. One or more of the resistors Rl to R4 may be piezoresistors of the sensing element.

[000105] Figure 8a shows a schematic diagram of a particular configuration of resistors Rl to R4 of the Wheatstone bridge in a sensing element 70 comprising a curved beam 71. Rl is a piezoresistor extending along the elongation direction of the beam 71 towards the moving end of the beam. R2 to R4 are located at the end of the sensing element 70 that is fixed to the substrate. As the beam 71 is deflected/stressed due to displacement of the mirror, the resistance of R1 changes while the resistances of R2 to R4 remain substantially constant.

[000106] Figure 8b shows a schematic diagram of an alternative configuration of the resistors R1 to R4 of the Wheatstone bridge in a sensing element 70 comprising a curved beam 71. In this configuration, two piezoresistors (R1 and R4) extend along the elongation direction of the beam 71, while R2 and R3 are located at the end of the sensing element that is fixed to the substrate. As the beam 71 is deflected/stresses due to displacement of the mirror, the resistance of R1 and R4 changes while the resistances of R2 and R3 remain substantially constant.

[000107] As mentioned above, one or more of the piezoresistors R1 to R4 may be provided with a temperature sensor. In this case, the temperature value output by the temperature sensor(s) may be employed (using circuitry which is not shown) to modify the operation of the sensing element to correct for temperature variations in the piezoresistors, i.e. to correct for temperature variations in the relationship between resistance and deflection/stress in the resistors R1 to R4.

[000108] Embodiments of the micromirror array can provide tip and tilt displacement range of +/- 120 mrad and a mirror accuracy of 100 prad. Embodiments of the micro mirror array can be operated at high light intensities as required for EUV, and may work at 40 to 60 kW/m 2 of absorbed thermal power density (which implies an incident light power density on the surface of the mirror which is even larger). This is orders of magnitude higher than the absorbed thermal power density of micromirror arrays used in some other applications. This is possible because the multilayer piezoelectric actuators 41 are operative to provide, even at a relatively low actuator voltage (e.g. under about 100V), such a strong force that they are able to deform the flexible element (flexible member 314) even though the flexible element is thick enough to provide high heat conductivity to the substrate. Due to the high thermal conductivity, the micromirror array may in use have a temperature of under about 100 degrees Celsius.

[000109] Methods of forming a micromirror array are also described herein. Figures 8a to 8j illustrate some of the steps of an embodiment of such a method.

[000110] As illustrated in Figure 9a, the method comprises providing a first silicon wafer 800 for forming the piezoactuators and the sensing elements. The first wafer 800 may be referred to as the “actuator wafer”. The actuator wafer may be a silicon on insulator (SOI) wafer with a 4 pm silicon film 801. The actuator wafer 800 includes piezoelectric layers and conductive layers (not shown) which will later be etched to form the stack of layers of the multilayer piezoelectric actuator. Low voltage active devices such as the sensing elements can be formed in the wafer 800 using a Complementary Metal Oxide Semiconductor (CMOS) Front End of Line (FEOL) process. A CMOS Back End of Line (BEOL) process can then be used to form metal interconnect layers for connecting the low voltage devices to other circuitry. Chemical Mechanical Polishing/Planarization (CMP) can then be used to form a smooth surface with a planar oxide layer 802. A Cu damascene process can be used for forming a Cu bonding matrix 803 with CU pads 804 for subsequent Cu-Ox hybrid bonding to another wafer.

[000111] As illustrated in Figure 9b, the method further comprises providing a second silicon wafer

805 which will become the substrate on which the micromirror array is fixed. The second wafer 805 may be referred to as the “interposer wafer”. The interposer wafer 805 may be an SOI wafer with a 100 pm silicon film as seen in Figure 9b. A high voltage (HV) CMOS process (both FEOL and BEOL) may be used to form a HV driver in the wafer. A TS V process can be used to form electrical connections

806 through the silicon film 807 of the second wafer 805. The TSV process can be followed by planarization (e.g. CMP or wet etch) and Cu pad formation. The Cu pads 808 are arranged to connect to the Cu bonding matrix 803 of the first wafer 800.

[000112] Figure 9c illustrates how the first and second wafers 800 and 805 are bonded, e.g. using a Cu/oxide hybrid bond 809.

[000113] As shown in Figure 9d, a “handle wafer”, used for handling the first wafer 800, may be removed to expose the first wafer 800. Selective box removal can then be used to leave a thin layer (e.g. 5 pm) of silicon 810 of the first wafer 800 bonded to the second wafer 805. A1 can be deposited and patterned on the first wafer 800 for subsequent connection to a mirror. The first wafer 800 can be patterned to form the multilayer piezoelectric actuators 811. AI2O3 and/or TiN can be deposited on the first wafer for protection against EUV radiation and against plasma.

[000114] As illustrated in Figure 9e, the method further comprises providing a third silicon wafer 812 for forming the mirror. The third wafer 812 may be referred to as the “mirror wafer” 812. The mirror wafer 812 may be an SOI wafer with a 250 pm silicon film. The method may comprise performing a cavity etch on the mirror wafer 812 to allow for a thermal barrier (e.g. 1 pm to 2 pm), followed by Ge deposition for subsequent bonding to the first wafer 800. Using a hardmask (e.g. nitride) and a resist mask the mirror wafer 812 is etched to form pillars 813 (“beam connectors”) for connecting to the multilayer piezoelectric actuators and to form a thermally conductive post 814 (“center post”) for connecting to a heat sink so as to form a heat diffuser. A mirror release trench 815 is etched around the periphery of the mirror.

[000115] As shown in Figure 9f, the third wafer 812 is bonded to the first wafer 800 in order to connect the mirror to the multilayer piezoelectric actuators. The step of bonding may comprise aligned Ge/Al eutectic bonding. The Al/Ge bonding layer 816 is both thermally and electrically conducting, which can allow efficient heat transfer from the mirror through the thermally conductive post to the heat sink. Some of the box oxide may have been left on the first wafer 800 to reduce thermal and electrical conduction at some bonding locations such as at the pillars connected to the multilayer piezoelectric actuators.

[000116] In Figure 9g, the stack of bonded wafers (wafers 1 “actuator wafer” 800, 2 “interposer wafer” 805 and 3 “mirror wafer” 812), which may collectively be referred to as the “device wafer”, is turned upside down, so that the handle wafer 817 of the mirror wafer 812 becomes the supporting wafer. The handle wafer of the second wafer 805 can be removed from the second wafer 805 and box removal may be used to reveal the TSVs 806 in the second wafer 805. This can be followed by dielectric deposition, patterning and bump formation.

[000117] In Figure 9h, lithography and silicon etching is used to form cavities 818 in the second wafer 805 underneath the multilayer piezoelectric actuators and the heat sink. Note that in use an outer portion of the flexible membrane 314 (e.g. a portion radially outward of the grooves) is in contact with a wall 819. The wall 819 is between a cavity 818a in register with the heat sink and a cavity 818b in register with the multilayer piezoelectric actuators. The wall 819 is able conduct heat from the flexible membrane 314 to the substrate. The method then comprises etching a dielectric layer to reveal the multilayer piezoelectric actuators (i.e. to release the strip of flexible material), the sensing elements and dicing scribes.

[000118] As illustrated in Figure 9i, the method further comprises providing a fourth silicon wafer 820 for sealing the second wafer 805. The fourth wafer 820 may be referred to as the “support wafer” 820 and comprises TSVs 821 for connecting to the second wafer 805. Bump bonding may be used to bond the fourth wafer 820 to the second wafer 805. An A1 redistribution layer (RDL) and connection pads can be formed on the back of the fourth wafer 820.

[000119] In Figure 9j, the mirror 822 is released by removing the front side handle wafer 817. A controller chip can be glued and wirebonded to the back of the fourth wafer 820.

[000120] Another embodiment of the invention comprises a lithographic apparatus LA, such as the lithographic apparatus LA of Figure 1, configured for dark-field imaging as shown in Figure lb. A potential problem with this configuration of dark-field imaging is that for large patterns (i.e. patterns having a large pitch between features) the diffraction angle is small, and the first order diffractions (+1 and -1) may also fall within the opening 102, which can make imaging at the wafer level difficult. [000121] To solve this problem, the patterning device comprises a first MEMS, which can be used to displace the surface of the patterning device to direct first order diffractions from the opening 102 to the mirror 101. The MEMS comprises a plurality of MEMS elements arranged under the multilayer reflector of the patterning device MA. Each MEMs element may comprise a displacement structure (e.g. a rectangle of silicon for tipping an tilting) supporting the multilayer reflector or may be directly bonded to the underside of the multilayer reflector.

[000122] Redirecting the diffracted radiation can distort the image, and the mirror 101 therefore comprises a second MEMS (such as a micromirror array) to compensate for the redirection by correcting the angle of the patterned beam. The second MEMS may be controlled through a feedback system, which uses the position of the patterning device MA (relative to the incident radiation beam) to determine the required correction of the mirror 101 and provide control signals to the second MEMS accordingly. The second MEMS is thereby dynamically updated throughout scanning. The required corrections for a given position of the patterning device MA can be determined in advance through simulations of the particular patterning device. [000123] The mask on the multilayer reflector may comprise both features with large pitch (e.g. pitch of the order of 100 nm or more) and small pitch (e.g. pitch < lOnm), and the first and second MEMS are configured to be used only for features having a large pitch. For the other features, the diffraction angle is large enough to avoid the opening 102 in the mirror 101.

[000124] The local deformation required for any particular area of the patterning device can be pre set in the first MEMS, since the features of the patterning device are fixed. The patterning device may be simulated to determine the required local deformation, which can then be used to set the displacements/positions of the MEMS elements of the first MEMS.

[000125] Figures 10a and 10b show embodiments of the MEMS used in a grazing incidence mirror. For normal incidence, a multilayer reflector is normally required to reflect sufficient EUV, whereas for grazing incidence a single reflective layer or coating may be used.

[000126] In Figure 10a a micromirror array 900 is used to reflect light at grazing incidence. However, the gaps between individual mirrors 901 in the array 900 can cause flares 902 from edge reflections and plasmas 903 to form.

[000127] Figure 10b shows another embodiment of the grazing incidence mirror, wherein a continuous reflective layer being a metal foil 904 is located over the displacement structures 906 of the MEMS. By displacing the displacement structure 906, the foil 904 can be deformed to redirect incident light.

[000128] Figure 11 shows a grazing-incidence collector 1000 for collecting light 1001 from a source 1002 to form a radiation beam B. The collector 1000 comprises a plurality of cylindrical grazing incidence mirrors 1003 for focusing incident light 1001 from the source 1002 towards an intermediate focus point IF. The grazing incidence mirrors may comprise MEMS as described herein in order to control the focus point of the radiation beam B.

[000129] Figure 12 illustrates another embodiment of the grazing incidence mirror 1100, being a focus mirror in an inspection and/or metrology apparatus. The mirror 1100 can use the MEMS to change the point of focus of the light 1101 incident on the wafer or other substrate 1102. Fight diffracted 1103 from the wafer or other substrate 1102 may be received and analyzed in order to determine features of the wafer or other substrate 1102.

[000130] In another embodiment, the MEMS described herein is used in a pellicle frame, in order to control the stress in the pellicle over time. Figure 13a shows a top view of a pellicle 1200 with a border 1201 supported by a frame 1202. The frame comprises a MEMS 1203 with an array of MEMS elements located in the dashed regions under the border 1201. Whilst Figure 13a shows the MEMS 1203 extending under a part of the border 1201, in other embodiments the MEMS 1203 may cover the entire border 1201 of the pellicle 1200 or a different part. Figure 13b shows a side cross-section of the pellicle 1200. The MEMS 1203 is located at the top of the frame so as to contact the border 1201 of the pellicle 1200. The pellicle 1200 may be part of a mask assembly such as the mask assembly 15 of Figure 1. Active mechanical actuation from the MEMS elements is used to provide border deformation in order to apply stress to the pellicle 1200. The MEMS allows for continuous control of the pellicle border bending. A benefit of the MEMS device is that it can be controlled during operation, without requiring the mask assembly to be removed or disassembled. This means that if the pellicle film would lose, or gain, pre-stress due to a degradation process, this could be corrected for by increasing or decreasing the tilt of MEMS elements. For example, correction for a reduction of stress (which may be referred to as tension) of the pellicle 1200 may be applied by actuating the MEMS 1203 on one side, or opposite sides, of the pellicle. The actuation may move the MEMS 1203 outwards (i.e. away from an interior of the pellicle frame). Correction for a reduction of stress may be applied by actuating the MEMS 1203 on all sides of the pellicle 1200 (e.g. moving the MEMS outwards). In another example, a localized reduction of stress in the pellicle 1200 may occur (e.g. for half of the pellicle). Correction for this localized reduction of stress may be applied by actuating the MEMS 1203 on opposite sides of the area of localized stress reduction (or on one side of the area of localized stress reduction). In general, the MEMS may be actuated to correct for localized or global changes of stress of the pellicle. This may advantageously increase the lifetime of the pellicle.

[000131] In addition, the MEMS 1203 can be used to determine the level of stress in the pellicle for different areas of the pellicle. A feedback system can be used to control the MEMS elements to locally compensate for any changes in the stress. In this way automated control of the stress of the pellicle 1200 may be provided.

[000132] Full tip and tilt displacements may not be required for the pellicle, since the MEMS is only used to apply stress to the pellicle. As such, MEMS elements having tilt control in only one dimension may be used in the pellicle frame. This can simplify the fabrication and control system of the MEMS. [000133] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc.

[000134] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

[000135] According to alternative embodiments of the present invention, the voltage control system may be configured to selectively apply a first voltage to each of the first set of electrode layers 308a which is different from 50 volts, e.g. 70 volts. The second set of electrode layers 308b may not be grounded, but rather the voltage control system may be configured to selectively supply the second set of electrode layers 308b with a second voltage which is different from the ground voltage, provided that the voltage applied to each of the second set of electrode layers 308b is different from the voltage applied to each of the first set of electrode layers 308. The voltage difference between the first voltage and the second voltage may be at least 40V and/or no more than 70V.

[000136] Additionally, although in the embodiments described above the piezoelectric layers 307a, 307b all comprise (or substantially consist of) piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold), other possibilities exist (albeit mostly having a lower efficiency) which share the property that all piezoelectric layers of piezoelectric material urge the multilayer piezoelectric actuator to flex in the same sense. In these possibilities, the plurality of piezoelectric layers 307a, 307b may comprise layers made of piezoelectric material that exhibits a unipolar strain response in response to an applied electric field (at least if the magnitude of the electric field is above a threshold), piezoelectric material that exhibits a bipolar strain response in response to an applied electric field, or any other form of piezoelectric material, or even non-piezoelectric material.

[000137] For example, in some alternative embodiments of the present invention, the stack of layers may further comprise at least one layer of an elastic but non-piezoelectric material. For example, in one variation of the arrangement of Figure 3a, the layers 307a, 307a in the stack of layers may alternate between a piezoelectric layer 307a and a non-piezoelectric layer 307b. In this case, even though the voltages of the electrode layers 308a, 308b alternate, the piezoelectric layers 307a would all experience an electric field in the same direction. In this case, it would not matter whether the piezoelectric material is a piezoelectric material that exhibits a unipolar strain response in response to an applied electric field: the piezoelectric layers 307a would all generate a bending force in the same sense.

[000138] In another possibility, the layers 307a, 307b in the stack of layers between the electrode layers 308 may alternate between a first piezoelectric material in the layers 307a that exhibits a bipolar strain response in response to an applied electric field, and a second piezoelectric material in the layers 307b that exhibits an opposite bipolar strain response in response to an applied electric field, so that the first and second piezoelectric materials bend in the same sense when the voltages shown in Figure 3 are applied and the layers 307a experience an electric field in an opposite direction from the electric field experienced by the layers 307b.