Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
IN SITU DECLOGGING IN PLASMA ETCHING
Document Type and Number:
WIPO Patent Application WO/2023/069120
Kind Code:
A1
Abstract:
In semiconductor processing, plasma etching of materials (e.g., of carbon or silicon) to form vertical high aspect ratio recessed features can lead to clogging inside the recessed features due to unwanted deposition of a mask-derived clogging material (e.g., silicon oxide). This is addressed by declogging, which includes etching the clogging material preferably in the same process chamber by contacting the substrate with a halogen source and a vapor of an organic solvent and/or water. An additive, such as an amine, a heterocyclic compound, or a bifluoride source can be added to increase etch selectivity for the clogging material. After the declogging step, plasma etching proceeds further. The declogging and plasma etching steps can be repeated as many times as needed to etch a recessed feature of desired depth.

Inventors:
TAN ZHONGKUI (US)
SU XIAOFENG (US)
KAWAGUCHI MARK NAOSHI (US)
ZHU JI (US)
KAMARTHY GOWRI CHANNA (US)
LIU WENCHI (US)
SUBRAMANIAN PRIYADARSINI (US)
MA QIANG (US)
Application Number:
PCT/US2021/063406
Publication Date:
April 27, 2023
Filing Date:
December 14, 2021
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/311; H01J37/32; H01L21/3213; H01L21/67
Foreign References:
US20180151386A12018-05-31
US20210175082A12021-06-10
US20130108833A12013-05-02
JPH08115899A1996-05-07
US20070020936A12007-01-25
Attorney, Agent or Firm:
GAVRILOVA, Anna L. et al. (US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A method of etching a material on a semiconductor substrate, the method comprising:

(a) providing a semiconductor substrate having an exposed layer of a mask material, a recessed feature, and a layer of a target material underlying the layer of the mask material, wherein the target material is exposed at the bottom of the recessed feature;

(b) etching the target material using a plasma etch, and thereby increasing depth of the recessed feature, wherein the etching of the target material results in narrowing or blocking of the recessed feature at least at one location due to deposition of a clogging material; and

(c) etching the clogging material by contacting the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water.

2. The method of claim 1, wherein etching of the target material (b) and etching of the clogging material (c) are performed in one processing chamber.

3. The method of claim 1, wherein the clogging material comprises silicon oxide.

4. The method of any of the claims 1-3, wherein the target material is selected from the group consisting of carbon and silicon.

5. The method of any of the claims 1-3, wherein the mask material is selected from the group consisting of silicon oxynitride, silicon nitride, silicon oxide, silicon oxycarbide, silicon boride, boron-doped carbon, tungsten, tungsten-doped carbon, and boron-doped carbon.

6. The method of any of the claims 1-3, wherein the etching of the clogging material has an etch selectivity of greater than 1 to both the mask material and the target material.

7. The method of any of the claims 1-3, wherein the etching of the clogging material is performed in an absence of plasma.

-52-

8. The method of any of the claims 1-3, wherein the etching of the clogging material comprises activating at least one reactant in a plasma without externally biasing the semiconductor substrate.

9. The method of any of the claims 1-3, further comprising repeating steps (b) - (c).

10. The method of any of the claims 1-3, wherein the etching of the clogging material comprises simultaneously contacting the semiconductor substrate with the halogen source and the vapor of a liquid selected from the group consisting of the organic solvent and water.

11. The method of any of the claims 1-3, wherein the etching of the clogging material comprises sequentially contacting the semiconductor substrate with the halogen source and the vapor of a liquid selected from the group consisting of the organic solvent and water.

12. The method of any of the claims 1-3, wherein (c) further comprises contacting the semiconductor substrate with an additive selected from the group consisting of an amine, a heterocyclic compound, and a bifluoride source.

13. The method of any of the claims 1-3, wherein the etching of the clogging material is conducted at a pressure of between about 0.01 - 10 Torr and a temperature of between about -60 - 250 °C.

14. The method of any of the claims 1-3, wherein the recessed feature of the semiconductor substrate provided in (a) has a width of about 5 - 300 nm.

15. The method of any of the claims 1-3, wherein the semiconductor substrate comprises a device selected from the group consisting of a partially fabricated 3D NAND device, a DRAM device, and a logic device.

16. The method of any of the claims 1-3, wherein an aspect ratio of the recessed feature after completion of the etching is at least about 5:1.

17. The method of any of the claims 1-3, wherein the solvent is selected from the group consisting of an alkane, a ketone, and an alcohol.

-53-

18. The method of any of the claims 1-3, wherein the halogen source is selected from the group consisting of nitrogen tribromide (NB ). nitrogen trichloride (NCk), chlorine trifluoride (CIF3), hydrogen fluoride (HF), hydrogen chloride (HC1), and hydrogen bromide (HBr).

19. The method of any of the claims 1-3, wherein the plasma etch in (b) comprises contacting the substrate with an oxy gen-containing reactant.

20. The method of any of the claims 1-3, further comprising the steps of: applying photoresist to the semiconductor substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the semiconductor substrate; and selectively removing the photoresist from the semiconductor substrate.

21. A method of etching a material on a semiconductor substrate, the method comprising:

(a) providing a semiconductor substrate having an exposed layer of a mask material, a recessed feature, and a layer of a target material underlying the layer of the mask material, wherein the target material is exposed at the bottom of the recessed feature, wherein the mask material is a silicon-containing material, and wherein the target material is selected from the group consisting of carbon (C) and silicon (Si);

(b) etching the target material using an oxygen-containing plasma etch, and thereby increasing depth of the recessed feature, wherein the etching of the target material results in narrowing or blocking of the recessed feature at least at one location due to deposition of a silicon-containing clogging material; and

(c) etching the silicon-containing clogging material by contacting the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water.

22. The method of claim 20, wherein the silicon-containing clogging material is silicon oxide.

23. An apparatus for processing a semiconductor substrate, the apparatus comprising:

-54- (a) a process chamber configured for housing the semiconductor substrate, wherein the process chamber includes a substrate holder configured to hold the semiconductor substrate and an inlet configured to admit one or more reactants to the process chamber;

(b) a plasma generating mechanism;

(c) a mechanism for vaporising a liquid connected with the process chamber and configured for delivering the liquid to the process chamber; and

(d) a controller comprising program instructions configured to effect etching of a material on the semiconductor substrate by causing:

(i) on a semiconductor substrate comprising an exposed layer of a mask material, a recessed feature, and a layer of a target material undelying the layer of the mask material, wherein the target material is exposed at a bottom of the recessed feature, etching of the target material using a plasma etch, and thereby causing an increase in depth of the recessed feature; and

(ii) etching of a clogging material deposited during the plasma etch and narrowing or blocking the recessed feature, by causing contact of the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water.

24. The apparatus of claim 23, wherein the program instructions comprise instructions configured to repeat steps (i) - (ii).

25. The apparatus of claim 23, wherein the apparatus comprises program instructions for causing activation of an oxygen-containing reactant in a plasma during the plasma etch.

-55-

Description:
IN SITU DECLOGGING IN PLASMA ETCHING

INCORPORATION BY REFERENCE

A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes.

FIELD OF THE INVENTION

This invention pertains to methods and apparatuses for semiconductor device manufacturing. Specifically, embodiments of this invention pertain to methods and apparatuses for declogging recessed features during plasma etching in semiconductor processing.

BACKGROUND

In semiconductor device fabrication, deposition and etching techniques are used for forming patterns of materials, such as for forming metal lines embedded in dielectric layers. Deposition techniques include atomic layer deposition (ALD), chemical vapor deposition (CVD), and physical vapor deposition (PVD). Etching techniques include wet etching methods and dry etching methods, such as plasma etching.

Etching methods may be isotropic and anisotropic. Isotropic etching is characterized by etching in multiple directions on the substrate (both vertical and horizontal), where the etch rates in different directions are substantially the same. Isotropic etching is needed, for example, for horizontal etching. Anisotropic etching is characterized by etching predominantly in one direction, such as in a vertical direction, and is often used for forming recessed features (e.g., vias) on a substrate. Anisotropic etching is also known as “directional etching”.

Directional plasma etching is often used for forming recessed features in a layer of a target material underlying a patterned mask layer. The chemistry of the directional plasma etch is typically selected such that the target material is etched at a higher etch rate than the mask material.

The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

SUMMARY

Methods and apparatuses for plasma etching of materials in semiconductor device fabrication are provided. The methods, in some embodiments, allow for efficient directional etching and formation of high aspect ratio recessed features, such as of recessed features with aspect ratios of at least about 5:1, e.g., 5: 1 - 500:1. The methods can be used, for example, in fabrication of 3D NAND devices, dynamic random-access memory (DRAM) devices, and high aspect ratio (HAR) logic devices. The methods, in some embodiments, make use of alternating plasma etching and declogging steps, where the declogging steps at least partially remove a clogging material that narrows the recessed features and interferes with plasma etching.

In one aspect, a method of etching a material on a semiconductor substrate is provided. In some embodiments the method includes: providing a semiconductor substrate having an exposed layer of a mask material, a recessed feature, and a layer of a target material underlying the layer of the mask material, wherein the target material is exposed at the bottom of the recessed feature; etching the target material using a plasma etch (e.g., using an oxygen-containing reactant), and thereby increasing depth of the recessed feature, wherein the etching of the target material results in narrowing or blocking of the recessed feature at least at one location due to deposition of a clogging material (e.g., silicon oxide); and etching the clogging material by contacting the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water. In some embodiments, the halogen source includes at least one of nitrogen tribromide (NB ). nitrogen trichloride (NCh), chlorine trifluoride (CIF3), hydrogen fluoride (HF), hydrogen chloride (HC1), and hydrogen bromide (HBr). The organic solvent in some embodiments includes at least one of an alkane, a ketone, and an alcohol.

In some embodiments the etching of the target material and the etching of the clogging material are performed in one processing chamber. The etching of the target material and the etching of the clogging material can be repeated as many times as desired. In some embodiments the target material is at least one of carbon (e g., amorphous carbon) and silicon. The mask material in some embodiments is selected from the group consisting of silicon oxynitride, silicon nitride, silicon oxide, silicon oxycarbide, silicon boride, boron-doped carbon, tungsten, tungsten-doped carbon, and boron-doped carbon. In some embodiments the etching of the clogging material has an etch selectivity of greater than 1 to both the mask material and the target material and is performed in an absence of plasma. In other embodiments, selective etching of the clogging material includes activating at least one reactant in a plasma without externally biasing the semiconductor substrate. Etching of the clogging material can be performed by simultaneously contacting the semiconductor substrate with the halogen source and the vapor of a liquid selected from the group consisting of the organic solvent and water. In other embodiments, the etching of the clogging material includes sequentially contacting the semiconductor substrate with the halogen source and the vapor of a liquid selected from the group consisting of the organic solvent and water. The etching of the clogging material may further include contacting the semiconductor substrate with an additive selected from the group consisting of an amine, a heterocyclic compound and a bifluoride source. Etching of the clogging material can be conducted at a pressure of between about 0.01 - 10 Torr and a temperature of between about -60 - 250 °C.

In some embodiments, the recessed feature of the semiconductor substrate provided for etching has a width of about 5 - 300 nm, and has an aspect ratio after completion of the etching of at least about 5:1. In some embodiments, the semiconductor substrate is selected from a group consisting of a partially fabricated 3D NAND device, a DRAM device, and a logic device.

In some embodiments the method further includes the steps of applying photoresist to the semiconductor substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the semiconductor substrate; and selectively removing the photoresist from the semiconductor substrate.

In one aspect a method of etching a material on a semiconductor substrate is provided, where the method includes: (a) providing a semiconductor substrate having an exposed layer of a mask material, a recessed feature, and a layer of a target material underlying the layer of the mask material, wherein the target material is exposed at the bottom of the recessed feature, wherein the mask material is a silicon-containing material, and wherein the target material is selected from the group consisting of carbon (C) and silicon (Si); (b) etching the target material using an oxygencontaining plasma etch, and thereby increasing depth of the recessed feature, wherein the etching of the target material results in narrowing or blocking of the recessed feature at least at one location due to deposition of a silicon-containing clogging material (e.g., silicon oxide); and (c) etching the silicon-containing clogging material by contacting the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water. In one aspect, an apparatus for processing a semiconductor substrate is provided. In some embodiments, the apparatus includes: (a) a process chamber configured for housing the semiconductor substrate, wherein the process chamber includes a substrate holder configured to hold the semiconductor substrate, and an inlet configured to admit one or more reactants to the process chamber; (b) a plasma generating mechanism; (c) a mechanism for vaporising a liquid connected with the process chamber and configured for delivering the vaporised liquid to the process chamber; and (c) a controller comprising program instructions configured to effect etching of a material on the semiconductor substrate. In some embodiments the program instructions are configured to effect etching by causing: (i) on a semiconductor substrate comprising an exposed layer of a mask material, a recessed feature, and a layer of a target material undelying the layer of the mask material, wherein the target material is exposed at a bottom of the recessed feature, etching of the target material using a plasma etch, and thereby causing an increase in depth of the recessed feature; and (ii) etching of a clogging material deposited during the plasma etch and narrowing or blocking the recessed feature, by causing contact of the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water. In some embodiments the program instructions include instructions configured to repeat etching of the target material and etching of the clogging material. In some embodiments the program instructions are configured for causing activation of an oxygen-containing reactant in a plasma during the plasma etch.

In another aspect, a non-transitory machine-readable medium is provided, which includes machine-readable code for performing any of the methods described herein. For example, machine-readable medium may include code for: (i) on a semiconductor substrate comprising an exposed layer of a mask material, a recessed feature, and a layer of a target material undelying the layer of the mask material, wherein the target material is exposed at a bottom of the recessed feature, etching of the target material using a plasma etch, and thereby causing an increase in depth of the recessed feature; and (ii) etching of a clogging material deposited during the plasma etch and narrowing orblocking the recessed feature, by causing contact of the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water.

These and other aspects of implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below. BRIEF DESCRIPTION OF THE DRAWINGS

Figures 1A - ID provide schematic cross-sectional views of a portion of a substrate undergoing processing according to an embodiment provided herein.

Figure 2 is a process flow diagram for etching with declogging according to an embodiment provided herein.

Figure 3 is a process flow diagram for declogging according to an embodiment provided herein.

Figure 4 is a schematic presentation of an apparatus that is suitable for plasma etching and declogging, according to an embodiment provided herein.

Figure 5 is a diagram illustrating vapor delivery to the process chamber, according to an embodiment provided herein.

Figure 6 is a schematic presentation of a system that is suitable for implementing methods provided herein.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

Methods and apparatuses for etching are provided. The provided methods can be used in a variety of applications but are particularly useful for directional plasma etching of materials to form high aspect ratio recessed features on semiconductor substrates. For example, provided methods can be used for forming recessed features with widths of between about 5 - 300 nm (e.g., 10 - 200 nm), and aspect ratios of at least about 5:1 (e.g., between about 5: 1- 500:1), such as at least about 10: 1, at least about 50:1 or at least 100:1. The methods can be used, for example, in fabrication of 3D NAND devices, DRAM devices, and high aspect ratio (HAR) logic devices. In some embodiments, the methods are used for forming recessed features with widths of between about 5 - 30 nm in fabrication of DRAM devices and HAR logic devices.

Plasma etching in semiconductor device fabrication to form high aspect ratio recessed features is often hampered by inadvertent deposition of a clogging material in the recessed features. Clogging can slow down the plasma etching process and can lead to increased non -uniformity. Provided methods allow for fast in situ declogging with minimal changes to critical dimensions of the recessed features. Deposition of the clogging material, as used herein, may include but is not limited to redistribution of material from other parts of the substrate into the recessed feature (e.g., by sputtering), chemical modification of any of substrate’s materials to form a clogging material, and a combination of redistribution and chemical modification. For example, the clogging material may be derived from a mask material and/or from a target layer material. In some embodiments the clogging material has a similar composition to the materials of the substrate (e.g., mask material), but is more porous. For example, in some embodiments, silicon oxide mask material may be sputtered and redistributed during plasma etching and form a more porous silicon oxide clogging material inside a recessed feature.

“Clogging”, as used herein, refers to narrowing of the recessed feature or blockage of the recessed feature at any location, such as near the opening of the recessed feature, due to deposition of the clogging material. For example, a diameter of the recessed feature at the clogging location may be reduced by at least about 10%, such as by at least about 20%. Clogging material in some embodiments is selected from the group consisting of: oxides (e.g., silicon oxide, tin oxide, etc.), nitrides (e.g., silicon nitride, tantalum nitride, titanium nitride, etc.), carbides (e.g., silicon carbide, etc.), carbonitrides (e.g., silicon carbonitride, etc.), oxycarbides (e.g., silicon oxycarbide, etc.), etc. In some embodiments, the clogging material is a silicon-containing material, such as a material that includes silicon (Si) and oxygen (O). In some embodiments, clogging material is silicon oxide (SiO). Other silicon-containing materials that include silicon and oxygen are silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc.

In the description of material layers (e.g., SiO, SiON, Si, C, etc.) the formulas are not indicative of the stoichiometry, which may vary. The materials include the elements listed in their respective formulas, and, optionally, hydrogen (H). Other elements may be present as dopants in small concentrations that are typically not more than 20 atomic % (excluding hydrogen), such as not more than 10 atomic % (excluding hydrogen), or not more than 5 atomic % (excluding hydrogen).

In some embodiments, the clogging material is formed from any material of the semiconductor substrate (e.g., mask material and/or target material) with or without chemical modification. For example, the clogging material may be formed from a silicon-containing material such as silicon (Si), silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), and silicon oxy carbide (SiOC). In some embodiments, the clogging material is an oxygen-containing material, and is formed, when the semiconductor substrate is exposed to an oxygen-containing reactant, such as O2, O3, CO2, CO, .COS, and H2O, where the oxygen- containing reactant may be activated in a plasma. For example, an oxy gen-containing clogging material (e.g., silicon oxide), may be formed from silicon oxynitride, or silicon oxycarbide when these materials are exposed to a plasma etch chemistry that includes oxygen-containing reactants. For example, when a silicon-containing mask material is used on a substrate that undergoes plasma etching with an oxygen-containing reactant, a silicon oxide clogging material may form due to redistribution and/or chemical modification of the mask material.

The term “semiconductor substrate” as used herein refers to a substrate at any stage of semiconductor device fabrication containing a semiconductor material anywhere within its structure. It is understood that the semiconductor material in the semiconductor substrate does not need to be exposed. Semiconductor wafers having a plurality of layers of other materials (e.g., dielectrics) covering the semiconductor material, are examples of semiconductor substrates. The following detailed description assumes the disclosed implementations are implemented on a semiconductor wafer, such as on a 200 mm, 300 mm, or 450 mm semiconductor wafer. However, the disclosed implementations are not so limited. The semiconductor wafer may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other types of work pieces may take advantage of the disclosed implementations including various articles such as printed circuit boards and the like.

The term “about” when used in reference to numerical values includes a range of ±20% of the recited numerical value, unless otherwise specified.

The term “a” is used herein to specify “one or more”. For example, “a recessed feature” should be interpreted as “one or more recessed features”.

Provided methods employ one or more declogging steps alternating with plasma etching steps, where declogging is preferably performed in the same process chamber as the plasma etching steps (in situ declogging). Since it is not necessary to move the substrate to a different process chamber for declogging, the entire etching process can be conducted in a fast and efficient manner Another advantage of provided methods is that external electrical biasing of the substrate is not required during the declogging step, since provided declogging chemistries can be used to etch the clogging material without external bias and even in an absence of plasma activation. Declogging without biasing the substrate can advantageously lead to reduced damage of the substrate and to smaller variation in the critical dimensions of a recessed feature.

Declogging during plasma etching, according to some embodiments, is illustrated in Figures 1 A-1D and in Figure 2. Figures 1 A - ID show schematic cross-sectional views of a portion of a semiconductor substrate during processing, according to embodiments provided herein. It is noted that Figures 1 A-1D illustrate a portion of the substrate and show one recessed feature, but it is understood that the substrate may include a plurality of recessed features, as well as a plurality of underlying layers (not shown). Figure 2 is a process flow diagram illustrating the steps of the processing method, according to the embodiment illustrated by Figures 1A-1D. The process starts in step 201 by providing a substrate having a target layer underlying a patterned mask layer, where the substrate has at least one recessed feature, and where the target layer is exposed at the bottom of the recessed feature. An example of such substrate is shown in Figure 1A, where a patterned mask layer 101 overlies the target layer 103, which is, in turn, disposed over an etch stop layer 105. The substrate includes a recessed feature 107, where the target material of the target layer 107 is exposed at the bottom of the recessed feature 107. In some embodiments the width of the recessed feature 107 is about 5 - 300 nm, such as about 10 - 100 nm. In some embodiments, (e.g., in DRAM device fabrication or in HAR logic device fabrication) the width of the recessed feature is about 5 - 30 nm.

The materials of the mask layer 101 and of the target layer 103 are preferably selected such that for the target material etching, the etch selectivity is greater than 1, such as greater than 2, relative to the mask material, in the desired etch direction. The material of the etch stop layer 105 is preferably selected such that for the target material etching, the etch selectivity is greater than 1, such as greater than 2, relative to the etch stop material. Examples of target materials, without limitation, include carbon (e.g., amorphous carbon), silicon (e.g., polycrystalline silicon, amorphous silicon, and doped silicon), and other silicon-containing materials. Examples of mask materials include, without limitation, silicon-containing materials, such as silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO), silicon carbide (SiC), silicon oxycarbide (SiOC), silicon boride (SiB); tungsten-containing materials, such as tungsten (W), and carbon-containing materials, such as tungsten-doped carbon (WC), and boron-doped carbon (BC). The thickness of the mask layer 101 is typically smaller than the thickness of the target layer 103. In some embodiments, the mask layer 101 is between about 10-1500 nm thick, and the target layer 103 is between about 50-1000 nm thick.

The material of the target layer 103 on the provided substrate is exposed such that it is accessible to gaseous reactants. The substrate is processed in any suitable apparatus having a process chamber equipped with a substrate holder (e.g., a pedestal) and an inlet for introduction of reactants, where the apparatus is configured for generating a plasma directly in the process chamber or remotely. Referring to step 203 of Figure 2, the process follows by etching the target layer 103 using a plasma etch, where during the etching, a clogging material is inadvertently deposited such that it narrows or blocks the recessed feature. The resulting substrate is shown in Figure 2B, where the clogging material 109 is deposited on the sidewalls of the recessed feature 107 during plasma etching of the target layer 103. In the depicted example, the clogging material narrows the recessed feature 107 at the feature opening, as it is deposited primarily on the mask layer 101, but in other cases the clogging material may be deposited deeper inside the recessed feature 107. The inadvertently deposited clogging material 109, if not removed, hampers contact of the plasma etch chemistry with the target layer 103 at the bottom of recessed feature 107, which, in turn, may lead to slower (or even halted) etching, and lower etching uniformity. For example, circular holes may have deviations from circularity, and lower local critical dimension uniformity (LCDU), if clogging material is allowed to remain in the recessed feature.

The process follows in step 205 by etching the clogging material using a declogging etch chemistry in the same process chamber as the plasma etch of the target layer. The declogging is preferably performed by contacting the substrate with gaseous reactants under vacuum. In some embodiments, the first declogging step is initiated after at least about 5%, such as at least about 10%, at least about 20%, at least about 30%, at least about 40% of the target depth of the recessed feature has been etched by the plasma etch. In some embodiments etching the clogging material using the declogging chemistry includes exposing the clogging material to a halogen source (in gas phase) and a vapor of an organic solvent and/or water vapor, where the declogging chemistry may further include an additive for improving etching uniformity. Etching of the clogging material may be partial or complete. The structure obtained after complete removal of the clogging material is illustrated in Figure 1C, which shows that the clogging material 109 is absent, and the width of the recessed feature 107 is restored. It is understood, that in some embodiments, complete removal of the clogging material is not required, and only a portion of the clogging material may be etched to widen the recessed feature. The declogging etch chemistry in some embodiments is selective to both the mask material and the target material (i.e., etches the clogging material at a higher rate than both the mask material and the target material). In some embodiments, the etch selectivity is at least about 2 relative to both the mask material and the target material.

Next, in step 207, the plasma etching of the target material, and the etching of the clogging material are optionally repeated as many times as desired to form a recessed feature of desired depth. For example, the etching process may include 2 - 21 cycles, e.g. 2-10 cycles, where each cycle includes one target material etching step and one declogging step. In some implementations each target material etching step removes between about 10 - 300 nm of target material. Recessed features in the target material with depths of between about 100 - 2000 nm and high aspect ratios of at least about 5 : 1 can be formed without changing a process chamber by provided methods. In some embodiments, it is preferred to etch the declogging material in an absence of plasma (thermal declogging). In other embodiments the process may be plasma-assisted. In some embodiments, etching of the clogging material is performed without applying an external bias to the semiconductor substrate in order to reduce the possibility of substrate damage due to interaction of ions with the substrate. Referring to Figure ID, a substrate after completed etching of the target layer 103 has the recessed feature 107 extending to the etch stop layer 105, which is exposed at the bottom of the feature. Depending on the size of the required recessed feature and the nature of the clogging, the etch may be completed in one etch cycle having a single target material etch step followed by the declogging step, or several cycles of alternating steps. If desired, the process chamber may be purged between the target material etching step and the declogging step. In some embodiments, the entire etching process is performed at one temperature and/or pressure. In other embodiments temperature and/or pressure of the target material etching step is different from those of the declogging step. The process temperature used for both steps, in some embodiments is between about -60 - 250 °C, such as between about 0 - 175 °C. In some embodiments pressures of between about 0.01 - 10 Torr are used for both steps.

In some embodiments, plasma etching step includes introducing an etching process gas into the process chamber housing the substrate, where the process gas includes a reactant gas (e.g., an oxygen-containing reactant gas and/or a halogen-containing reactant gas) and, optionally, a carrier gas (e.g., nitrogen, helium, argon, etc.), and forming a plasma to activate the reactant in a plasma while also providing an external bias to the substrate to effect directional etching of the target material. Next, the flow of the reactant gas is stopped, the external bias to the substrate is turned off, and, without breaking the vacuum, a declogging composition is introduced into the process chamber. In some embodiments, the plasma is turned off for the declogging step, and the declogging step is performed thermally.

The declogging step in some embodiments is conducted by exposing the substrate to a declogging gas that includes a halogen source and a vapor of organic solvent (and/or water vapor). The declogging gas may also include a carrier gas. In some embodiments, the declogging gas includes an additive for improving etch selectivity.

In some embodiments the halogen source and a vapor of organic solvent (and/or water vapor) are introduced into the process chamber sequentially. An additive, when used, is typically introduced together with the vapor of organic solvent (and/or water vapor). A carrier gas can also be included. These embodiments are illustrated by the process flow diagram of Figure 3. In step 301 the substrate in the declogging step is exposed to the vapor of an organic solvent and/or water, optionally, with an additive for a period of time, in an absence of a halogen source. Next, after a layer of solvent and/or water (e.g., adsorbed layer) is formed on the substrate, the substrate is exposed to a halogen source in step 303 and the declogging etching is allowed to proceed. In some embodiments the exposure of the substrate to the halogen source is accompanied with activation of the halogen source in a plasma, while exposure of the substrate to solvent and/or water is performed in an absence of plasma. Next, referring to step 305, the exposure to solvent and/or water and exposure to the halogen source are repeated optionally as many times as needed to remove a desired amount of the clogging material and complete the declogging step. For example, each declogging step may include 2 - 20 cycles, where each cycle includes one solvent and/or water exposure and one exposure to the halogen source.

In one illustrative embodiment, referring to Figure 1 A the target layer 103 is a carbon layer (e.g., an amorphous carbon layer), and the mask layer 101 is a silicon-containing layer, such as silicon oxynitride (SiON) layer. The etch stop layer 105 may also be a silicon-containing layer, such as a silicon oxide layer. In one example, the thickness of the mask layer 101 is about 300 nm, the thickness of the target layer is about 3000 nm and the thickness of the etch stop layer is about 200 nm. The process starts by plasma etching the carbon-containing target layer using a plasma etch chemistry that is selective relative to both the mask material and the etch stop material. For example, the substrate may be exposed to an oxygen-containing reactant activated in a plasma. Examples of suitable oxygen-containing reactants include O2, COS, SO2 and any combination thereof. The plasma etching process gas, in addition to the oxygen-containing reactant may include additives and a carrier gas. In some embodiments, the plasma is formed using an inductively coupled plasma (ICP) source. The plasma density is controlled by the plasma source power. The etching in this example also utilizes a bias provided to the substrate, since anisotropic vertical etching is desired. Examples of frequencies used for the ICP source are 2 MHz, 13 MHz, 27 MHz, and 60 MHz. Examples of frequencies used for the bias are 400 KHz, 1 MHz, 2 MHz, 13 MHz, 27 MHz, and 60 MHz.

As the etching of the target material proceeds (e.g., after 200 - 2000 nm is etched), the silicon-containing mask material will be sputtered off and redeposited on the sidewalls of the recessed feature forming the clogging material. In some cases the material may be chemically modified. For example, when a silicon oxynitride mask is used, the re-deposited silicon oxynitride material may react with the oxygen-containing reactant to form a porous silicon oxide clogging material at the opening of the recessed feature, as shown in Figure IB. Next, without breaking the vacuum, the porous silicon oxide clogging material is etched by exposing the substrate to a halogen source, a vapor of an organic solvent (and/or water vapor), and, optionally, an additive (e.g., a an amine, a heterocyclic compound or a bifluoride source) without externally biasing the substrate. In some embodiments the clogging material is etched in an absence of plasma. In other embodiments, the declogging is plasma-assisted. After the declogging, the oxygen-containing plasma etch of the carbon-containing target layer may resume without breaking the vacuum in the same process chamber and can continue until clogging material is built up again, whereupon the plasma etching and declogging steps may be repeated in an alternating manner as many times as desired.

In another illustrative embodiment, the target layer 103 is silicon (e.g., polycrystalline silicon, amorphous silicon, or doped silicon), and the mask material is a silicon-containing mask, such as silicon oxide, silicon oxynitride, silicon nitride, silicon carbide, etc. The silicon target material is etched anisotropically with a plasma formed in a process gas that includes a halogen source (e.g., Ch, HBr, a fluorocarbon, or a combination thereof) and an oxygen source (e.g., O2), where the oxygen source is used to control the etch profile. The etching of the silicon target material in this case results in deposition of a silicon oxide clogging material and narrowing of the recessed feature, where the clogging material is derived from the mask material (e.g., via redistribution and/or chemical modification with O2). Next, the clogging material is etched using a declogging chemistry as described herein with or without plasma activation.

In both examples, the declogging etch is selective for the clogging material relative to both the mask material and the target material. In some embodiments the etch selectivity for the target material in the desired direction relative to both the mask material and the target material is greater than 1.5, such as greater than 2. For example, a silicon oxide clogging material is selectively etched in a desired direction relative to both carbon target layer and a silicon oxynitride mask layer. It is noted that when both the clogging material and the mask material are silicon oxide, the declogging can still proceed selectively, because the clogging silicon oxide material is typically more porous and is more easily etched than the silicon oxide of the mask material (e g., CVD-deposited silicon oxide). In another example, the silicon oxide clogging material is selectively etched in a desired direction relative to a silicon target material and to a silicon oxide or silicon oxynitride mask material.

Declogging chemistry

Declogging is typically performed in the same process chamber as the plasma etch and includes exposing the substrate to a halogen source in gas phase, and a vapor of an organic solvent and/or water vapor to etch the clogging material. An additive, such as an amine, a heterocyclic compound or a bifluoride source can be added to improve the etch selectivity for the clogging material. An inert carrier gas may also included. The reactants are provided to the reaction chamber and exposed to the substrate while they are in vapor phase. Appropriate hardware may be provided to ensure that the reactants are adequately vaporized before and during delivery to the reaction chamber. Two or more of the reactants may be mixed before delivery to the reaction chamber. In other embodiments, each of the reactants may be delivered to the reaction chamber individually, for example in separate lines or at separate times.

Halogen Source

The halogen source may be any halogen-containing (e.g., X-containing, where X is fluorine (F), chlorine (Cl), bromine (Br), or iodine (I)) compound that exists in vapor phase at the processing temperature. Examples include hydrogen bromide (HBr), hydrogen chloride (HC1), hydrogen fluoride (HF), fluorine (F2), chlorine (Ch), bromine (B ), chlorine trifluoride (CIF3), nitrogen trifluoride (NF3), nitrogen trichloride (NCh), and nitrogen tribromide (NB ). In some implementations, the halogen source is an organohalide, with examples including fluoroform (CHF3), chloroform (CHCh), bromoform (CHBrJ, carbon tetrafluoride (CF4), carbon tetrachloride (CCh), carbon tetrabromide (CB ), perfluorobutene (C4F8), and perchlorobutene (C4CI8). In some implementations, the halogen source is a silicon halide, with examples including silicon tetrafluoride (SiF4), silicon tetrachloride (SiCh), silicon tetrabromide (Si Bn), and compounds that include SiXe such as HzSiXg. In some implementations, the halogen source is a metal halide with examples including molybdenum hexafluoride (MoFg), molybdenum hexachloride (Mode), molybdenum hexabromide (MoBn,), tungsten hexafluoride (WFe), tungsten hexachloride (WCk), tungsten hexabromide (WBn), titanium tetrafluoride (TiF4), titanium tetrachloride (TiCh), titanium tetrabromide (TiBn), zirconium fluoride (ZrF 4), zirconium chloride (ZrCH), and zirconium bromide (ZrBu). Metal halides may be used in some embodiments to selectively etch metal oxides.

In the description below, various examples include HF as the halogen source. However, any appropriate halogen source may be used. The volume and mass percentages described for HF can be used for other halogen sources. In some embodiments, two or more halogen sources may be used.

Organic Solvent

Alkanes:

In some embodiments, the organic solvent may be an alkane. In certain embodiments, the alkane may be an acyclic branched or unbranched hydrocarbon having the general formula CnH2n+2. Example acyclic alkanes include, but are not limited to, pentane, hexane, octane, and combinations thereof. In certain other embodiments, the alkane may be a cyclic hydrocarbon. Example cyclic hydrocarbons include, but are not limited to, cyclopentane, cyclohexane, and combinations thereof.

Aromatic Solvents:

In some embodiments, the organic solvent may be an aromatic solvent. As used herein, “aromatic” means a cyclic, conjugated group or moiety of, unless specified otherwise, from 5 to 15 ring atoms having a single ring (e.g., phenyl) or multiple condensed rings in which at least one ring is aromatic (e.g., naphthyl, indolyl, or pyrazolopyridinyl); that is, at least one ring, and optionally multiple condensed rings, have a continuous, delocalized 7t-electron system. Typically, the number of out of plane ^-electrons corresponds to the Htickel rule (4n+2). The point of attachment to the parent structure typically is through an aromatic portion of the condensed ring system. In some cases, an aromatic solvent may be selected from toluene and benzene.

Alcohols:

In certain implementations, the organic solvent may be an alcohol. The alcohol can be an alcohol having a formula of X-C(R)«(0H)-Y, where: n is 1; each X and Y can be independently selected from hydrogen, -[C(R 1 )2]m-C(R 2 )3, or OH, wherein each R 1 and R 2 is independently selected from hydrogen, hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof, and wherein m is an integer from 0 to 10; and each R independently is selected from hydrogen, hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof.

In some embodiments, each R,R X and R 2 independently is selected from alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heterocyclyl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl -heterocyclyl, alkenyl-heterocyclyl, alkynyl-heterocyclyl, alkylheteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heterocyclyl, heteroalkenyl-heterocyclyl, heteroalkynyl - heterocyclyl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl -heteroaryl, or any combinations thereof. In particular disclosed embodiments, the alcohol may further be substituted with one or more substituents, such as alkoxy, amide, amine, thioether, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combinations thereof.

In other embodiments, when at least one of X or Y = -[C(R 1 )2]m-C(R 2 )s or R is a hydrogen and m is 1, the alcohol can be a C3 alcohol. For instance, if at least one R 1 and one R 2 is absent, then the C3 alcohol can be a C3 alkenol (e.g., allyl alcohol). In another instance, R and one R 2 together can form a ring (such as, cycloaliphatic), then the C3 alcohol can be a cyclopropanol or 2-cyclopropenol.

In yet other embodiments, when at least one of X or Y = -[C(R 1 )2]m-C( R 2 )s or R is a hydrogen and m is 2, the alcohol can be a C4 alcohol. For instance, if at least one R 1 and one R 2 is absent, then the C4 alcohol can be a C4 alkenol (e.g., 2-buten-l-ol or 3-buten-l-ol). In another instance, R and one R 2 together can form a ring (such as, cycloaliphatic), then the C4 alcohol can be a C4-cyclic alcohol (e.g., cyclobutanol or a cyclopropylmethanol). In yet another instance, if both X and Y are not OH , then the C4 alcohol can be a C4-branched alcohol (e g., 2-butanol, isobutanol, or tert-butanol).

In some instances, when X = OH and Y = -[C(R 1 )2]m-C(R 2 )3, the alcohol can be a diol. In other instances, when at least one X or Y= -[C(R 1 )2]m-C(R 2 )3 and at least one R 1 = OH or one R 2 = OH, or when R= OH, the alcohol can be a diol. Example diols include, but are not limited to, 1,4-butane diol, propylene-l,3-diol, and the like.

In other instances, when X = Y = OH, the alcohol can be a triol. In yet other instances, when X = R = OH, the alcohol can be a triol. In some instances, when at least one of X or Y is - [C(R 1 )2]m-C(R 2 )3 and one R 1 and at least one R 2 is OH, the alcohol can be triol. In other instances, when R = OH and X = -[C(R 1 )2]m-C(R 2 )3 and one R 1 and at least one R 2 is OH, the alcohol can be triol. Example triols include, but are not limited to, glycerol or glycerine derivatives thereof.

In particular embodiments, when R = cycloheteroaliphatic, heterocyclyl, heteroaryl, alkyl- heterocyclyl, alkenyl-heterocyclyl, alkynyl -heterocyclyl, heteroalkyl-heterocyclyl, heteroalkenyl- heterocyclyl, or heteroalkynyl-heterocyclyl, the alcohol can be a heterocyclyl alcohol (e.g., an optionally substituted heterocyclyl substituted with or more hydroxyls, such as furfuryl alcohol). In other embodiments, when at least one of X or Y is -[C(R 1 )2]m-C(R 2 )3 and one R 1 and at least one R 2 is cycloheteroaliphatic, heterocyclyl, heteroaryl, alkyl -heterocyclyl, alkenyl-heterocyclyl, alkynyl-heterocyclyl, heteroalkyl-heterocyclyl, heteroalkenyl-heterocyclyl, or heteroalkynyl- heterocyclyl, the alcohol can be a heterocyclyl alcohol.

In various embodiments, the alcohol may have between 1-10 carbon atoms. The alcohol may be a primary alcohol, a secondary alcohol, or a tertiary alcohol. In some cases, the alcohol may be selected from the group consisting of: methanol, ethanol, 1 -propanol, 2-propanol, 1- butanol, 2-butanol, t-butanol, 1-pentanol, 1-hexanol, 1-heptanol, 1-octanol, 1-nonanol, 1-decanol, and combinations thereof.

Laboratory Solvents:

In these or other cases, the organic solvent may include a laboratory-type solvent such as acetonitrile, dichloromethane, carbon tetrachloride, or a combination thereof.

Ketones:

In some embodiments, the organic solvent may be a ketone.

The organic solvent can also be a ketone having a formula of X-[C(O)]«-Y, where: n is an integer from 1 to 2; each X and Y can be independently selected from-C(R 1 )3, -R 2 , or -[C(R 3 )2]m-C(O)-R 4 , wherein each R 1 , R 2 , R 3 and R 4 can be independently selected from hydrogen, hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic- aromatic, heteroaliphatic-aromatic, or any combinations thereof; in which R 3 and R 4 , taken together with the atom to which each are attached, can optionally form a cycloaliphatic or cycloheteroaliphatic, and in which X and Y, taken together with the atom to which each are attached, can optionally form a cycloaliphatic or cycloheteroaliphatic; and m is an integer from 0 to 10.

In some embodiments, each R 1 , R 2 , R 3 and R 4 , independently, are alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalky nyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heterocyclyl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heterocyclyl, alkenyl-heterocyclyl, alkynyl-heterocyclyl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heterocyclyl, heteroalkenyl-heterocyclyl, heteroalkynyl-heterocyclyl, heteroalkyl- heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl-heteroaryl, or any combinations thereof. In particular disclosed embodiments, the organic solvent may further be substituted with one or more substituents, such as aldehyde (-C(O)H), oxo (=0), alkoxy, amide, amine, hydroxyl, thioether, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combinations thereof. One example ketone is acetone.

In some embodiments, when X and Y, taken together with the atom to which each are attached, forms a cycloaliphatic or cycloheteroaliphatic, the organic solvent can be a cyclic ketone. Example cyclic ketones include cyclohexanone, cyclopentanone, and the like.

In other embodiments, when at least one of X or Y = -[C(R 3 )2]m-C(O)-R 4 , the organic solvent can be a diketone. Example diketones include diacetyl, 2, 3 -pentanedi one, 2,3- hexanedione, 3,4-hexanedione, acetyl acetone, acetonylacetone, and the like, as well as halogenated forms thereof, such as hexafluoroacetylacetone.

In further embodiments, when at least one of X or Y = -[C(R 3 )2]m-C(O)-R 4 and X and Y, taken together with the atom to which each are attached, forms a cycloaliphatic or cycloheteroaliphatic, the organic solvent can be a cyclic diketone. Example cyclic diketones include dimedone, 1,3-cyclohexanedione, and the like.

In some instances, when X = -CH3, the organic solvent can have Y = -C(R X )3, in which at least one R 1 is C2-10 hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof. Example materials can include methyl propyl ketone, methyl butyl ketone, hydroxyacetone, and the like.

In other instances, when X = -CH3, the organic solvent can have Y = - R 2 , in which at least one R 2 is C2 alkenyl, C3-10 aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof. Example materials can include methyl vinyl ketone, methyl propyl ketone, methyl butyl ketone, and the like.

In yet other instances, when at least one of X or Y = aromatic, or aliphatic-aromatic, or heteroaliphatic-aromatic, the organic solvent can be an aromatic ketone. Example materials include acetophenone, benzophenone, benzylacetone, 1,3 -diphenylacetone, cyclopentyl phenyl ketone, and the like.

In certain embodiments where the organic solvent includes a ketone, the ketone may be selected from acetone and acetophenone. One or more additional ketones and/or other organic solvents described herein may be provided, as well.

Ethers:

In some embodiments, the organic solvent may be an ether having a formula of X-O-Y or X-O-[C(R) 2 ] n -O-Y, where: n is an integer from 1 to 4; each X and Y can be independently selected from -[C(R 1 )2]m-C(R 2 )3 or -R 3 or - [C(R 4 )2]p-O-[C(R 5 )2]™-C(R 6 )3, wherein each of R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R is independently selected from hydrogen, hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic- aromatic, or any combinations thereof, and wherein m is an integer from 0 to 10 and p is an integer from 1 to 10; in which X and Y, taken together with the atom to which each are attached, can optionally form a cycloheteroaliphatic group.

In some embodiments, each R, R 1 , R 2 , R 3 , R 4 , R 5 and R 6 independently are selected from alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalky nyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heterocyclyl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heterocyclyl, alkenyl-heterocyclyl, alkynyl- heterocyclyl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl -heteroaryl, heteroalkyl-aryl, heteroalkenyl -aryl, heteroalky nyl -aryl, heteroalkyl-heterocyclyl, heteroalkenyl -heterocyclyl, heteroalkynyl-heterocyclyl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl- heteroaryl, or any combinations thereof. In particular disclosed embodiments, the ether may further be substituted with one or more substituents, such as alkoxy, amide, amine, thioether, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combinations thereof.

In some embodiments, when X and Y are taken together with the atom to which each are attached in order form a cycloheteroaliphatic group, the organic solvent is a cyclic ether, such as, acetal, dioxane, dioxolane, etc. In some embodiments, when n = 1 and each R = H, X and Y taken together form a six, seven, eight, nine, or ten-membered ring. Example ethers include, but are not limited to, 1,3 -di oxolane, or derivatives thereof. In other embodiments, when n = 2 and R = H, X and Y form a seven, eight, nine, or ten-membered ring. Example ethers include, but are not limited to, 1,4-dioxane, or derivatives thereof. In yet other embodiments, when n = 1 or n = 2, then R is aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof. Example cyclic ethers include tetrahydrofuran, 2-methyltetrahydrofuran, 2-methyl- 1,3 -di oxolane, and the like.

In other embodiments, when at least one of X or Y = aromatic, the organic solvent can be an aromatic ether. Example aromatic ethers include anisole, diphenyl ether, and the like.

In some embodiments, when at least one of X or Y = cycloaliphatic, the organic solvent can be a cycloalkyl ether. Example cycloalkyl ethers include cyclopentyl methyl ether, cyclohexyl methyl ether, and the like.

In other embodiments, when at least one of X or Y = -[C(R 4 )2-O]^-C(R 6 )3, the organic solvent can be a glycol based ether. Example glycol based ethers include diethylene glycol diethyl ether, dipropylene glycol dimethyl ether, poly(ethylene glycol) dimethyl ether, etc., including methyl, ethyl, propyl, and butyl mono- and di-ethers of ethylene glycol, and the like.

Nitriles:

In some cases, the organic solvent is a nitrile having a formula R-ON, where

R is aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic- aromatic, or heteroaliphatic-aromatic.

In certain embodiments, R can be optionally substituted with a hydroxyl group (e.g., in one example R can be CHs-CH(OH)-CH2-, and the organic solvent will be CHs-CH(OH)-CH2-CN).

One example nitrile is acetonitrile, mentioned above.

In some embodiments, the organic solvent may include two or more of the organic solvents or types of organic solvents described herein. In some embodiments, water may be provided instead of, or in addition to, the organic solvent.

Carrier Gas

The carrier gas may be an inert gas. In some cases the carrier gas is a noble gas. In certain embodiments, the carrier gas may be selected from the group consisting of N2, He, Ne, Ar, Kr, and Xe. In some such embodiments, the carrier gas may be selected from the group consisting of N2, He, and Ar.

Additive

The additive may be selected from a number of different types of additives. For instance, in some cases the additive may be a heterocycle compound, a heterocyclic aromatic compound, a halogen- substituted heterocyclic aromatic compound, a heterocyclic aliphatic compound, an amine, a fluoroamine, an amino acid, an organophosphorus compound, an oxidizer, a bifluoride source, ammonia, an aldehyde, a carbene, or an organic acid. In some cases, more than one additive may be used. In some embodiments, the additive may be a b or on-containing Lewis acid or Lewis adduct. Boron trifluoride (BF3) is an example of aLewis acid that forms the acid-base adduct BFL . In some cases, the additive may fall into two or more of the categories listed above. In various embodiments, the additive serves the purposes of accelerating the reaction rate and enhancing the reaction selectivity.

Heterocyclic Aromatic Compounds:

In certain embodiments, the additive is a heterocyclic aromatic compound. The term “aromatic” is defined above. A heterocyclic aromatic compound is an aromatic compound that includes a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, or halo). Example heterocyclic aromatic compounds that may be used include, but are not limited to, a picoline, pyridine, pyrrole, imidazole, thiophene, N- methylimidazole, N-methylpyrrolidone, benzimidazole, 2,2-bipyridine, dipicolonic acid, 2,6- lutidine, 4-N,N-dimethylaminopyridine, and azulene. In some cases, a heterocyclic aromatic compound may be methylated. In some cases, a heterocyclic aromatic compound may follow the Htickel 4« + 2 rule. In some cases, the additive is a halogen-substituted aromatic compound. A halogen- substituted aromatic compound is an aromatic compound that includes at least one halogen bonded to the aromatic ring. As used herein, halogen or halo refers to F, Cl, Br, or I Example halogen- substituted aromatic compounds include, but are not limited to, 4- bromopyridine, chlorobenzene, 4-chlorotoluene, fluorobenzene, etc.

Heterocyclic Aliphatic Compounds:

In some embodiments, the additive is a heterocyclic aliphatic compound. As used herein, “aliphatic” means a hydrocarbon group having at least one carbon atom to 50 carbon atoms (Ci- 50), such as one to 25 carbon atoms (C 1.25), or one to ten carbon atoms (C 1. 10), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well. A heterocyclic aliphatic compound is an aliphatic compound that includes a 5-, 6- or 7- membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, or halo). Example heterocyclic aliphatic compounds include pyrrolidine, piperidine, etc.

Amines:

In some embodiments, the additive is an amine having a formula of NR L R 2 R 3 , where: each of R 1 , R 2 , and R 3 is independently selected from hydrogen, hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof; in which R 1 and R 2 , taken together with the atom to which each are attached, can optionally form a cycloheteroaliphatic; and in which R 1 , R 2 , and R 3 , taken together with the atom to which each are attached, can optionally form a cycloheteroaliphatic.

In some embodiments, each of R 1 , R 2 , and R 3 is independently selected from alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heterocyclyl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heterocyclyl, alkenyl-heterocyclyl, alkynyl-heterocyclyl, alkylheteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heterocyclyl, heteroalkenyl-heterocyclyl, heteroalkynyl - heterocyclyl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl -heteroaryl, or any combinations thereof. In particular disclosed embodiments, the amine may further be substituted with one or more substituents, such as alkoxy, amide, amine, hydroxyl, thioether, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combinations thereof.

In some embodiments, when at least one of R 1 , R 2 , and R 3 is aliphatic, haloaliphatic, haloheteroaliphatic, or heteroaliphatic, the additive is an alkyl amine. The alkyl amine can include dialkylamines, trialkyl amines, and derivatives thereof. Example alkyl amines include dimethylisopropylamine, A-ethyldiisopropylamine, trimethylamine, dimethylamine, methylamine, tri ethyl amine, t-butyl amine, and the like.

In other embodiments, when at least one of R 1 , R 2 , and R 3 includes a hydroxyl, the additive is an alcohol amine. In one instance, at least one of R 1 , R 2 , and R 3 is an aliphatic group substituted with one or more hydroxyls. Example alcohol amines include 2-(dimethylamino)ethanol, 2- (diethylamino)ethanol, 2-(dipropylamino)ethanol, 2-(dibutylamino)ethanol, N- ethyldiethanolamine, A-tertbutyldiethanolamine, and the like.

In some embodiments, when R 1 and R 2 , taken together with the atom to which each are attached, form a cycloheteroaliphatic, the additive can be a cyclic amine. Example cyclic amines include piperidine, /V-alkyl piperidine (e g., /V-methyl piperidine, /V-propyl piperidine, etc.), pyrrolidine, rV-alkyl pyrrolidine (e g., /V-m ethyl pyrrolidine, /V-propyl pyrrolidine, etc.), morpholine, JV-alkyl morpholine (e.g., A-m ethyl morpholine, /V-propyl morpholine, etc.), piperazine, A-alkyl piperazine, A/A-di alkyl piperazine (e.g., 1,4-dimethyl piperazine), and the like.

In other embodiments, when at least one of R 1 , R 2 , and R 3 includes an aromatic, the additive is an aromatic amine. In some embodiments, at least one of R 1 , R 2 , and R 3 is aromatic, aliphatic- aromatic, or heteroaliphatic-aromatic. In other embodiments, both R 1 and R 2 includes an aromatic. In yet other embodiments, R 1 and R 2 and optionally R 3 , taken together with the atom to which each are attached, from a cycloheteroaliphatic that is an aromatic. Example aromatic amines include aniline, histamine, pyrrole, pyridine, imidazole, pyrimidine, and the derivatives thereof.

In some embodiments, the additive may include an amine selected from the group consisting of: methylamine, dimethylamine, trimethylamine, ethylamine, diethylamine, triethylamine, isopropylamine, 1,2-ethylenediamine, aniline (and aniline derivatives such as N,Ndimethylaniline), N-ethyldiisopropylamine, tert-butylamine, and combinations thereof

In some embodiments, the additive may include a fluoramine. A fluoramine is an amine having one or more fluorinated substituents. Example fluoroamines that may be used include, but are not limited to, 4-trifluoromethylaniline.

In some embodiments, the additive can be a nitrogenous analogue of a carbonic acid, having a formula R L N-C(NR 2 )-NR 3 . Example additives can include, but are not limited to, guanidine or derivatives thereof.

In some embodiments, the additive may be a relatively low molecular weight amine, e.g., having a molecular weight of less than 200 g/mol or 100 g/mol in certain embodiments. Higher molecular weight amines, including those having long chains and/or heterocyclic compounds with aromatic rings, may be used in some embodiments.

Amino Acids:

In some embodiments, the additive may include an amino acid. The amino acid may have a formula of R-CH(NR r 2)-COOH, where: each R and R' independently are hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof.

Example amino acids that may be used include, but are not limited to, histidine, alanine, and derivatives thereof.

Organophosphorus Compounds:

In some embodiments, the additive may include an organophosphorus compound. The organophosphorus compound may be a phosphate ester, a phosphate amide, a phosphonic acid, a phosphinic acid, a phosphonate, a phosphinate, a phosphine oxide, a phosphine imide, or a phosphonium salt. Example organophosphorus compounds include phosphoric acid and trialkylphosphate. In some cases, the organophosphorous compound is a phosphazene. A phosphazene is an organophosphorus compound that includes phosphorus (V) with a double bond between P and N. The phosphazene may have a formula of RN=P(NR2)3 (where each of R and R2 are independently selected from hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof). In some cases, the phosphazene may have a formula of [X2PN] n (where X is a halide, alkoxide, or amide). Other types of phosphazenes may be used as desired.

Oxidizers:

In some embodiments, the additive includes an oxidizer. As used herein, an oxidizer is a material that has the ability to oxidize (e.g., accept electrons from) another substance. Example oxidizers that may be used include, but are not limited to, hydrogen peroxide, sodium hypochlorate, and tetramethyl ammonium hydroxide.

Bifluoride Sources:

In some embodiments, the additive includes a bifluoride source. A bifluoride source is a material that includes or produces bifluoride (HF2 ). Example bifluoride sources that may be used include, but are not limited to, ammonium fluoride, aqueous HF, gaseous HF, buffered oxide etch mixture (e.g., a mixture of HF and a buffering agent such as ammonium fluoride), and hydrogen fluoride pyridine. In some embodiments, the bifluoride source (and/or one or more of the other additives listed herein) may react to form HFz' before or after delivery to the reaction chamber.

Aldehydes:

In some embodiments, the additive includes an aldehyde having a formula of X-[C(O)]-H, where:

X can be selected from hydrogen, -R 1 , -C(R 2 )3 or -[C(R 3 )2]m-C(O)H, wherein each R 1 , R 2 and R 3 independently are selected from hydrogen, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic- aromatic, or any combinations thereof, and m is an integer from 0 to 10.

In some embodiments, each of R 1 , R 2 , and R 3 is, independently, alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalky nyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heterocyclyl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heterocyclyl, alkenyl-heterocyclyl, alkynyl-heterocyclyl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heterocyclyl, heteroalkenyl-heterocyclyl, heteroalkynyl-heterocyclyl, heteroalkylheteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl-heteroaryl, or any combinations thereof. In particular disclosed embodiments, the aldehyde or ketone may further be substituted with one or more substituents, such as aldehyde (-C(O)H), oxo (=0), alkoxy, amide, amine, hydroxyl, thioether, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combinations thereof.

In some embodiments, when X = aromatic, the additive can be an aromatic aldehyde. Example aromatic aldehydes include benzaldehyde, 1 -naphthaldehyde, phthalaldehyde, and the like.

In other embodiments, when X = aliphatic, the additive can be an aliphatic aldehyde Example aliphatic aldehydes include acetaldehyde, propionaldehyde, butyraldehyde, isovalerylaldehyde, and the like.

In yet other embodiments, when X = -[C(R 3 )2]m-C(O)H and m is 0 to 10 or when X = aliphatic or heteroaliphatic substituted with -C(O)H, the additive can be a dialdehyde. Example dialdehydes include glyoxal, phthalaldehyde, glutaraldehyde, malondialdehyde, succinaldehyde, and the like.

In some examples, an aldehyde used as an additive may be selected from the group consisting of: acrolein, acetaldehyde, formaldehyde, benzaldehyde, propionaldehyde, butyraldehyde, cinnamaldehyde, vanillin, and tolualdehyde. In these or other cases, an aldehyde used as an additive may be selected from the aldehydes discussed in this section and the aldehydes discussed in the organic solvent section.

Carbenes:

In some embodiments, the additive includes a carbene. The carbene may have a formula of X-(C:)-Y, where: each of X and Y can be independently selected from H, halo, -[C(R 1 )2]m-C(R 2 )3, -C(O)- R 1 , or -C(=NR X )-R 2 , -NR'R 2 , -OR 2 , -SR 2 , or -C(R 2 )3, wherein each of R 1 and R 2 is independently selected from hydrogen, hydroxyl, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic- aromatic, or any combinations thereof, and wherein m is an integer from 0 to 10; in which R 1 and R 2 , taken together with the atom to which each are attached, can optionally form a cycloheteroaliphatic group; and in which X and Y, taken together with the atom to which each are attached, can optionally form a cycloaliphatic or cycloheteroaliphatic group.

Furthermore, the additive can be a carbenium cation having a formula R X -C + (R)-R 2 , wherein each of R, R 1 , and R 2 is independently selected from hydrogen, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combinations thereof.

In some embodiments, each R, R 1 , and R 2 independently is selected from alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heterocyclyl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heterocyclyl, alkenyl-heterocyclyl, alkynyl-heterocyclyl, alkylheteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heterocyclyl, heteroalkenyl-heterocyclyl, heteroalkynyl- heterocyclyl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl -heteroaryl, or any combinations thereof. In particular disclosed embodiments, the carbene may further be substituted with one or more substituents, such as alkoxy, amide, amine, hydroxyl, thioether, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combinations thereof. In any embodiment of a carbene, each of R 1 and R 2 can be independently selected.

In some embodiments, when at least one of X or Y is halo, the additive can be a halocarbene. Example, non-limiting halocarbenes include dihalocarbene, such as di chlorocarbene, difluorocarbene, and the like.

In some embodiments, when both X = Y = -NR 1 R 2 , the additive can be a diaminocarbene. In one instance, each of R 1 and R 2 is independently aliphatic. Example diaminocarbenes include bis(diisopropylamino) carbene, and the like.

In other embodiments, when both at least one of X or Y = -NR'R 2 and both R 1 and R 2 within X or within Y are taken together, with the nitrogen atom to which each are attached, to form a cycloheteroaliphatic group, the additive can be a cyclic diaminocarbene. Example cyclic diamino carbenes include bis(/V-piperidyl) carbene, bis(JV- pyrrolidinyl) carbene, and the like.

In one instance, when both X = Y = -NR 1 R 2 and an R 1 group from X and an R 2 group from Y are taken together, with the nitrogen atom to which each are attached, to form a cycloheteroaliphatic group, the additive is an /V-heterocyclic carbene. Example N-heterocyclic carbenes include imidazol-2-ylidenes (e.g., l,3-dimesitylimidazol-2-ylidene, l,3-dimesityl-4,5- dichloroimidazol-2-ylidene, l,3-bis(2,6-diisopropylphenyl)imidazol-2-ylidene, 1,3-di-tert- butylimidazol-2-ylidene, etc.), imidazolidin-2-ylidenes (e g., l,3-bis(2,6- diisopropylphenyl)imidazolidin-2-ylidene), triazol-5-ylidenes (e.g., l,3,4-triphenyl-4,5-dihydro- lH-l,2,4-triazol-5-ylidene), and the like.

In some embodiments, when X = -NR'R 2 and Y = -SR 2 and an R 1 group from X and an R 2 group from Y are taken together, with the nitrogen atom to which each are attached, to form a cycloheteroaliphatic group, the additive is acyclic thioalkyl amino carbene. Example cyclic thioalkyl amino carbenes include thiazol-2-ylidenes (e.g., 3-(2,6-diisopropylphenyl)thiazol-2- ylidene and the like).

In some embodiments, when X = -NR’R 2 and Y = -C(R 2 )3 and an R 1 group from X and an R 2 group from Y are taken together, with the atom to which each are attached, to form a cycloheteroaliphatic group, the additive is an cyclic alkyl amino carbene.

Example cyclic alkyl amino carbenes include pyrrolidine-2-ylidenes (e.g., 1, 3, 3,5,5- pentamethyl-pyrrolidin-2-ylidene and the like) and piperidin-2-ylidenes (e.g., 1, 3, 3,6,6- pentamethyl-piperidin-2-ylidene and the like).

Further example carbenes and derivatives thereof include compounds having a thiazoleylidene moiety, a dihydroimidazol-2-ylidene moiety, an imidazol-2-ylidene moiety, a triazol-5- ylidene moiety, or a cyclopropenylidene moiety. Yet other carbenes and carbene analogs include an aminothiocarbene compound, an aminooxycarbene compound, a diaminocarbene compound, a heteroamino carbene compound, a 1,3-dithiolium carbene compound, a mesoionic carbene compound (e.g., an imidazolin-4-ylidene compound, a 1,2,3-triazolylidene compound, a pyrazolinylidene compound, a tetrazol-5-ylidene compound, an isoxazol-4-ylidene compound, a thiazol-5-ylidene compound, etc.), a cyclic alkyl amino carbene compound, a boranylidene compound, a silylene compound, a stannylene compound, a nitrene compound, a phosphinidene compound, a foiled carbene compound, etc. Further example carbenes include dimethyl imidazol- 2-ylidene, l,3-bis(2,4,6-trimethylphenyl)-4,5-dihydroimidazol-2-ylidene ,

(phosphanyl)(trifluoromethyl)carbene, bis(diisopropylamino) carbene, bis(diisopropylamino) cyclopropenylidene, l,3-dimesityl-4,5-dichloroimidazol-2-ylidene, l,3-diadamantylimidazol-2- ylidene, l,3,4,5-tetramethylimidazol-2-ylidene, l,3-dimesitylimidazol-2-ylidene, 1,3- dimesitylimidazol-2-ylidene, l,3,5-triphenyltriazol-5-ylidene, bis(diisopropylamino) cyclopropenylidene, bis(9-anthryl)carbene, norbomen-7-ylidene, dihydroimidazol-2-ylidene, methylidenecarbene, etc.

Organic Acids:

In some embodiments, the additive includes an organic acid. The organic acid may have a formula of R-CO2H, wherein R is selected from hydrogen, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic or any combinations thereof. In certain embodiments, R is alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkylheteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl -heteroaryl or any combinations thereof. In particular disclosed embodiments, R may further be substituted with one or more substituents such as, alkoxy, amide, amine, thioether, hydroxyl, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridinyl (or pyridinyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide or any combinations thereof. In certain implementations, the organic acid may be selected from formic acid and acetic acid.

Substitutions:

Any of the example materials described herein include unsubstituted and/or substituted forms of the compound. Non-limiting example substituents include, e.g., one, two, three, four, or more substituents independently selected from the group consisting of: (1) Cue alkoxy (e.g., -O- R, in which R is Ci-6 alkyl); (2) Ci-6 alkylsulfinyl (e.g., -S(O)-R, in which R is Ci-6 alkyl); (3) Ci-6 alkylsulfonyl (e.g., -SO2-R, in which R is Ci-6 alkyl); (4) amine (e.g., -C^NR^ 2 or -NHCOR 1 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein); (5) aryl; (6) arylalkoxy (e.g., -O-L-R, in which L is alkyl and R is aryl); (7) aryloyl (e.g., -C(O)-R, in which R is aryl); (8) azido (e.g., -N3); (9) cyano (e.g., -CN); (10) aldehyde (e.g., -C(O)H); (11) C3-8 cycloalkyl; (12) halo; (13) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms), (14) heterocyclyl oxy (e.g., -O-R, in which R is heterocyclyl, as defined herein); (15) heterocyclyloyl (e.g., -C(O)-R, in which R is heterocyclyl, as defined herein); (16) hydroxyl (e.g., -OH); (17) N-protected amino; (18) nitro (e g., -NO2); (19) oxo (e g., =0); (20) Ci-6 thioalkoxy (e.g., -S-R, in which R is C i-6 alkyl); (21) thiol (e.g., -SH); (22) -CO2R 1 , where R 1 is selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-Cms aryl (e g., -L-R, in which L is Ci-6 alkyl and R is C4-I8 aryl); (23) -C(O)NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-C -is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (24) -SO2R 1 , where R 1 is selected from the group consisting of (a) Ci-6 alkyl, (b) C4-I8 aryl, and (c) Ci-6 alkyl-C4-i8 aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (25) -SO2NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-C4-is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); and (26) -NR X R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) Ci-6 alkyl, (d) C2-6 alkenyl, (e) C2-6 alkynyl, (f) C4-18 aryl, (g) Ci-6 alkyl-C4-i8 aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl), (h) C3-8 cycloalkyl, and (i) Ci-6 alkyl-Cs-s cycloalkyl (e.g., -L-R, in which L is Ci-6 alkyl and R is C3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group. In certain embodiments, the additive may act as a proton acceptor and promote formation of HF 2 ’. In some such cases, the HF2' may actively etch one or more materials on the substrate such as an oxide material or another material.

Etching

The vapor phase species delivered to the reaction chamber may be collectively referred to as a gas mixture. The non-inert species delivered to the reaction chamber (e.g., the reactants other than the carrier gas) may be collectively referred to as a reactant mixture. The gas mixture includes the reactant mixture and the carrier gas. In some cases, the reactant mixture and/or the gas mixture may have a particular composition. For example, halogen source may be provided in the reactant mixture at a concentration between about 20-100% (by volume), or between about 20-99% (by volume). In these or other cases, halogen source may be provided in the gas mixture at a concentration between about 0.5-20% (by volume). The organic solvent and/or water may be provided in the reactant mixture at a concentration between about 10-100% (by volume), or between about 10-99% (by volume). In these or other cases, the organic solvent and/or water may be provided in the gas mixture at a concentration between about 0-10% (by volume), such as between about 1 - 10% (by volume). The additive may be provided in the reactant mixture at a concentration of between about 0.2-5% (by volume). In these or other cases, the additive may be provided in the gas mixture at a concentration between about 0-0.2%, or between about 0.0001- 0.2% (by volume) The carrier gas may be provided in the gas mixture at a concentration between about 0-99% (by volume).

In some embodiments, the additive and organic solvent and/or water are mixed such that the additive is between about 0.1-5% (by weight) of the additive/organic solvent and/or water mixture. A reactant mixture regardless of the order of mixing may be characterized by the additive being about 0.1-5% (by weight) of the total of the amounts of additive and organic solvent and/or water.

In the same or alternate embodiments, the reactant mixture may be characterized by halogen source: additive ratio (by volume). As described further below, in some embodiments, the selectivity can be tuned by the halogen source: additive vol. ratio, with selectivity increasing with an increasing amount of additive (and thus a decreasing ratio). In some embodiments, the halogen source: additive ratio is less than or equal to 10. In some embodiments, the halogen: source additive ratio is greater than 10.

According to various embodiments, the reactant mixture may include a halogen source, an alcohol, and an amine, where the amine is between 0.1-5% wt of the total alcohol and amine amounts. In some embodiments, the halogen source:amine volumetric ratio is no more than 10. In other embodiments, the halogen source:amine volumetric ratio is 10 or higher.

As described above, according to various implementations, the etch may be selective to one material on a substrate with respect to another material. In other implementations, the etch may be non-selective with respect to multiple materials on a substrate.

Additional Definitions

This section presents additional definitions that may be used herein. Some of the materials described in this section may overlap with those presented elsewhere in the application.

The term “acyl,” or “alkanoyl,” as used interchangeably herein, represents groups of 1, 2, 3, 4, 5, 6, 7, 8 or more carbon atoms of a straight, branched, cyclic configuration, saturated, unsaturated and aromatic, and combinations thereof, or hydrogen, attached to the parent molecular group through a carbonyl group, as defined herein. This group is exemplified by formyl, acetyl, propionyl, isobutyryl, butanoyl, and the like. In some embodiments, the acyl or alkanoyl group is -C(O)-R, in which R is hydrogen, an aliphatic group, or an aromatic group, as defined herein.

By “acyl halide” is meant -C(O)X, where X is a halogen, such as Br, F, I, or Cl.

By “aldehyde” is meant a -C(O)H group.

By “aliphatic” is meant a hydrocarbon group having at least one carbon atom to 50 carbon atoms (C1.50), such as one to 25 carbon atoms (C1.25), or one to ten carbon atoms (Ci- 10), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well.

By “alkyl -aryl,” “alkenyl-aryl,” and “alkynyl-aryl” is meant an aryl group, as defined herein, that is or can be coupled (or attached) to the parent molecular group through an alkyl, alkenyl, or alkynyl group, respectively, as defined herein. The alkyl-aryl, alkenyl-aryl, and/or alkynyl-aryl group can be substituted or unsubstituted. For example, the alkyl-aryl, alkenyl-aryl, and/or alkynyl-aryl group can be substituted with one or more substitution groups, as described herein for alkyl, alkenyl, alkynyl, and/or aryl. Example unsubstituted alkyl-aryl groups are of from 7 to 16 carbons (C7-16 alkyl-aryl), as well as those having an alkyl group with 1 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., Ci-6 alkyl-Cms aryl). Example unsubstituted alkenyl-aryl groups are of from 7 to 16 carbons (C7-16 alkenyl-aryl), as well as those having an alkenyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C2-6 alkenyl-C4-is aryl). Example unsubstituted alkynyl-aryl groups are of from 7 to 16 carbons (C7-16 alkynyl-aryl), as well as those having an alkynyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C2-6 alkynyl-C4-i8 aryl). In some embodiments, the alkyl-aryl group is -L-R, in which L is an alkyl group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the alkenyl-aryl group is -L-R, in which L is an alkenyl group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the alkynyl-aryl group is -L-R, in which L is an alkynyl group, as defined herein, and R is an aryl group, as defined herein.

By “alkenyl” is meant an unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C2-50), such as two to 25 carbon atoms (C2-25), or two to ten carbon atoms (C2-10), and at least one carbon-carbon double bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkene. An alkenyl group can be branched, straight-chain, cyclic (e.g., cycloalkenyl), cis, or trans (e.g., E or Z). An example alkenyl includes an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. The alkenyl group can also be substituted or unsubstituted. For example, the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl.

By “alkyl-heteroaryl” is meant a heteroaryl group, as defined herein, attached to the parent molecular group through an alkyl group, as defined herein. In some embodiments, the alkyl- heteroaryl group is -L-R, in which L is an alkyl group, as defined herein, and R is a heteroaryl group, as defined herein.

By “alkyl-heterocyclyl,” “alkenyl-heterocyclyl,” and “alkynyl-heterocyclyl” is meant a heterocyclyl group, as defined herein, that is or can be coupled (or attached) to the parent molecular group through an alkyl, alkenyl, or alkynyl group, respectively, as defined herein. The alkyl- heterocyclyl, alkenyl-heterocyclyl, and/or alkynyl-heterocyclyl group can be substituted or unsubstituted. For example, the alkyl-heterocyclyl, alkenyl-heterocyclyl, and/or alkynyl- heterocyclyl group can be substituted with one or more substitution groups, as described herein for alkyl, alkenyl, alkynyl, and/or heterocyclyl. Example unsubstituted alkyl-heterocyclyl groups are of from 2 to 16 carbons (C2-16 alkyl-heterocyclyl), as well as those having an alkyl group with 1 to 6 carbons and a heterocyclyl group with 1 to 18 carbons (i.e., C1-6 alkyl-Ci-is heterocyclyl). Example unsubstituted alkenyl-heterocyclyl groups are of from 3 to 16 carbons (C3-16 alkenyl- heterocyclyl), as well as those having an alkenyl group with 2 to 6 carbons and a heterocyclyl group with 1 to 18 carbons (i.e., C2-6 alkenyl-Ci-is heterocyclyl). Example unsubstituted alkynyl- heterocyclyl groups are of from 3 to 16 carbons (C3-I6 alkynyl-heterocyclyl), as well as those having an alkynyl group with 2 to 6 carbons and a heterocyclyl group with 1 to 18 carbons (i.e., C2-6 alkynyl-Ci-18 heterocyclyl). In some embodiments, the alkyl -heterocyclyl group is -L-R, in which L is an alkyl group, as defined herein, and R is a heterocyclyl group, as defined herein. In some embodiments, the alkenyl-heterocyclyl group is -L-R, in which L is an alkenyl group, as defined herein, and R is a heterocyclyl group, as defined herein. In some embodiments, the alkynyl-heterocyclyl group is -L-R, in which L is an alkynyl group, as defined herein, and R is a heterocyclyl group, as defined herein.

By “alkoxy” is meant -OR, where R is an optionally substituted aliphatic group, as described herein. Example alkoxy groups include, but are not limited to, methoxy, ethoxy, n-propoxy, isopropoxy, n-butoxy, t-butoxy, sec-butoxy, n-pentoxy, trihaloalkoxy, such as trifluoromethoxy, etc. The alkoxy group can be substituted or unsubstituted. For example, the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl. Example unsubstituted alkoxy groups include C1.3, Ci-6, C1.12, Ci-i6, Ci-is, C1.20, or C1.24 alkoxy groups.

By “alkyl” is meant a saturated monovalent hydrocarbon having at least one carbon atom to 50 carbon atoms (C1.50), such as one to 25 carbon atoms (C1.25), or one to ten carbon atoms (Ci- 10), wherein the saturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent compound (e.g., alkane). An alkyl group can be branched, straight-chain, or cyclic (e g., cycloalkyl). An example alkyl includes a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, s-butyl, t-butyl, n-pentyl, isopentyl, s-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like. The alkyl group can also be substituted or unsubstituted. The alkyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. For example, the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) Ci-6 alkoxy (e.g., -O-R, in which R is Ci-6 alkyl); (2) Ci-6 alkylsulfinyl (e g., - S(O)-R, in which R is Ci-6 alkyl); (3) Ci-6 alkylsulfonyl (e.g., -SO2-R, in which R is Ci-6 alkyl); (4) amine (e.g., -C(O)NR L R 2 or -NHCOR 1 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein); (5) aryl; (6) arylalkoxy (e.g., -O- L-R, in which L is alkyl and R is aryl); (7) aryloyl (e.g., -C(O)-R, in which R is aryl); (8) azido (e g., -N3); (9) cyano (e.g., -CN); (10) aldehyde (e.g., -C(O)H); (11) C3-8 cycloalkyl; (12) halo, (13) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (14) heterocyclyl oxy (e.g., -O-R, in which R is heterocyclyl, as defined herein); (15) heterocyclyloyl (e.g., -C(O)-R, in which R is heterocyclyl, as defined herein); (16) hydroxyl (e.g., -OH); (17) N-protected amino; (18) nitro (e.g., -NO2); (19) oxo (e.g., =0); (20) Ci-6 thioalkoxy (e.g., -S-R, in which R is alkyl); (21) thiol (e g., -SH); (22) - CO2R 1 , where R 1 is selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-I8 aryl, and (d) Ci-6 alkyl-Cr-ix aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (23) -C(O)NR 1 R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-I8 aryl, and (d) Ci-6 alkyl-C4-i8 aryl (e g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (24) -SO2R 1 , where R 1 is selected from the group consisting of (a) Ci-6 alkyl, (b) C4-18 aryl, and (c) Ci-6 alkyl-C4-is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (25) -SO2NR X R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-C -is aryl (e.g., - L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); and (26) -NR'R 2 , where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) Ci-6 alkyl, (d) C2-6 alkenyl, (e) C2-6 alkynyl, (f) C4-18 aryl, (g) Ci-6 alkyl-C4-is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl), (h) C3-8 cycloalkyl, and (i) Ci-6 alkyl-Cs-s cycloalkyl (e g., -L-R, in which L is Ci-6 alkyl and R is C3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group. The alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e g., one or more halo or alkoxy). In some embodiments, the unsubstituted alkyl group is a C1.3, Ci-6, C1.12, Ci-i6, Ci-i8, Ci-20, or Ci-24 alkyl group.

By “alkylsulfinyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through an -S(O)- group. In some embodiments, the unsubstituted alkylsulfinyl group is a C us or C 1.12 alkylsulfinyl group. In other embodiments, the alkylsulfinyl group is -S(O)- R, in which R is an alkyl group, as defined herein.

By “alkylsulfonyl” is meant an alkyl group, as defined herein, attached to the parent molecular group through an -SO2- group. In some embodiments, the unsubstituted alkylsulfonyl group is a Ci-6 or C1.12 alkylsulfonyl group. In other embodiments, the alkylsulfonyl group is -SO2-R, where R is an optionally substituted alkyl (e g., as described herein, including optionally substituted C1-12 alkyl, haloalkyl, or perfluoroalkyl).

By “alkynyl” is meant an unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C2-50), such as two to 25 carbon atoms (C2-25), or two to ten carbon atoms (C2-10), and at least one carbon-carbon triple bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkyne. An alkynyl group can be branched, straight-chain, or cyclic (e.g., cycloalkynyl). An example alkynyl includes an optionally substituted C2-24 alkyl group having one or more triple bonds. The alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like. The alkynyl group can be monovalent or multivalent (e.g., bivalent) by removing one or more hydrogens to form appropriate attachment to the parent molecular group or appropriate attachment between the parent molecular group and another substitution. The alkynyl group can also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl.

By “amide” is mean -C(O)NR 1 R 2 or -NHCOR 1 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein.

By “amine” is meant -NR'R 2 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein.

By “aminoalkyl” is meant an alkyl group, as defined herein, substituted by an amine group, as defined herein. In some embodiments, the aminoalkyl group is -L-NR L R 2 , in which L is an alkyl group, as defined herein, and each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. In other embodiments, the aminoalkyl group is -L-C(NR L R 2 )(R 3 )-R 4 , in which L is a covalent bond or an alkyl group, as defined herein; each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein; and each of R 3 and R 4 is, independently, H or alkyl, as defined herein. By “aromatic” is meant a cyclic, conjugated group or moiety of, unless specified otherwise, from 5 to 15 ring atoms having a single ring (e.g., phenyl) or multiple condensed rings in which at least one ring is aromatic (e.g., naphthyl, indolyl, or pyrazolopyridinyl); that is, at least one ring, and optionally multiple condensed rings, have a continuous, delocalized ir-electron system. Typically, the number of out of plane ^-electrons corresponds to the Huckel rule (4n+2). The point of attachment to the parent structure typically is through an aromatic portion of the condensed ring system.

By “aryl” is meant an aromatic carbocyclic group comprising at least five carbon atoms to 15 carbon atoms (C5-15), such as five to ten carbon atoms (C5-10), having a single ring or multiple condensed rings, which condensed rings can or may not be aromatic provided that the point of attachment to a remaining position of the compounds disclosed herein is through an atom of the aromatic carbocyclic group. Aryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. Example aryl groups include, but are not limited to, benzyl, naphthalene, phenyl, biphenyl, phenoxybenzene, and the like. The term aryl also includes heteroaryl, which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom. The aryl group can be substituted or unsubstituted. The aryl group can be substituted with one, two, three, four, or five substituents independently selected from the group consisting of: (1) Ci-6 alkanoyl (e.g., -C(O)-R, in which R is Ci-6 alkyl); (2) Ci-6 alkyl; (3) Ci-6 alkoxy (e.g., -O-R, in which R is Ci-6 alkyl); (4) Ci-6 alkoxy-Ci-6 alkyl (e.g., -L-O-R, in which each of L and R is, independently, Ci-6 alkyl); (5) Ci-6 alkylsulfinyl (e.g., -S(O)-R, in which R is Ci-6 alkyl); (6) Ci-6 alkylsulfinyl-Ci-6 alkyl (e.g., -L-S(O)-R, in which each of L and R is, independently, Ci-6 alkyl); (7) Ci-6 alkylsulfonyl (e.g., -SO2-R, in which R is Ci-6 alkyl); (8) Ci-6 alkylsulfonyl-Ci-6 alkyl (e.g., -L-SO2-R, in which each of L and R is, independently, Ci-6 alkyl); (9) aryl; (10) amine (e.g., -NR'R 2 , where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein); (11) Ci-6 aminoalkyl (e.g., -L^NR^ 2 or -L 2 - C(NR L R 2 )(R 3 )-R 4 , in which L 1 is Ci-6 alkyl; L2 is a covalent bond or Ci-e alkyl; each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein; and each of R 3 and R 4 is, independently, H or Ci-6 alkyl); (12) heteroaryl; (13) Ci-6 alkyl- C4-18 aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (14) aryloyl (e.g., -C(O)-R, in which R is aryl); (15) azido (e.g., -N3); (16) cyano (e g., -CN); (17) Ci-6 azidoalkyl (e g., -L-N3, in which L is Ci-6 alkyl); (18) aldehyde (e.g., -C(O)H); (19) aldehyde-Ci-6 alkyl (e.g., -L-C(O)H, in which L is Ci-6 alkyl); (20) C3-8 cycloalkyl; (21) Ci-6 alkyl-C3-8 cycloalkyl (e.g., -L-R, in which L is C 1.6 alkyl and R is C3-8 cycloalkyl); (22) halo; (23) Ci-6 haloalkyl (e g., -L L -X or -L 2 -C(X)(R 4 )- R 2 , in which L 1 is Ci-6 alkyl; L 2 is a covalent bond or Ci-6 alkyl; X is fluoro, bromo, chloro, or iodo; and each of R 1 and R 2 is, independently, H or Ci-e alkyl); (24) heterocyclyl (e.g., as defined herein, such as a 5-, 6- or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (25) heterocyclyloxy (e.g., -O-R, in which R is heterocyclyl, as defined herein); (26) heterocyclyloyl (e.g., -C(O)-R, in which R is heterocyclyl, as defined herein); (27) hydroxyl (- OH); (28) Ci-6 hydroxyalkyl (e g., -L X -OH or -L 2 -C(OH)(R 4 )-R 2 , in which L 1 is Ci-6 alkyl, L 2 is a covalent bond or alkyl; and each of R 1 and R 2 is, independently, H or Ci-6 alkyl, as defined herein); (29) nitro; (30) Ci-6 nitroalkyl (e.g., -L^NO or -L 2 -C(NO)(R 1 )-R 2 , in which L 1 is Ci-6 alkyl; L 2 is a covalent bond or alkyl; and each of R 1 and R 2 is, independently, H or Ci-6 alkyl, as defined herein); (31) N-protected amino; (32) N-protected amino-Ci-6 alkyl; (33) oxo (e.g., =0); (34) Ci-6 thioalkoxy (e.g., -S-R, in which R is Ci-6 alkyl); (35) thio-Ci-6 alkoxy-Ci-6 alkyl (e.g., -L-S-R, in which each of L and R is, independently, Ci-6 alkyl); (36) -(CLL^CChR 1 , where r is an integer of from zero to four, and R 1 is selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-C4-is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (37) -(CH2)rCONR 1 R 2 , where r is an integer of from zero to four and where each R 1 and R 2 is independently selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-C -is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (38) - (CH ^SCLR 1 , where r is an integer of from zero to four and where R 1 is selected from the group consisting of (a) Ci-6 alkyl, (b) C4-18 aryl, and (c) Ci-6 alkyl-C4-is aryl (e g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (39) -(CH ^SChM R 2 , where r is an integer of from zero to four and where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) Ci-6 alkyl, (c) C4-18 aryl, and (d) Ci-6 alkyl-C4-is aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl); (40) -(CH2)rNR 4 R 2 , where r is an integer of from zero to four and where each of R 1 and R 2 is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) Ci-6 alkyl, (d) C2-6 alkenyl, (e) C2-6 alkynyl, (f) C4-18 aryl, (g) Ci-6 alkyl-C4-i8 aryl (e.g., -L-R, in which L is Ci-6 alkyl and R is C4-18 aryl), (h) C3-8 cycloalkyl, and (i) Ci-6 alkyl-C3-8 cycloalkyl (e.g., -L-R, in which L is Ci-6 alkyl and R is C3-8 cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group; (41) thiol (e.g., -SH); (42) perfluoroalkyl (e.g., -(CF2) n CF3, in which n is an integer from 0 to 10); (43) perfluoroalkoxy (e.g., -O-(CF2) n CF3, in which n is an integer from 0 to 10); (44) aryloxy (e.g., -O-R, in which R is aryl); (45) cycloalkoxy (e g., -O-R, in which R is cycloalkyl); (46) cycloalkylalkoxy (e.g., -O-L-R, in which L is alkyl and R is cycloalkyl); and (47) arylalkoxy (e.g., -O-L-R, in which L is alkyl and R is aryl). In particular embodiments, an unsubstituted aryl group is a C4-18, C4-14, C4-12, C4-10, Ce-is, Ce-14, Cg-12, or Ce-io aryl group.

By “arylalkoxy” is meant an alkyl-aryl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the arylalkoxy group is -O-L- R, in which L is an alkyl group, as defined herein, and R is an aryl group, as defined herein.

By “aryloxy” is meant -OR, where R is an optionally substituted aryl group, as described herein. In some embodiments, an unsubstituted aryloxy group is a C4-18 or Ce-18 aryloxy group.

By “aryloyl” is meant an aryl group that is attached to the parent molecular group through a carbonyl group. In some embodiments, an unsubstituted aryloyl group is a C7-11 aryloyl or C5- 19 aryloyl group. In other embodiments, the aryloyl group is -C(O)-R, in which R is an aryl group, as defined herein.

By “azido” is meant an -N3 group.

By “azidoalkyl” is meant an azido group attached to the parent molecular group through an alkyl group, as defined herein. In some embodiments, the azidoalkyl group is -L-N3, in which L is an alkyl group, as defined herein. By “azo” is meant an -N=N- group.

By “carbene” is meant H2C: and derivatives thereof having carbon bearing two nonbonding electrons or (C:). In some embodiments, the carbene is R L R 2 (C:), where each of R 1 and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 , taken together with the atom to which each are attached, form a cycloaliphatic group, as defined herein.

By “carbenium cation” is meant FLC* and derivatives thereof having carbon bearing a +1 formal charge or C + . In some embodiments, the carbenium cation is R X -C + (R)-R 2 , where each of R, R 1 , and R 2 is, independently, selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof, or R 1 and R 2 and optionally R, taken together with the atom to which each are attached, form a cycloaliphatic group, as defined herein. By “carbonyl” is meant a -C(O)- group, which can also be represented as >C=O.

By “carboxyl” is meant a -CO2H group or an anion thereof.

By “cyano” is meant a -CN group.

By “cycloaliphatic” is meant an aliphatic group, as defined herein, that is cyclic.

By “cycloalkoxy” is meant a cycloalkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the cycloalkoxy group is -O-R, in which R is a cycloalkyl group, as defined herein.

By “cycloalkylalkoxy” is meant an alkyl-cycloalkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the cycloalkylalkoxy group is -O-L-R, in which L is an alkyl group, as defined herein, and R is a cycloalkyl group, as defined herein.

By “cycloalkyl” is meant a monovalent saturated or unsaturated non-aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1. heptyl, and the like. The cycloalkyl group can also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl.

By “cycloheteroaliphatic” is meant a heteroaliphatic group, as defined herein, that is cyclic.

By “ester” is meant -C(O)OR or -OC(O)R, where R is selected from aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof.

By “halo” is meant F, Cl, Br, or I.

By “haloaliphatic” is meant an aliphatic group, as defined herein, in which one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo.

By “haloalkyl” is meant an alkyl group, as defined herein, where one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo. In an independent embodiment, haloalkyl can be a -CX3 group, wherein each X independently can be selected from fluoro, bromo, chloro, or iodo. In some embodiments, the haloalkyl group is -L-X, in which L is an alkyl group, as defined herein, and X is fluoro, bromo, chloro, or iodo. In other embodiments, the halooalkyl group is -L-C(X)(R L )-R 2 , in which L is a covalent bond or an alkyl group, as defined herein; X is fluoro, bromo, chloro, or iodo; and each of R 1 and R 2 is, independently, H or alkyl, as defined herein.

By “haloheteroaliphatic” is meant a heteroaliphatic, as defined herein, in which one or more hydrogen atoms, such as one to 10 hydrogen atoms, independently is replaced with a halogen atom, such as fluoro, bromo, chloro, or iodo.

By “heteroaliphatic” is meant an aliphatic group, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group.

By “heteroalkyl,” “heteroalkenyl,” and “heteroalkynyl” is meant an alkyl, alkenyl, or alkynyl group (which can be branched, straight-chain, or cyclic), respectively, as defined herein, including at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the group.

By “heteroalkyl-aryl,” “heteroalkenyl-aryl,” and “heteroalkynyl-aryl” is meant an aryl group, as defined herein, that is or can be coupled to a compound disclosed herein, where the aryl group is or becomes coupled through a heteroalkyl, heteroalkenyl, or heteroalkynyl group, respectively, as defined herein In some embodiments, the heteroalkyl-aryl group is -L-R, in which L is a heteroalkyl group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the heteroalkenyl-aryl group is -L-R, in which L is a heteroalkenyl group, as defined herein, and R is an aryl group, as defined herein. In some embodiments, the heteroalkynyl-aryl group is -L-R, in which L is a heteroalkynyl group, as defined herein, and R is an aryl group, as defined herein.

By “heteroalkyl-heteroaryl,” “heteroalkenyl-heteroaryl,” and “heteroalkynyl-heteroaryl” is meant a heteroaryl group, as defined herein, that is or can be coupled to a compound disclosed herein, where the heteroaryl group is or becomes coupled through a heteroalkyl, heteroalkenyl, or heteroalkynyl group, respectively, as defined herein. In some embodiments, the heteroalkyl- heteroaryl group is -L-R, in which L is a heteroalkyl group, as defined herein, and R is a heteroaryl group, as defined herein. In some embodiments, the heteroalkenyl-heteroaryl group is -L-R, in which L is a heteroalkenyl group, as defined herein, and R is a heteroaryl group, as defined herein. In some embodiments, the heteroalkynyl-heteroaryl group is -L-R, in which L is a heteroalkynyl group, as defined herein, and R is a heteroaryl group, as defined herein.

By “heteroaryl” is meant an aryl group including at least one heteroatom to six heteroatoms, such as one to four heteroatoms, which can be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorous, and oxidized forms thereof within the ring. Such heteroaryl groups can have a single ring or multiple condensed rings, where the condensed rings may or may not be aromatic and/or contain a heteroatom, provided that the point of attachment is through an atom of the aromatic heteroaryl group. Heteroaryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. An example heteroaryl includes a subset of heterocyclyl groups, as defined herein, which are aromatic, i.e., they contain 4n+2 pi electrons within the mono- or multicyclic ring system.

By “heteroatom” is meant an atom other than carbon, such as oxygen, nitrogen, sulfur, silicon, boron, selenium, or phosphorous. In particular disclosed embodiments, such as when valency constraints do not permit, a heteroatom does not include a halogen atom.

By “heterocyclyl” is meant a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, or halo). The 5-membered ring has zero to two double bonds and the 6- and 7-membered rings have zero to three double bonds. The term “heterocyclyl” also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like. Heterocyclics include thiiranyl, thietanyl, tetrahydrothienyl, thianyl, thiepanyl, aziridinyl, azetidinyl, pyrrolidinyl, piperidinyl, azepanyl, pyrrolyl, pyrrolinyl, pyrazolyl, pyrazolinyl, pyrazolidinyl, imidazolyl, imidazolinyl, imidazolidinyl, pyridyl, homopiperidinyl, pyrazinyl, piperazinyl, pyrimidinyl, pyridazinyl, oxazolyl, oxazolidinyl, oxazolidonyl, isoxazolyl, isoxazolidiniyl, morpholinyl, thiomorpholinyl, thiazolyl, thiazolidinyl, isothiazolyl, isothiazolidinyl, indolyl, quinolinyl, isoquinolinyl, benzimidazolyl, benzothiazolyl, benzoxazolyl, furyl, thienyl, thiazolidinyl, isothiazolyl, isoindazoyl, triazolyl, tetrazolyl, oxadiazolyl, uricyl, thiadiazolyl, pyrimidyl, tetrahydrofuranyl, dihydrofuranyl, dihydrothienyl, dihydroindolyl, tetrahydroquinolyl, tetrahydroisoquinolyl, pyranyl, dihydropyranyl, tetrahydropyranyl, dithiazolyl, dioxanyl, dioxinyl, dithianyl, trithianyl, oxazinyl, thiazinyl, oxothiolanyl, triazinyl, benzofuranyl, benzothienyl, and the like.

By “heterocyclyloxy” is meant a heterocyclyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, the heterocyclyloxy group is - O-R, in which R is a heterocyclyl group, as defined herein.

By “heterocyclyloyl” is meant a heterocyclyl group, as defined herein, attached to the parent molecular group through a carbonyl group. In some embodiments, the heterocyclyloyl group is - C(O)-R, in which R is a heterocyclyl group, as defined herein.

By “hydroxyl” is meant -OH.

By “hydroxyalkyl” is meant an alkyl group, as defined herein, substituted by one to three hydroxyl groups, with the proviso that no more than one hydroxyl group may be attached to a single carbon atom of the alkyl group and is exemplified by hydroxymethyl, dihydroxypropyl, and the like. In some embodiments, the hydroxyalkyl group is -L-OH, in which L is an alkyl group, as defined herein. In other embodiments, the hydroxyalkyl group is -L-C(OH)(R 1 )-R 2 , in which L is a covalent bond or an alkyl group, as defined herein, and each of R 1 and R 2 is, independently, H or alkyl, as defined herein.

By “ketone” is meant -C(O)R, where R is selected from aliphatic, heteroaliphatic, aromatic, as defined herein, or any combination thereof.

By “nitro” is meant an -NO2 group.

By “nitroalkyl” is meant an alkyl group, as defined herein, substituted by one to three nitro groups. In some embodiments, the nitroalkyl group is -L-NO, in which L is an alkyl group, as defined herein. In other embodiments, the nitroalkyl group is -L-C(NO)(R L )-R 2 , in which L is a covalent bond or an alkyl group, as defined herein, and each of R 1 and R 2 is, independently, H or alkyl, as defined herein.

By “oxo” is meant an =0 group.

By “oxy” is meant -O-.

By “perfluoroalkyl” is meant an alkyl group, as defined herein, having each hydrogen atom substituted with a fluorine atom. Example perfluoroalkyl groups include trifluoromethyl, pentafluoroethyl, etc. In some embodiments, the perfluoroalkyl group is -(CF2) n CF3, in which n is an integer from 0 to 10. By “perfluoroalkoxy” is meant an alkoxy group, as defined herein, having each hydrogen atom substituted with a fluorine atom. In some embodiments, the perfluoroalkoxy group is -O-R, in which R is a perfluoroalkyl group, as defined herein.

By “salt” is meant an ionic form of a compound or structure (e.g., any formulas, compounds, or compositions described herein), which includes a cation or anion compound to form an electrically neutral compound or structure. Salts are well known in the art. For example, non-toxic salts are described in Berge S M et al., “Pharmaceutical salts,” J. Pharm. Sci. 1977 January; 66(1): 1-19; and in “Handbook of Pharmaceutical Salts: Properties, Selection, and Use,” Wiley - VCH, April 2011 (2nd rev. ed., eds. P. H. Stahl and C. G. Wermuth. The salts can be prepared in situ during the final isolation and purification of the compounds of the invention or separately by reacting the free base group with a suitable organic acid (thereby producing an anionic salt) or by reacting the acid group with a suitable metal or organic salt (thereby producing a cationic salt). Representative anionic salts include acetate, adipate, alginate, ascorbate, aspartate, benzenesulfonate, benzoate, bicarbonate, bisulfate, bitartrate, borate, bromide, butyrate, camphorate, camphorsulfonate, chloride, citrate, cyclopentanepropionate, digluconate, dihydrochloride, diphosphate, dodecyl sulfate, edetate, ethanesulfonate, fumarate, glucoheptonate, gluconate, glutamate, glycerophosphate, hemisulfate, heptonate, hexanoate, hydrobromide, hydrochloride, hydroiodide, hydroxyethanesulfonate, hydroxynaphthoate, iodide, lactate, lactobionate, laurate, lauryl sulfate, malate, maleate, malonate, mandelate, mesylate, methanesulfonate, methylbromide, methylnitrate, methylsulfate, mucate, 2-naphthalenesulfonate, nicotinate, nitrate, oleate, oxalate, palmitate, pamoate, pectinate, persulfate, 3 -phenylpropionate, phosphate, picrate, pivalate, polygalacturonate, propionate, salicylate, stearate, subacetate, succinate, sulfate, tannate, tartrate, theophyllinate, thiocyanate, triethiodide, toluenesulfonate, undecanoate, valerate salts, and the like. Representative cationic salts include metal salts, such as alkali or alkaline earth salts, e.g., barium, calcium (e.g., calcium edetate), lithium, magnesium, potassium, sodium, and the like; other metal salts, such as aluminum, bismuth, iron, and zinc; as well as nontoxic ammonium, quaternary ammonium, and amine cations, including, but not limited to ammonium, tetramethylammonium, tetraethylammonium, methylamine, dimethylamine, trimethylamine, triethylamine, ethylamine, pyridinium, and the like. Other cationic salts include organic salts, such as chloroprocaine, choline, dibenzylethylenediamine, diethanolamine, ethylenediamine, methylglucamine, and procaine. Yet other salts include ammonium, sulfonium, sulfoxonium, phosphonium, iminium, imidazolium, benzimidazolium, amidinium, guanidinium, phosphazinium, phosphazenium, pyridinium, etc., as well as other cationic groups described herein (e.g., optionally substituted isoxazolium, optionally substituted oxazolium, optionally substituted thiazolium, optionally substituted pyrrolium, optionally substituted furanium, optionally substituted thiophenium, optionally substituted imidazolium, optionally substituted pyrazolium, optionally substituted isothiazolium, optionally substituted triazolium, optionally substituted tetrazolium, optionally substituted furazanium, optionally substituted pyridinium, optionally substituted pyrimidinium, optionally substituted pyrazinium, optionally substituted triazinium, optionally substituted tetrazinium, optionally substituted pyridazinium, optionally substituted oxazinium, optionally substituted pyrrolidinium, optionally substituted pyrazolidinium, optionally substituted imidazolinium, optionally substituted isoxazolidinium, optionally substituted oxazolidinium, optionally substituted piperazinium, optionally substituted piperidinium, optionally substituted morpholinium, optionally substituted azepanium, optionally substituted azepinium, optionally substituted indolium, optionally substituted isoindolium, optionally substituted indolizinium, optionally substituted indazolium, optionally substituted benzimidazolium, optionally substituted isoquinolinum, optionally substituted quinolizinium, optionally substituted dehydroquinolizinium, optionally substituted quinolinium, optionally substituted isoindolinium, optionally substituted benzimidazolinium, and optionally substituted purinium).

By “sulfo” is meant an -S(O)2OH group.

By “sulfonyl” or “sulfonate” is meant an -S(O)2- group or a -SO2R, where R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, as defined herein, or any combination thereof.

By “thioalkoxy” is meant an alkyl group, as defined herein, attached to the parent molecular group through a sulfur atom. Example unsubstituted thioalkoxy groups include Ci-6 thioalkoxy. In some embodiments, the thioalkoxy group is -S-R, in which R is an alkyl group, as defined herein.

By “thiol” is meant an -SH group

A person of ordinary skill in the art would recognize that the definitions provided above are not intended to include impermissible substitution patterns (e.g., methyl substituted with 5 different groups, and the like). Such impermissible substitution patterns are easily recognized by a person of ordinary skill in the art. Any functional group disclosed herein and/or defined above can be substituted or unsubstituted, unless otherwise indicated therein.

APPARATUS The etching methods described herein can be carried out in a variety of apparatuses. A suitable apparatus includes a process chamber, a substrate holder in the process chamber configured to hold the substrate in place during etching, an inlet to the process chamber for introducing one or more reactants and a plasma generating mechanism configured for generating a plasma to activate one or more reactants in the process gas. In some cases, the etching apparatus also has the capability of performing deposition.

Examples of suitable apparatuses include, without limitation, inductively coupled plasma (ICP) reactors. Although ICP reactors are described herein in detail, it should be understood that capacitively coupled plasma reactors may also be used.

Figure 1 schematically shows a cross-sectional view of an inductively coupled plasma integrated etching apparatus appropriate for implementing etching methods described herein, an example of which is a Kiyo® reactor, produced by Lam Research Corp, of Fremont, CA. The apparatus includes a chamber 132 that includes a chamber body 114, a chuck 116, and a dielectric window 106. The chamber 132 includes a processing region and the dielectric window 106 is disposed over the processing region. The chuck 116 can be an electrostatic chuck for supporting a substrate 112 and is disposed in the chamber below the processing region. In some embodiments, an internal Faraday shield (not shown) is disposed inside the chamber 100 beneath the dielectric window 106. A transformer coupled plasma (TCP) coil 134 is disposed over the dielectric window 106 and is connected to match circuitry 102.

The system includes a bias RF generator 120, which can be defined from one or more generators. If multiple generators are provided, different frequencies can be used to achieve various tuning characteristics. A bias match 118 is coupled between the RF generators 120 and a conductive plate of the assembly that defines the chuck 116. The chuck 116 also includes electrostatic electrodes to enable the chucking and dechucking of the wafer. Broadly, a filter and a DC clamp power supply can be provided. Other control systems for lifting the wafer from the chuck 116 can also be provided.

In various embodiments, a bias voltage of the electrostatic chuck may be set at about 50Vb or may be set at a different bias voltage depending on the process performed in accordance with disclosed embodiments. For example, the bias voltage during plasma etch may be between about 20 Vb and about 100 Vb, or between about 30 Vb and about 150 Vb.

A first gas injector 104 provides two different channels to inject two separate streams of process gases or liquid precursor (in vapor form) to the chamber from the top of the chamber. It should be appreciated that multiple gas supplies may be provided for supplying different gases to the chamber for various types of operations, such as process operations on wafers, waferless autocleaning (WAC) operations, and other operations. A second gas injector 110 provides another gas stream that enters the chamber through the side instead of from the top.

Delivery systems 128 include, in one embodiment, an etch gas delivery system 127 and a liquid delivery system 129. Manifolds 122 are used for selecting, switching, and/or mixing outputs from the respective delivery systems. As will be described in more detail below, the etch gas delivery system is configured to output etchant gases that are optimized to etch one or more layers of materials of a substrate. The manifolds 122 are further optimized, in response to control from the controller 108, to perform plasma etching and declogging operations.

In the embodiment of Figure 4, independent gas streams may be delivered into the chamber. One stream can be injected through a center of injector 104. A second stream can be injected also through injector 104, but via a different path that surrounds the center of injector 104. The third stream may be injected into the side of the chamber via side injector 110. In one embodiment, gas injector 104 also provides for optical access into the process chamber, for example, along an axial path from a diagnostic endpoint outside the process chamber through an optical access window.

The various ways of injecting gases into the chamber have been described to illustrate that the etch gases and/or the liquid precursor can be provided into the chamber from various locations. In some cases, only the injector 104 is used. In other cases, only the side injector 110 is used. In other cases, both the injector 104 and the side injector 110 may be used. In one configuration, manifolds 122 control which gases are supplied to each of the three different gas lines. Manifolds 122 allow for any type of gas to be provided to any of the three different gas lines. The gases may be sent into the chamber without mixing, or be mixed with other gases before introduction into the chamber. In some embodiments the halogen source and vaporized organic solvent are delivered to the process chamber via separate inlets. In other embodiments, they may be delivered via one inlet.

Referring back to Figure 4, a vacuum pump 130 is connected to the chamber 132 to enable vacuum pressure control and removal of gaseous byproducts from the chamber during operational plasma processing. A valve 126 is disposed between exhaust 124 and the vacuum pump 130 to control the amount of vacuum suction being applied to the chamber.

The dielectric window 106 can include a ceramic material or a ceramic-type material. Other dielectric materials are also possible, so long as they are capable of withstanding the conditions of a semiconductor etching chamber. Typically, chambers operate at temperatures ranging between - 60 degrees Celsius and approximately 250 degrees Celsius. The apparatus will also typically include a heater, and a temperature control mechanism. The temperature will depend on the etching process operation and specific recipe. The chamber 132 will also operate at vacuum conditions in the range of between about 1 mTorr (mT) and about 10 Torr.

Although not all specifically shown, chamber 132 is typically coupled to facilities when installed in either a clean room or a fabrication facility. Facilities include plumbing that provide, among other things, processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to chamber 132, when installed in the target fabrication facility. Additionally, chamber 132 may be coupled to a transfer chamber that will enable robotics to transfer semiconductor wafers into and out of chamber 132 using automation.

A programmable controller 108 is provided for controlling the operation of the chamber 132 and its associated components. Broadly speaking, the controller 108 can be programmed to execute a chamber operation defined by a recipe. A given recipe may specify various parameters for the operation, such as the application of power to the TCP coils, the flow of gas into the chamber, and the application of vacuum. It should be appreciated that the timing, duration, magnitude, or any other adjustable parameter or controllable feature can be defined by a recipe and carried out by the controller to control the operation of the chamber 132 and its associated components. Additionally, a series of recipes may be programmed into the controller 108. In one embodiment, the recipe is configured to process etch operations and includes program instructions for performing any of the methods provided herein.

In some embodiments, a system controller 108 (which may include one or more physical or logical controllers) controls some or all of the operations of the process chamber. The system controller 108 may include one or more memory devices and one or more processors. In some embodiments, the apparatus includes a switching system for controlling flow rates of the process gases. The controller 108, in some embodiments, includes program instructions for causing the steps of any of the methods provided herein.

In some implementations, the system controller 108 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be integrated into the system controller 108, which may control various components or subparts of the system or systems. The system controller, depending on the processing parameters and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

Broadly speaking, the system controller 108 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication or removal of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

The system controller 108, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the system controller 430 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the system controller 108 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an ALE chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

In some embodiments the controller includes program instructions for: (i) on a semiconductor substrate having an exposed layer of a mask material, a recessed feature, and a layer of a target material undelying the layer of the mask material, where the target material is exposed at a bottom of the recessed feature, etching of the target material using a plasma etch, and thereby causing an increase in depth of the recessed feature; and (ii) etching a clogging material deposited during the plasma etch and narrowing or blocking the recessed feature, by contacting the semiconductor substrate with a halogen source and a vapor of a liquid selected from the group consisting of an organic solvent and water.

Figure 5 is a schematic diagram that illustrates additional details of the liquid delivery system, in accordance with one embodiment. The liquid delivery system allows for delivering organic solvents and/or water to the process chamber in a vapor form. As shown in in Figure 5, liquid delivery system 129 includes a source of liquid (e.g., solvent or water) 308, a liquid flow controller 310, and a vaporizer 312. The source of liquid 308 can be coupled in flow communication to facilities that provide suitable liquid solvents or water. As stated above, a variety of organic solvents, such as alkanes, ketones, and alcohols may be used. The liquid organic solvent or water flows from source 308 to liquid flow controller 310, which regulates the amount of flow based on instruction received from controller 108. The liquid flows from the liquid flow controller 310 to vaporizer 312, which converts the liquid solvent or water from the liquid state to the vapor state. The vaporized precursor flows to manifolds 122, which, based on control received from the controller 108, supplies the vaporized solvent or water to gas injector 104 (see, e.g., Figure 4) at the appropriate time. The vaporized solvent or water flows through gas injector 104 into the chamber 132 defined by chamber body 114 (see, e.g., Figure 4). In some embodiments the vaporized solvent and/or water are delivered to the process chamber via a first inlet, whereas the halogen source is delivered via a different inlet. In other embodiments, all components of the declogging mixture are delivered to the process chamber via a shared inlet.

Figure 6 depicts a semiconductor process cluster architecture with various modules that interface with a vacuum transfer module 1038 (VTM). The arrangement of various modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system. Airlock 1030, also known as a loadlock or transfer module, interfaces with the VTM 1038 which, in turn, interfaces with four processing modules 1020a- 1020d, which may be individually optimized to perform various fabrication processes. By way of example, processing modules 1020a-1020d may be implemented to perform substrate etching, deposition, ion implantation, wafer cleaning, sputtering, and/or other semiconductor processes. In some embodiments, plasma etching of the target layer and patterning of the mask layer are performed in the same module. In some embodiments, plasma etching of the target layer and patterning of the mask layer are performed in different modules of the same tool. One or more of the substrate etching processing modules (any of 1020a-1020d) may be implemented as disclosed herein, e.g., for plasma etching of the target layer, and other suitable functions in accordance with the disclosed embodiments. Airlock 1030 and processing modules 1020a-1020d may be referred to as “stations.” Each station has a facet 1036 that interfaces the station to VTM 1038. Inside each facet, sensors 1-18 are used to detect the passing of wafer 1026 when moved between respective stations.

Robot 1022 transfers wafer 1026 between stations. In one embodiment, robot 1022 has one arm, and in another embodiment, robot 1022 has two arms, where each arm has an end effector 1024 to pick wafers such as wafer 1026 for transport. Front-end robot 1032, in atmospheric transfer module (ATM) 1040, is used to transfer wafers 1026 from cassette or Front Opening Unified Pod (FOUP) 1034 in Load Port Module (LPM) 1042 to airlock 1030. Module center 1028 inside processing modules 1020a-1020d is one location for placing wafer 1026. Aligner 1044 in ATM 1040 is used to align wafers. In an exemplary processing method, a wafer is placed in one of the FOUPs 1034 in the LPM 1042. Front-end robot 1032 transfers the wafer from the FOUP 1034 to an aligner 1044, which allows the wafer 1026 to be properly centered before it is etched or processed. After being aligned, the wafer 1026 is moved by the front-end robot 1032 into an airlock 1030. Because the airlock 1030 has the ability to match the environment between an ATM 1040 and a VTM 1038, the wafer 1026 is able to move between the two pressure environments without being damaged. From the airlock 1030, the wafer 1026 is moved by robot 1022 through VTM 1038 and into one of the processing modules 1020a-1020d. In order to achieve this wafer movement, the robot 1022 uses end effectors 1024 on each of its arms. Once the wafer 1026 has been processed, it is moved by robot 1022 from the processing modules 1020a-520d to the airlock 1030. From here, the wafer 1026 may be moved by the front-end robot 1032 to one of the FOUPs 1034 or to the aligner 1044.

It should be noted that the computer controlling the wafer movement can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. A controller as described above with respect to Figure 4 may be implemented with the tool in Figure 6. Machine- readable media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.

In some embodiments, a system for processing a semiconductor substrate includes one or more etch chambers; and a system controller having program instructions for conducting any of the processes or sub-processes described herein.

In some embodiments an apparatus is provided, where the apparatus includes a process chamber having a substrate holder configured for holding the semiconductor substrate during etching, and an inlet for introducing one or more reactants to the process chamber; optionally a plasma generator configured for generating a plasma in a process gas; and a controller. The controller includes program instructions for implementing any of the methods describing herein.

In another aspect a non-transitory computer machine-readable medium is provided, where it includes code for causing the performance of any of the methods described herein.

FURTHER IMPLEMENTATIONS

The apparatus and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such apparatus and processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments these steps are performed in order to form a patterned mask layer prior to target layer etching.