Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
INTERCONNECT STRUCTURES
Document Type and Number:
WIPO Patent Application WO/2020/086477
Kind Code:
A1
Abstract:
Representative techniques and devices, including process steps may be employed to mitigate undesired dishing in conductive interconnect structures and erosion of dielectric bonding surfaces. For example, an embedded layer may be added to the dished or eroded surface to eliminate unwanted dishing or voids and to form a planar bonding surface. Additional techniques and devices, including process steps may be employed to form desired openings in conductive interconnect structures, where the openings can have a predetermined or desired volume relative to the volume of conductive material of the interconnect structures. Each of these techniques, devices, and processes can provide for the use of larger diameter, larger volume, or mixed-sized conductive interconnect structures at the bonding surface of bonded dies and wafers.

Inventors:
UZOH CYPRIAN EMEKA (US)
FOUNTAIN JR (US)
THEIL JEREMY ALFRED (US)
Application Number:
PCT/US2019/057252
Publication Date:
April 30, 2020
Filing Date:
October 21, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
INVENSAS BONDING TECH INC (US)
International Classes:
H01L23/522; H01L21/324; H01L21/768; H01L23/00; H01L23/485; H01L23/528; H01L23/535
Foreign References:
US20130320556A12013-12-05
US20180226371A12018-08-09
US20130307165A12013-11-21
US20180240860A12018-08-23
KR20110079222A2011-07-07
Attorney, Agent or Firm:
LATTIN, Christopher W. (US)
Download PDF:
Claims:
WHAT IS CLAIMED IS :

1. A microelectronic assembly, comprising:

a first substrate having a bonding surface, the bonding surface of the first substrate having a planarized topography;

first and second conductive interconnect structures embedded in the first substrate and exposed at the bonding surface of the first substrate, the second conductive interconnect structure having a larger surface area at the bonding surface than the first conductive interconnect structure; a first recessed portion disposed in a surface of the first conductive interconnect structure; and

a second recessed portion disposed in a surface of the second conductive interconnect structure, the second recessed portion having a larger volume than the first recessed portion, the first and second recessed portions at least partially filled with a first embedded layer.

2. The microelectronic assembly of claim 1, further comprising a second substrate having a bonding surface, the bonding surface of the second substrate having a planarized topography and directly bonded to the bonding surface of the first substrate without an adhesive; and

first and second conductive interconnect structures embedded in the second substrate and exposed at the bonding surface of the second substrate, the second conductive interconnect structure of the second substrate having a larger surface area at the bonding surface than the first conductive interconnect structure of the second substrate, the first conductive interconnect structure of the second substrate directly bonded to the first conductive interconnect structure of the first substrate and the second conductive interconnect structure of the second substrate directly bonded to the second conductive interconnect structure of the first substrate.

3. The microelectronic assembly of claim 2, further comprising a first recessed portion disposed in a surface of the first conductive interconnect structure of the second substrate and a second recessed portion disposed in a surface of the second conductive interconnect structure of the second substrate, the first and second recessed portions of the second substrate at least partially filled with a second embedded layer, the second embedded layer directly bonded to the first embedded layer without adhesive.

4. The microelectronic assembly of claim 3, wherein the first conductive interconnect structures of the first and second substrates form a first conductive interconnect and the second conductive interconnect structures of the first and second substrates form a second conductive interconnect, and wherein the first recessed portions of the first and second substrates form a first cavity within the first conductive interconnect and the second recessed portions of the first and second substrates form a second cavity within the second conductive interconnect, the first and second cavities fully lined with the second embedded layer and the first embedded layer.

5. The microelectronic assembly of claim 4, wherein the second cavity has a volume that is greater than a volume of the first cavity.

6. The microelectronic assembly of claim 1, further comprising one or more cavities in the surface of the first and/or second conductive interconnect structures of the first substrate, within a perimeter of the first and/or second conductive interconnect structures of the first substrate, the one or more cavities filled with the first embedded layer.

7. The microelectronic assembly of claim 2, wherein the second conductive interconnect structures of the first and second substrates have a surface width dimension greater than 5 microns.

8. The microelectronic assembly of claim 1, wherein the first embedded layer is comprised of a silicon-containing material.

9. The microelectronic assembly of claim 8, wherein the silicon-containing material comprises SiC, SiC/SiCh, SiN/SiC , or a silicide.

10. The microelectronic assembly of claim 1, wherein the conductive material of the first embedded layer is different from a material of the first and second conductive interconnect structures.

11. The microelectronic assembly of claim 1, wherein a melting point or coefficient of thermal expansion (CTE) of a material of the first embedded layer is greater than a melting point or CTE of a material of the first conductive interconnect structure.

12. A microelectronic assembly, comprising:

a first substrate having a bonding surface, the bonding surface of the first substrate having a planarized topography, a first conductive interconnect structure embedded in the first substrate with a first embedded layer on a portion of the surface of the first conductive interconnect structure; a second substrate having a bonding surface, the bonding surface of the second substrate having a planarized topography, a second conductive interconnect structure embedded in the second substrate with a second embedded layer on a portion of the surface of the second conductive interconnect structure;

a bond interface at which the bonding surface of the first substrate is bonded to the bonding surface of the second substrate, the first and second embedded layers of the first and second substrates contacting and forming an enclosed cavity.

13. The microelectronic assembly of claim 12, wherein the first and second embedded layers are conformal to the first and second conductive interconnect structures, respectively.

14. The microelectronic assembly of claim 12, wherein the cavity extends more than 100 nm below the bond interface.

15. A microelectronic assembly, comprising:

a first substrate having a bonding surface, the bonding surface of the first substrate having a planarized topography;

one or more first conductive interconnect structures embedded in the first substrate and exposed at the bonding surface of the first substrate; and

a first recessed portion disposed in a surface of at least one of the one or more first conductive interconnect structures, wherein a depth of the first recessed portion is larger than 20 nm.

16. The microelectronic assembly of claim 15, further comprising a second substrate having a bonding surface, the bonding surface of the second substrate having a planarized topography and directly bonded to the bonding surface of the first substrate without an adhesive; and

one or more second conductive interconnect structures embedded in the second substrate and exposed at the bonding surface of the second substrate, the one or more second conductive interconnect structures directly bonded to the one or more first conductive interconnect structures.

17. The microelectronic assembly of claim 16, further comprising a second recessed portion disposed in a surface of at least one of the one or more second conductive interconnect structures, a volume of the second recessed portion corresponding to an expansion of the material of the one or more second conductive interconnect structures when heated to the predetermined temperature.

18. The microelectronic assembly of claim 17, wherein the one or more second conductive interconnect structures and the one or more first conductive interconnect structures form one or more conductive interconnects, and wherein the first recessed portion and the second recessed portion form a cavity within at least one of the one or more conductive interconnects,

19. The microelectronic assembly of claim 18, wherein the cavity is partly or fully lined with a protective layer.

20. The microelectronic assembly of claim 19, wherein the protective layer contains silicon.

21. The microelectronic assembly of claim 20, wherein the protective layer is comprised of SiC, SiC/SiOi, or SiN/Si02.

22. The microelectronic assembly of claim 19, wherein the protective layer is comprised of tungsten, an alloy of tungsten, nickel, a nickel alloy, cobalt, an alloy of cobalt, tantalum, an alloy of tantalum, titanium, or an alloy of titanium.

23. The microelectronic assembly of claim 19, further comprising a dielectric layer disposed over the protective layer.

24. The microelectronic assembly of claim 15, wherein the first recessed portion is disposed within a perimeter of the one or more first conductive interconnect structures.

25. The microelectronic assembly of claim 15, wherein the one or more first conductive interconnect structures comprise multiple conductive interconnect structures with different widths and/or surface areas at the bonding surface.

26. The microelectronic assembly of claim 21, wherein the one or more first conductive interconnect structures has a surface width dimension greater than 10 microns.

Description:
INTERCONNECT STRUCTURES

PRIORITY CLAIM AND CROSS-REFERENCE

TO RELATED APPLICATION

[0001] This application claims the benefit of U.S. Non-Provisional Application No.

16/657,696, filed October 18, 2019, and also claims the benefit of priority under 35 U.S.C. §119(e)(1) of U.S. Provisional Application No. 62/748,653, filed October 22, 2018, and of U.S. Provisional Application No. 62/902,207, filed September 18, 2019, which are both hereby incorporated by reference in their entirety.

FIELD

[0002] The following description relates to integrated circuits (“ICs”). More particularly, the following description relates to manufacturing IC dies and wafers.

BACKGROUND

[0003] Microelectronic elements often comprise a thin slab of a semiconductor material, such as silicon or gallium arsenide, commonly called a semiconductor wafer. A wafer can be formed to include multiple integrated chips or dies on a surface of the wafer and/or partly embedded within the wafer. Dies that are separated from a wafer are commonly provided as individual, prepackaged units. In some package designs, the die is mounted to a substrate or a chip carrier, which is in turn mounted on a circuit panel, such as a printed circuit board (PCB). For example, many dies are provided in packages suitable for surface mounting.

[0004] Packaged semiconductor dies can also be provided in“stacked” arrangements, wherein one package is provided, for example, on a circuit board or other carrier, and another package is mounted on top of the first package. These arrangements can allow a number of different dies or devices to be mounted within a single footprint on a circuit board and can further facilitate high-speed operation by providing a short interconnection between the packages. Often, this interconnect distance can be only slightly larger than the thickness of the die itself. For interconnection to be achieved within a stack of die packages, interconnection structures for mechanical and electrical connection may be provided on both sides (e.g., faces) of each die package (except for the topmost package).

[0005] Additionally, dies or wafers may be stacked in a three-dimensional arrangement as part of various microelectronic packaging schemes. This can include stacking a layer of one or more dies, devices, and/or wafers on a larger base die, device, wafer, substrate, or the like, stacking multiple dies or wafers in a vertical or horizontal arrangement, and various combinations of both.

[0006] Dies or wafers may be bonded in a stacked arrangement using various bonding techniques, including direct dielectric bonding, non-adhesive techniques, such as ZiBond® or a hybrid bonding technique, such as DBI®, both available from Invensas Bonding Technologies, Inc. (formerly Ziptronix, Inc.), an Xperi company. The bonding includes a spontaneous process that takes place at ambient conditions when two prepared surfaces are brought together (see for example, U.S. PatentNo. 6,864,585 and 7,485,968, which are incorporated herein in their entirety).

[0007] When bonding stacked dies using a direct bonding technique, it is usually desirable that the surfaces of the dies to be bonded be extremely flat and smooth. For instance, in general, the surfaces should have a very low variance in surface topography (i.e., nanometer scale variance), so that the surfaces can be closely mated to form a lasting bond. One or more bonding surfaces of the dies or wafers is usually planarized, using chemical-mechanical polishing (CMP), or the like, to achieve the extremely flat and smooth surface(s) desired for bonding.

[0008] Respective mating surfaces of the dies or wafers to be bonded (which may comprise silicon, or another suitable material) often include conductive interconnect structures (which may be metal) embedded within an inorganic dielectric layer (e.g., such as an oxide, nitride, oxynitride, oxycarbide, carbides, nitrocarbides, diamond, diamond like materials, glasses, ceramics, glass- ceramics, and the like) at the bonding surface.

[0009] The conductive interconnect structures may be formed by damascene techniques (for example), and may include structures having varying widths and sizes. The conductive interconnect structures can be arranged and aligned at the bonding surface so that conductive interconnect structures from the respective die surfaces are joined during the bonding. The joined interconnect structures form continuous conductive interconnects (for signals, power, heat transmission, mechanical stability, etc.) between the stacked dies or wafers.

[0010] The exposed surfaces of embedded conductive interconnect structures may also be planarized, separately or together with the bonding surfaces of the dies or wafers. The profile and/or topography of the exposed surfaces of the conductive interconnect structures can be important to forming reliable continuous conductive interconnects between the dies or wafers, as well as important to forming reliable dielectric-to-dielectric bonds between the dies or wafers.

BRIEF DESCRIPTION OF THE DRAWINGS

[0011] The detailed description is set forth with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items.

[0012] For this discussion, the devices and systems illustrated in the figures are shown as having a multiplicity of components. Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure. Alternatively, other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.

[0013] FIGS. 1A - 1D show cross-sections of an example substrate having embedded conductive interconnect structures.

[0014] FIG. 2 shows a prior art solution to dishing of conductive interconnect structures.

[0015] FIGS. 3A - 3G show cross-sections of an example substrate having embedded conductive interconnect structures, including an example technique for mitigating undesired dishing of the conductive interconnect structures, according to an embodiment.

[0016] FIGS. 4A - 4C show cross-sections of an example substrate having embedded conductive interconnect structures, including an example technique for mitigating undesired dishing of the conductive interconnect structures and erosion of the substrate, according to an embodiment.

[0017] FIGS. 5A - 5D show cross-sections of an example substrate having embedded conductive interconnect structures, including another example technique for mitigating undesired dishing of the conductive interconnect structures and erosion of the substrate, according to an embodiment.

[0018] FIG. 6 shows an example top layer process technique for forming a bonding layer on a substrate with embedded conductive interconnect structures, according to an embodiment.

[0019] FIGS. 7A - 7D show cross-sections of an example substrate having embedded conductive interconnect structures, including an example technique for forming openings in the conductive interconnect structures, according to an embodiment. [0020] FIGS. 8A - 8D show cross-sections of an example substrate having embedded conductive interconnect structures, including another example technique for forming openings in the conductive interconnect structures, according to an embodiment.

[0021] FIGS. 9A - 9C show cross-sections of example substrates having embedded conductive interconnect structures with openings, including bonding solutions using the substrates, according to various embodiments.

[0022] FIGS. 10A - 10D show cross-sections of example substrates having embedded conductive interconnect structures with openings, including additional bonding solutions using the substrates, according to various embodiments.

[0023] FIGS. 11A - 11D show cross-sections of example substrates having embedded conductive interconnect structures with openings, including another example technique for forming the openings, and bonding solutions using the substrates, according to various embodiments.

[0024] FIG. 12 shows a cross-section of a substrate having embedded conductive interconnect structures with openings, utilized as an optical device, according to an embodiment.

[0025] FIG. 13 is a text flow diagram illustrating an example process of forming a substrate having embedded conductive interconnect structures with openings, according to an embodiment.

DETAILED DESCRIPTION

Overview

[0026] Representative techniques and devices, including process steps may be employed to mitigate undesired dishing in conductive interconnect structures and erosion of dielectric bonding surfaces. For example, an embedded layer may be added to the dished or eroded surface to eliminate unwanted dishing or voids and to form a planar bonding surface. Additional techniques and devices, including process steps may be employed to form desired openings in conductive interconnect structures, where the openings can have a predetermined or desired volume relative to the volume of conductive material of the interconnect structures. Each of these techniques, devices, and processes can provide for the use of larger diameter, larger volume, or mixed-sized conductive interconnect structures at the bonding surface of bonded dies and wafers.

[0027] In some embodiments, one or more protective layers may also be deposited within unintentional or intentionally recessed portions of conductive interconnect structures to prevent or eliminate atom migration (e.g., to suppress surface mobility) within the recessed portions. Protective layers may include conductive or nonconductive materials in various embodiments.

[0028] Various implementations and arrangements are discussed with reference to electrical and electronics components and varied carriers. While specific components (i.e., dies, wafers, integrated circuit (IC) chip dies, substrates, etc.) are mentioned, this is not intended to be limiting, and is for ease of discussion and illustrative convenience. The techniques and devices discussed with reference to a wafer, die, substrate, or the like, are applicable to any type or number of electrical components, circuits (e.g., integrated circuits (IC), mixed circuits, ASICS, memory devices, processors, etc.), groups of components, packaged components, structures (e.g., wafers, panels, boards, PCBs, etc.), and the like, that may be coupled to interface with each other, with external circuits, systems, carriers, and the like. Each of these different components, circuits, groups, packages, structures, and the like, can be generically referred to as a“microelectronic component.” For simplicity, unless otherwise specified, components being bonded to another component will be referred to herein as a“die.”

[0029] Referring to FIGS. 1 A and 1B, in some examples a damascene technique, or the like, may be used to form embedded conductive structures in the insulating layer 102 of a die or wafer. A barrier layer 106 may be deposited over one or more cavities 104 within the insulating layer 102, followed by a seed layer 108. The cavities 104 may be of different sizes (i.e., volumes and widths), having different areas and located with various spacing relative to each other, as desired and/or by design.

[0030] As shown at FIG. 1B, the cavities 104 can be filled using an electroplating bath or other technique with a conductive material 110 such as copper, for example. The conductive material 110 may comprise the same material as the seed layer 108, or a different material in some cases. Extra conductive material 110 plating is removed from the bonding surface 112 as shown in FIG. 1C. Conductive material 110 remaining within the damascene cavities 104 forms conductive interconnect structures 114.

[0031] Referring to FIG. 1C, preparing a bonding surface 112 of a die or wafer for direct bonding can include planarizing the exposed surfaces of embedded conductive interconnect structures 114 along with the insulating (e.g., dielectric, etc.) layer 102 of the die or wafer. This can provide the desired profile and topography of the exposed surfaces of the conductive interconnect structures 1 14 and the top insulating layer 102. As a result of a discontinuity in the properties (difference in mechanical properties, polishing rates, etc.) of the conductive material 110 (e.g., metal, for example copper) of the interconnect structures 114 and the insulator material 102 (e.g., silicon dioxide, etc.) of the die or wafer surface, and their respective interactions with the polishing pad, polishing slurry, and other process parameters, the planarizing can produce dielectric erosion (see, for example erosion 404 at FIG. 4A) in high metal pattern density areas and dishing 116 in the exposed surface of the interconnect structures 114.

[0032] In general, the higher the metal pattern density, the greater the erosion. Similarly, the larger the area of the exposed surface of the conductive interconnects 114, the deeper the dishing defect 116. Both result in a notable variance in the overall surface topography of the die or wafer. The variance may be enough to weaken a direct bond or reduce the reliability of the bond at the locations of the surface variance (including reducing the reliability of metal to metal bonds).

[0033] While some recessing may be desirable, as discussed further below, a consequence of undesirable dishing on the exposed surface of conductive interconnect structures 114 can include the need for higher than desirable temperatures to bond the prepared devices 118. This can limit the types of devices that can be bonded or limit the size of the interconnects 114 used. Further, some interconnect structures 114 with large surface areas can experience dishing 116 that may be too deep to form a reliable diffusion bond. For instance, the metal of the structure 114 may not expand enough at annealing temperatures to form the bond. If a bond is formed, it may be defective and unreliable.

[0034] For example, in some cases as shown at FIG. 1D, the excessive dishing 116 of structures 114 with larger surface areas can result in a void 120 within the bonded structures 114, including after annealing or post bonding higher temperature thermal treatment step. The voids 120 can contribute to reliability concerns, since they can allow the migration of voids or vacancy dislocation defects emitted from the voids 120 due to surface mobility in metals atoms (such as copper, for example) causing device failures, as well as limiting the current carrying capacity of the interconnect structures 114.

[0035] An example of an attempt at mitigating the effects of excessive dishing 116 is shown by the process 200 at FIG. 2. For instance, a device 118 having conductive interconnect structures 114 with excessive dishing 116 is shown at block A. At block B, the process includes adding an insulating layer 202, such as a dielectric layer for example, over the uneven bonding surface 112, and then resurfacing the added bonding layer 202 (via CMP planarization or the like) at block C.

[0036] As shown at block D, interconnect structures 204 with smaller widths (L2) and smaller exposed surface areas than the larger widths (Ll) and larger surface areas of the interconnect structures 114 can be formed (using single or dual damascene processes, for instance) in the added layer 202. The new interconnect structures 204 extend through the added bonding layer 202 and make electrical contact with the dished conductive structures 114 below. The goal is to reduce the exposed metal area on the new bonding layer 202 to reduce surface variance for a more reliable direct bond with stacked substrates 206 (see block E). However, the process of adding an additional bonding layer 202 can add 10 or more manufacturing steps, greatly increasing the cost of the devices 118 produced. Further, the new interconnect structures 204 (for example with a width L2) tend to be much smaller in area than the original structures 114 (for example with a width Ll) below, often negatively affecting electrical connection properties and limiting wiring design freedom.

Example Implementations

[0037] In various implementations, innovative techniques and devices are used to mitigate the effects of dishing and recesses 116 in the surface of interconnect structures 114 of various sizes (including large area structures, for instance having a width or diameter of 10 microns or more), to form reliable low temperature metallic bonds. The techniques and devices are effective to prepare a direct bonding surface 112 on dies and wafers having embedded conductive interconnect structures 114 with varying widths (e.g., diameters), dimensions, and sizes, including mixed sizes on a single die surface 112, for instance structures having a width or diameter of 1 micron to over 1000 microns. Further, the techniques and devices allow the use of a standard manufacturing technique for surface 112 preparation on such varied dies and wafers. In the implementations, an embedded layer 304 (see FIG. 3D) is added to the dished surface of the interconnect structures 114 to reduce or eliminate recessing and/or voids. In an embodiment, the embedded layer 304 may be comprised of a dielectric material, such as SiC, SiC/SiCh, SiN/Si0 2 , or the like. For example, the embedded layer 304 may be comprised of the same or a different dielectric as the die or wafer surface 102. In other embodiments, the embedded layer 304 may be comprised of a conductive material, such as tungsten, an alloy of tungsten, a nickel alloy, or the like. Alternatively, the embedded layer 304 may include a low CTE material, a silicon containing material, such as doped or undoped polysilicon (which may form a silicide), or other suitable material. Still further, multiple coats or layers of insulating and/or conductive materials may be used.

[0038] Referring to FIGS. 3A and 3B (showing cross-sectional profile views), patterned metal and oxide layers are frequently provided on a die, wafer, or other microelectronic substrate (hereinafter“die 302”) as a hybrid bonding, or DBI ® , surface layer. A representative device die 302 may be formed using various techniques, to include a base substrate (see FIG. 6) and one or more insulating or dielectric layers 102. The base substrate may be comprised of silicon, germanium, glass, quartz, a dielectric surface, direct or indirect gap semiconductor materials or layers or another suitable material. The insulating layers 102 are deposited or formed over the base, and may be comprised of inorganic dielectric material layers such as an oxide, nitride, oxynitride, oxycarbide, carbides, carbonitrides, diamond, diamond like materials, glasses, ceramics, glass-ceramics, and the like.

[0039] As discussed above, in some examples a damascene technique, or the like, may be used to form embedded conductive structures 114 in the insulating layer 102 of the die or wafer. A barrier layer 106 may be deposited over one or more cavities 104 within the insulating layer 102, followed by a seed layer 108, prior to depositing the material of the conductive interconnect structures 114, such that the barrier layer 106 is disposed between the conductive interconnect structures 114 and the insulating layer 102. The cavities 104 may be of different sizes (i.e., volumes and widths), having different areas and located with various spacing relative to each other, as desired and/or by design. A barrier layer 106 may be comprised of tantalum or titanium or cobalt containing materials, for example, or other conductive materials, to prevent or reduce diffusion of the material of the conductive interconnect structures 114 into the insulating layer 102.

[0040] As shown at FIG. 3B, the cavities 104 can be filled using an electroplating bath or other technique with a conductive material 110 such as copper or a copper alloy, for example. The conductive material 110 may comprise the same material as the seed layer 108, or a different material in some cases. Extra conductive material 110 plating is removed from the bonding surface 112 as shown in FIG. 3C. Conductive material 110 remaining within the damascene cavities 104 forms conductive interconnect structures 114.

[0041] Forming a bonding surface 112 includes finishing the surface 112 of the insulating layer 102 to meet dielectric roughness specifications and any metallic layers (e.g., copper traces, structures, pads, etc.) to meet recess specifications, to prepare the surface 112 for direct bonding. In other words, the bonding surface 112 is formed to be as flat and smooth as possible, with very minimal surface topography variance.

[0042] Referring to FIG. 3C, preparing the bonding surface 112 of the die 302 or wafer for direct bonding can include planarizing the exposed surfaces of embedded conductive interconnect structures 114 along with the insulating (e.g., dielectric, etc.) layer 102 of the die 302 or wafer. This can provide the desired profile and topography of the exposed surfaces of the conductive interconnect structures 114 and the top insulating layer 102. Various conventional processes, such as chemical mechanical polishing (CMP) may be used to achieve the low surface roughness. This process provides the flat, smooth surface 112 that can result in a reliable bond.

[0043] However, as discussed above, a result of a discontinuity in the properties (difference in mechanical properties, polishing rates, etc.) of the conductive material 110 (e.g., metal, for example copper, aluminum, etc.) of the interconnect structures 114 and the insulator material 102 (e.g., silicon dioxide, etc.) of the die or wafer surface, and their respective interactions with the polishing pad, polishing slurry, and other process parameters, the planarizing can produce dielectric erosion 402 (see FIG. 4 A) in the dielectric portions adjacent to the interconnect structures 114 and dishing 116 in the exposed surface of the larger interconnect structures 114.

[0044] In various implementations, as shown at FIG. 3D, the embedded layer 304 is formed (e.g., deposited, coated, etc.) over the previously prepared surface 112 of the die 302, including the surfaces of the embedded structures 114 having recesses 116. The embedded layer 304 is planarized with a hard CMP pad. As shown at FIG. 3E, the embedded layer 304 may be planarized to the point of revealing the highest surfaces of the embedded interconnect structures 114, which now include the embedded layer 304 within a perimeter of the exposed portion of the structures 114, partially or fully filling the undesirable recesses 116 and covering a surface of the recesses 116. The prepared bonding surface 306, which includes the conductive interconnect structures 114 and the embedded layer 304 as well as the planarized insulating layer 102, now has minimal surface topography variance, and can be reliably bonded to the bonding surface of another die 302, wafer, etc. using direct bonding techniques.

[0045] For instance, as shown at FIGS. 3F and 3G, a like die 302 (or wafer, etc.) that may have a similarly prepared bonding surface can be bonded to the bonding surface 306 to form a bonded device 312. In an embodiment, the joined conductive interconnect structures 114 can be diffusion bonded at lower temperatures, forming a unified conductive structure 310, and can include the embedded layer 304 within the unified conductive structure 310. This makes possible the use of conductive interconnect structures 114A with larger width or surface area, mixed-size conductive interconnect structures 114, and denser spacing of conductive interconnect structures 114B and 114C on the bonding surface 306.

[0046] In some cases, as shown at FIG. 3G, where the embedded layer 304 comprises an insulating material, the embedded layer 304 fills the void 120 that would be present otherwise, effectively suppressing the surface mobility of the atoms of the metal layer at the joint region or interface between the embedded layer 304 and the conductive interconnect structure 114. The embedded layer 304 is located within the perimeter, thus allowing the outer perimeter of the bonded conductive structures 114 to diffusion bond and to function electrically. In some instances, the outer perimeter is maintained to have a predetermined thickness (or width) for desired conductivity. Further, the material of the embedded layer 304 may be chosen for high bonding capability (e.g., SiC, SiC/SiCk, SiN/SiCk, or the like).

[0047] In other cases, where the embedded layer 304 comprises a conductive material, the embedded layer 304 has the previously discussed qualities (e.g., suppressing surface mobility within the recess, forming a desirable bonding surface with low surface topography variance, etc.), and also assists in conducting the signal, power, etc. at the unified conductive structure 310. The conductive material (e.g., tungsten, an alloy of tungsten, a nickel alloy, or the like) of the embedded layer 304 may be selected to have predetermined low surface mobility tendencies, reducing or avoiding atom migration. In some cases it may be preferable for the melting point of the embedded layer 304 to be higher than that of the material 110 of the conductive interconnect structure 114. In some cases, the embedded layer 304 may be comprised of multiple metals or like materials.

[0048] The embedded layer 304 disclosed herein is distinguished from the sealing layer, as described in US Patent 8809123 to Liu et al., which has properties such that when the sealing layer (such as germanium, tin, or the like) is combined with the material of the conductive pads (e.g., copper) and heated to a predetermined temperature, a metal in a eutectic phase is formed. In contrast, the embedded layer 304 of the instant disclosure lines or coats the portion (e.g., the recess 116) of the exposed surface of the conductive interconnect structure 114, reducing the gap of the recess 116 to form a more flat bonding surface and covering the exposed metal of the interconnect structure 114 to suppress atom migration at the recess 116.

[0049] Referring to FIG. 3G, in an alternate embodiment, where the area of an interconnect 114 surface is particularly large, for instance having a width or diameter of 100 microns or more for example, the embedded layer 304 may also experience some dishing during planarization. In the embodiment, the dishing may result in a void 308 (or air gap) in the embedded layer 304 within the unified conductive structure 310. However, the void 308 in the embedded layer 304 may be inconsequential, since the embedded layer 304 still covers the metal of the interconnect structures 114, reducing surface mobility of the material 110 of the conductive interconnect structures 114. In one embodiment, a width of the occluded void 308 or cavity within the embedded layer 304 is less than 50% of a width of the interconnect structure 114.

[0050] In various implementations, the thickness of the embedded layer 304 is greater than a thickness of the barrier layer 106 deposited during the damascene process. For instance, the embedded layer 304 may have a thickness of about 15 to 30 nanometers over the surface of the recess 116. In other implementations, the embedded layer 304 may be thicker than 30 nanometers for some recesses 116. For instance, the depth of the recess 116 may be about 1 to 5 microns, in some examples. In some implementations, the thickness of the embedded layer 304 is less than a width (or diameter) of the recess 116.

[0051] In various embodiments, a width (or diameter) of the surface area of the embedded layer 304 is less than a width (or diameter) of the otherwise exposed surface of the conductive interconnect structure 114. For instance, a width or diameter of the embedded layer 304 may be less than 50%, 20%, 10%, 5%, or 2% of a width or diameter of the surface of the conductive interconnect structure 114, in various examples.

[0052] In some embodiments, the spacing of the interconnect structures 114 may be reduced for greater wiring design freedom. For example, previous ratios of pad pitch to pad width (or diameter) have been kept larger, on the order of 2: 1 and 3 : 1 for some larger pads, due to increased interconnect 114 dishing and dielectric 102 erosion with closer ratios. In the embodiments, the pitch of the interconnect 114 pads may be reduced to less than 2. In this embodiment, a distance between two adjacent interconnect pads 114 is less than a width of the interconnect 114 pad when using the disclosed techniques and devices. For one example, a set of adjacent 20 micron interconnect 114 pads may now have a pitch of about 25 microns when applying the disclosed techniques and devices.

[0053] Referring to FIGS. 4A - 4C, in another implementation, the embedded layer 304 may also be used outside of the recess 116 of the conductive structure 114, including inside or outside the barrier layer 106 of the conductive structure 114. In one example, as shown at FIG. 4A, the conductive structure 114 may have one or more cavities 402 of missing metal at the perimeter of the structure 114. For instance, processing steps may cause some of the conductive structure 114 to corrode off, or the like, leaving the cavities 402 behind.

[0054] In an embodiment, as shown at FIG. 4B, the deposited embedded layer 304 can fill the cavities 402 where the metal of the structure 114 is missing. As shown at FIG. 4C, the embedded layer 304 filling the cavities 402 can smooth out the surface of the bonding layer 306 when the embedded layer 304 is planarized. In some embodiments, polishing the embedded layer 304 traps the material of the embedded layer 304 in the cavities 402 between the barrier layer 106 and the conductive structure 114.

[0055] In another example (as also shown at FIGS. 4 A - 4C), the dielectric material 102 at the surface adjacent to the conductive structure 114 may be eroded (or“rounded,” see 404) at the outer edges of the conductive structure 114 or at the barrier layer 106 during the planarization step. The erosion 404 may be more pronounced at regions with high metal density, for instance. As shown at FIG. 4A, the dielectric erosion 404 can comprise one or more cavities or recesses in the dielectric 102 outside the edges of the conductive structure 114 or the barrier layer 106. As shown at FIG. 4B, the deposited embedded layer 304 can also fill the cavities 404 where the dielectric 102 is missing. As shown at FIG. 4C, the embedded layer 304 filling the cavities 404 can smooth out the surface 306 of the bonding layer 102 when the embedded layer 304 is planarized. In some embodiments, polishing the embedded layer 304 traps the material of the embedded layer 304 in the cavities 404 or recesses. While the cavities 402 and 404 may be inadvertently formed as part of the process, such cavities or recesses may be provided intentionally at these locations or elsewhere on the bonding surface and may have any suitable shape, profile, or configuration as desired or required.

[0056] In a further embodiment, referring to FIG. 5A, another technique may be employed to mitigate the effects of missing metal portions of the conductive interconnect structures 114, or the like, while remedying the dishing 116 of the structures 114. In the embodiment, selective portions 502 of the dielectric layer 102 may be removed such that portions of sidewalls 504 of the conductive interconnect structures 114 protrude above the surface of the dielectric layer 102 (FIG. 5B).

[0057] As shown at FIG. 5C, the embedded layer 304 may be deposited over the surface of the insulating layer 102, including the interconnect structures 114. The embedded layer 304 can build up the surface of the insulating layer 102, providing a new layer 506 to be prepared for bonding. The embedded layer 304 may now contact at least a portion of the metal sidewall 504 of the interconnect structures 114 and/or the barrier layer 106. As shown at FIG. 5D, the embedded layer 304 can be planarized to the point of revealing the highest points of the interconnect structures 114, while retaining a flat surface with minimal surface topography variance. The interconnect structures 114 may now include the embedded layer 304 within a perimeter of the exposed portion of the structures 114 (filling the recesses 116). Any other cavities (e.g., 402, 404) in the interconnect structures 114 and/or the insulating layer 102 are also covered by the embedded layer 304.

[0058] Referring to FIG. 6, in various implementations, the disclosed technique can also be performed (shown as process 600) to provide a passivation layer 606 over the insulating layer 102, as a functional layer, a protective layer, or a preferred bonding layer. Block A shows a cavity 602 in an insulating layer 102, over a base layer 604, that is filled with a conductive material 110 (e.g., copper, etc.) using a damascene technique, or the like (as discussed above). As shown at block B, the conductive material over-fill from the damascene process is removed, by planarization, etch, or the like, forming the conductive interconnect structure 114 in the cavity 602. In some cases, it may be advantageous to make the surface of the insulating layer 102 as flat and smooth (as if for direct bonding, for instance) as possible.

[0059] At block C, portions 502 of the dielectric 102 can be selectively removed as desired (e.g., about 30-l00nm), using a selective wet etch for example, leaving the conductive structure 114 protruding from the insulating layer 102. At block D, the embedded layer 304 is deposited over the surface of the insulating layer 102, including the interconnect structures 114. The embedded layer 304 may contact at least a portion of the metal sidewall 504 of the interconnect structures 114 and/or the barrier layer 106. The embedded layer 304 can be planarized (CMP, for example) to the point of revealing the highest point(s) of the interconnect structures 114, while retaining a flat surface with minimal surface topography variance, as discussed above and shown at block E. The passivation layer 606, which may comprise a preferred bonding layer, a protective layer, and/or a functional layer for the die 302 comprises the planarized embedded layer 304 remaining on the insulating layer 102.

Additional Embodiments

[0060] In general, when directly bonding dies or wafers having bonding surfaces containing a combination of a dielectric layer 102 and one or more metal features, such as the embedded conductive interconnect structures 114, the dielectric surfaces 102 bond first and the metal 110 of the features 114 expands afterwards, as the metal 110 is heated during annealing. The expansion of the metal 110 can cause the metal 110 from both dies 302 to join into a unified conductive structure 310 (metal -to-metal bond). While both the insulating layer 102 and the metal 110 are heated during annealing, the coefficient of thermal expansion (CTE) of the metal 110 relative to the CTE of the insulating layer 102 generally dictates that the metal 110 expands much more than the insulating layer 102 at a particular temperature (e.g., -300C). For instance, the CTE of copper is 16.7, while the CTE of fused silica is 0.55, and the CTE of silicon (e.g., base 604) is 2.56. In some cases, the greater expansion of the metal 110 relative to the insulating layer 102 can be problematic for direct bonding stacked dies 302.

[0061] Some embedded conductive interconnect structures 114 may extend partially into the insulating layer 102 below the prepared bonding surface 112. For instance, some patterned metal features may be about 0.5 - 3 microns thick. Other conductive interconnect structures 114 may comprise thicker (e.g., deeper) structures, including metal through silicon vias (TSVs) or the like, that may extend partly or fully through the insulating layer 102 and include a larger volume of metal 110. For instance, a TSV may extend about 100 microns or more, depending on the thickness of the substrate. In some applications, it may be desirable to form large diameter metal structures 114, for instance having a width or diameter of 10 microns to over 100 microns, which would also include a larger volume of metal 110. As mentioned above, the metal 110 of these structures 114 expands when heated. In some cases, the metal 110 expansion can cause undesirable localized stress, including potential delamination of the bonding surfaces at the location of the structures 114. In a worst-case, the stress of the expanded metal 110 may separate the bonded dielectric surfaces 112 of the stacked dies 302.

[0062] Also, it can be relatively expensive to form fully-filled large cavities 104 with metal or other conductive material 110. For example, filling TSV arrays having a diameter of 5 microns and a depth of 100 microns by electroplating methods may require 10 to 20 minutes of metal plating time. However, filling TSV arrays having a diameter of 20 microns and a similar depth may require plating times between 120 to 400 minutes or even longer. The longer plating times reduces the throughput of the plating tool for filling larger cavities 104. Similarly, it can cost more to planarize the larger metal filled cavities 104 to remove unwanted metal on the bonding surface. In practice, the larger the metal filled cavity 104 the greater the mismatch stress due to differences in the coefficient of thermal expansion (CTE) between the coated metal 110 and the insulating layer 102. In the case of large metal TSVs, the larger the diameter of the via, the larger the keep out zone for devices in the device portion of the substrate.

[0063] Referring to FIGS. 7A - 7D, in various embodiments, devices, techniques, and processes may be employed to mitigate the effects of undesirable high-stress, including the potential for delamination due to metal 110 expansion, improve throughput, and reduce cost of ownership for forming planar metal in large cavities 104. This can allow for the use of larger diameter structures 114, larger volume structures 114, or mixed-sized structures 114. As an example, the diameter of 114A is larger than the diameter of 114B, which is in turn larger than that of 114C.

[0064] For example, in various embodiments, an opening 702 may be intentionally formed in a conductive interconnect structure 114. The opening 702 may extend a predetermined depth below the surface of the conductive interconnect 114. For a given metal coating time, the volume of opening of 702A can be larger than that of 702B and the volume of opening 702B can be larger than 702C. The volume of the opening 702 may be selected based on the material 110 of the conductive interconnect 114, its thickness or volume of material 110, and its anticipated expansion during annealing. In various embodiments the opening 702 may include any recessed portion, gap, cavity, hollow, or the like in the conductive interconnect structure 114 that provides room for the material 110 of the interconnect structure 114 to expand into. A suitably sized opening 702 can reduce or eliminate the stress of the expanding material 110 on the bond joint 306 of the stacked dies 302 or wafers, since the metal 110 can expand into the opening 702. The width of the opening 702 may range for example between less than 100 nm to over 20 microns. With an opening 702 of predetermined size, it can still allow the material 110 of respective interconnect structures 114 to reliably join and form continuous conductive interconnects 310 between the stacked dies 302 or wafers.

[0065] In various embodiments, the opening 702 may be intentionally formed to have a desired preselected volume (e.g., to accommodate excessive stresses resulting from the mismatch in the thermal expansion of the coated metal 110 in the cavity 104 and the surrounding insulator 102 materials). In other embodiments, the opening 702 may be allowed to form as part of processing the bonding surface 306 of the die 302 or wafer. In such cases, the volume of the opening 702 may be predictable based on the processes and materials involved.

[0066] For example, in some embodiments, the opening 702 may be formed intentionally while the conductive interconnect structure 114 is formed. For instance, as shown at FIGS. 7A - 7C, conductive interconnect structures 114 may be formed using damascene techniques, and may include cavities 104 and structures 114 having mixed widths (104A, 104B, and 104C) and depths. As illustrated at FIG. 7A, one or more damascene cavities 104 may be formed in the surface of the dielectric layer 102 of the die 302 or wafer or substrate of interest, to extend partially or fully through the dielectric layer 102. In one example, a cavity 104 may have a width or diameter of at least 10 microns and a depth of at least 5 microns or even 10 microns. Alternately, the cavities 104 may be formed to extend into the base substrate (not shown - see base 604 at FIG. 6 for example) of the die 302 or wafer. A barrier layer 106 and a seed layer 108 are deposited over the exposed surfaces of the cavities 104.

[0067] As shown at FIG. 7B, the cavities 104 are partially filled with conductive material 110 (e.g., copper, a copper alloy, etc.) using a super-filling electroplating bath, or using a conformal electroplating or electroless plating bath or the like. In some embodiments, the conductive layer 110 may be coated into the cavity 104 by a physical vapor deposition method (PVD) or by an atomic layer deposition method, chemical vapor deposition method, or spin coating of the conductive layer 1 10 into the cavity 104. The partial filling of the conductive layer 110 in the cavities 104 results in conductive interconnect structures 114 with intentional openings 702 (e.g., 702A, 702B, and 702C) within the damascene cavities 104 (e.g., 104A, 104B, and 104C, respectively).

[0068] The bonding surface 306 of the die 302 or wafer or substrate of interest is planarized (using chemical-mechanical polishing (CMP), or the like) to prepare the dielectric surface 102 and conductive interconnect structures 114 for bonding. This includes removing the unwanted layer of plating 110 and other conducting barrier layer 106 from the damascene process from the dielectric bonding surface 306, as illustrated in FIG. 7C. The remaining openings 702’ (e.g., 702A’, 702B’ and 702C’) are confined to the interconnect structures 114, and may have predetermined volumes. In one embodiment, a width (“w”) of the remaining opening 702’ at the bonding surface 306 of the die 302 or wafer is greater than a thickness of the barrier layer 106 between the conductive layer 110 and the dielectric layer 102. Also, the width of the remaining opening 702A’ is larger than the width of remaining opening 702B’ and the width of remaining opening 702B’ is larger than the width of remaining opening 702C’ . In other applications, a width (“w”) of the remaining opening 702’ at the bonding surface 306 is larger than a thickness of the conductive layer 110 within the respective cavity 104. In some applications, the depth (“d”) of the remaining opening 702’ may be less than 50 nm, and preferably less than 100 microns.

[0069] The bonding surface 306 of the die 302 or wafer may be ready for bonding to another like die 302 or wafer, or to some other prepared substrate 704, to form a bonded device 312. In various embodiments, the substrate 704 may comprise the same or a dissimilar or a different material than the die 302. For instance, the substrate 704 may comprise a dielectric, a glass, a semiconductor, or other material. After the bonding operation, in which the planar portion or portions of the conductive interconnect structures 114 (114A, 114B and 114C) on the bonding surface 306 are directly bonded to the prepared surface of the opposing substrate 704, the remaining openings 702’ are occluded within the conductive interconnect structures 114, as depicted in FIG. 7D.

[0070] In an alternate process, as shown at FIGS. 8 A - 8D, after partially filling the damascene cavities 104 with the conductive material 110, a protective layer 802 may be formed (e.g., deposited, coated, etc.) over the surface of the conductive material 110, including within the opening 702 in the surface of the conductive interconnect structures 114 (see FIGS. 8C and 8D).

[0071] In various embodiments, the protective layer 802 may comprise a dielectric material, such as Si0 2, SiC, SiN, SiC/Si0 2 , SiN/Si0 2 , SiN/polysilicon, inorganic dielectric/organic dielectric or the like. For example, the protective layer 802 may be comprised of the same or a different dielectric as the insulating layer 102 of the die 302 or wafer surface. In other embodiments, the protective layer 802 may be comprised of a conductive material, such as tungsten, an alloy of tungsten, a nickel alloy, tantalum or titanium and the various alloys, for example TaN/Ta or Ta/TaN, Ti/TiN, cobalt, CoP, NiP, CoWP, CoP/NiP or the like. Still further, the protective layer 802 may include a low CTE material, a silicon containing material, such as doped or undoped polysilicon (which may form a silicide), or other suitable material. Still further, multiple coats or layers of insulating and/or conductive materials may be used.

[0072] The protective layer may be deposited by PVD methods or from electrolytic or by electroless plating baths or other techniques. Alternatively, the protective layer 802 may include multiple coats or layers of insulating and/or conductive materials. In some applications, the protective layer 802 may comprise a conformal coating of one or more materials. One of the benefits of the protective layer 802 is to suppress the surface mobility of metal atoms at the surface of the conductive layer 110, adj acent to the protective layer 802 within the opening 702, improving the reliability of the bonded interconnect 114. Thus the protective layer 802 can act as a bonding surface for a portion of the conductive interconnect 114.

[0073] In an embodiment, the thickness of the protective layer 802 is less than the thickness of the conductive layer 1 10 within the respective cavities 104 bounded with the protective layer 802 and the barrier layer 106. In other embodiments, the protective layer 802 may be thicker than the conductive layer 110. After planarization of the bonding surface 306, including removing unwanted materials from the bonding surface 306, the remaining openings 702’ (702A’, 702B’ and 702C’) in the surfaces of the interconnect structures 114 retain the protective layer 802 on the interior surfaces of the remaining openings 702’, which can have predetermined volumes.

[0074] The die 302 or wafer may be prepared for bonding to another like die 302 or wafer (as shown at FIGS. 3F, 3G, 9B, and 9C), and/or to some other prepared substrate 704 (as shown at FIGS. 7D, 9A, and 9C) to form a bonded device 312. In one example, the planarized wafer of FIG. 8D may be singulated by known methods, the singulated wafer is cleaned and prepared for bonding operation. For instance, the die 302 from the singulated wafer or wafer may be bonded to a planar substrate 704 or other carrier to form the bonded device 312. In one embodiment, the planar carrier 704 or the die 302 may include a single or multilevel BEOL interconnect structure 114 or a dielectric comprising one or more RDL layers. During the bonding operation, the conductive interconnect structures 114 of the dies 302 are aligned to and mated intimately with the receiving conductive interconnect structures 114 on the surface of the like die 302 or wafer and/or the prepared substrate 704 to form the unified conductive structures 310.

[0075] In various cases, as shown at FIGS. 9 A - 9C, the dies 302 or wafers may be bonded front-to-front (FIG. 9B) or back-to-front (FIG. 9C) and/or bonded to a planar substrate 704 (FIGS. 9A and 9C) to form a bonded device 312. After bonding, the conductive interconnect structures 114 have enclosed cavities 702’ with a protective layer 802 lining the interior surfaces of the cavities 702’. The profile of the occluded cavity 702’ or cavities 702’ viewed from a cross section of the die 302 may be geometrically regular or irregular shapes. In various embodiments, the receiving substrate 704 may be comprised of a conductive layer occluding one or more cavities 702’, as shown at FIGS. 9 A and 9C.

[0076] In other words, in an example embodiment, as shown at FIG. 7D, a bonded device 312 can comprise a portion of a conductive layer (e.g., part of a conductive interconnect 114 at the bonding surface of the die 302) directly bonded to a substrate 704 and another portion of the conductive layer (e.g., an interior portion of the occluded cavity 702’ within the conductive interconnect 114) not bonded to the same substrate 704. And in another implementation, as shown at FIG. 9A, a bonded device 312 can comprise a portion of conductive layer (e.g., part of a conductive interconnect 114 at the bonding surface of the die 302) directly bonded to a substrate 704 and another portion of the conductive layer (e.g., an interior portion of the occluded cavity 702’ within the conductive interconnect 114) directly coated with a protective layer 802 not bonded to the same substrate 704.

[0077] In some applications, with wafers or dies 302 comprising TSVs or through electrodes, after the bonding operation as shown in FIG. 9B, the backside of the bonded wafer of dies 302 may thinned and formed to expose the backside of the conductive structures (TSVs or through electrodes). Additional prepared dies 302 or wafers may be electrically coupled to the exposed TSV on the bonded die 302 or wafer backside. The electrically coupling of the said dies 302 or wafer may comprise the use DBI methods or flip chip methods, amongst others. Further Embodiments

[0078] Further embodiments are shown at FIGS. 10A - 12. In one embodiment, as shown at FIGS. 10A - 10D, the partial-filling of conductive material 110 discussed above is applied thinner, and amounts to a conformal or non-conformal metal coating 1002 within the damascene cavity 104. This thinner coating 1002 can result in an opening 702’ with a very large volume with respect to the conductive interconnect structure 114, depending on the thickness of the conductive layer 1002.

[0079] Additionally, as shown at FIG. 10B, the coating 1002 may be thicker in some cases, or have varying thicknesses for different devices 312 or within a single device 312. For instance, in some embodiments, the cross-sectional width (“w”) of the opening 702’ is more than 3 times larger than the thickness (“t”) of the conductive layer 1002 (on the sidewall of the cavity 104, for example). Also, in some embodiments, a depth (“d”) of the opening 702’ can be greater than a cross-sectional width (“w”) of the opening 702’.

[0080] In an implementation, as shown at FIG. 10C, the interior surfaces of the opening 702’ (i.e., the exposed surfaces of the conductive layer 1002) can be coated with the protective coating 802, as described above. In another embodiment, as shown at FIG. 10D, a compliant material 1004 (such as a fill or encapsulant material, for instance) may be deposited within the opening 702’ of a conductive interconnect structure 114 (with or without a protective layer 802). The compliant material 1004 may partially or totally fill the opening 702.

[0081] Referring to FIGS. 11A - 12, in some embodiments, the described techniques and processes can be used to form through-silicon vias 1102 (TSVs), or the like for devices 312. In the case of a TSV 1102, multiple layers may line the interior side-walls of the opening 702 within the TSV 1102. In some embodiments, the TSV 1102 may be formed by a via middle or via last method. Regardless of how the TSV 1102 or thru-substrate via or thru-glass via (TGV) or thru- substrate electrode (TSE) or thru-panel via is formed, a backside reveal process may be applied to expose the partially conductive interconnect structure 114 from the backside, in the case of a via middle process.

[0082] For example, as shown at FIGS. 11 A and 11B, after the partial filling of the cavities 104, one or more additional layers 1104 may be applied over the conductive layer 110, with or without the protective layer 802 (depending on the embodiment). In various examples, the one or more additional layers 1104 may include one or more dielectric layers, or the like.

[0083] As shown at FIG. 11B, planarizing the bonding surface 306 forms the conductive interconnects 114 having the one or more additional layers 1104. Referring to FIG. 11C, the backside of the die 302 may be thinned and the backside of the conductive interconnects 114 revealed by grinding, polishing, reactive ion etching methods, and other known methods to expose the interior of the conductive interconnect structures 114, which forms the TSVs 1102. The TSVs 1102 provide hollows or pass-through conductive openings 702 through the die 302, as shown at FIGS. 11C and 11D. In some embodiments, as shown, the interior conducting surface of the pass- through opening 702 is lined with a dielectric layer 1104. The prepared backside may then be direct bonded to another die 302, wafer, or prepared substrate 704.

[0084] As shown at FIG. 11D, one or more components 1106, such as optical devices or other microelectronic components may be bonded to the front side bonding surface 306 of the die 302. In some cases, the TSVs 1102 provide electrical or optical signal transmission from the one or more components 1106 to the other die 302, wafer, or prepared substrate 704 bonded to the back side of the die 302. For instance, as shown at FIG. 12, an optical device 312 is shown. In the example, the substrate 704 may comprise one or more layers of glass 1202, and include a reflector 1204 and optionally a cavity 1206 as desired for the application. In such an instance, the TSVs 1102 may transmit optical signals, and may also transmit electrical signals in some cases.

[0085] In various other embodiments, other techniques may be used to vary the surface of a conductive interconnect structure 114, to mitigate the effects of metal expansion. For instance, in some examples, the surface of a conductive interconnect structure 114 may be selectively etched (via acid etching, plasma oxidation, etc.) to provide a desired opening 702 depth. In a further embodiment, a conductive interconnect structure 114 may be selected, formed, or processed to have an uneven top surface. For example, the top surface of the conductive interconnect structure may be rounded, domed, convex, concave, irregular, or otherwise non-flat.

Example Processes

[0086] FIGS. 13 and 14 comprise text-based process flows of the above described processes and techniques. Application of the process flows described provide for the use of larger width or diameter (e.g., 10 to 1000 microns, for example) conductive interconnect structures at the bonding surface of directly bonded dies, wafers, substrates, and the like.

[0087] The order in which the processes are described is not intended to be construed as limiting, and any number of the described process blocks in the processes can be combined in any order to implement the processes, or alternate processes. Additionally, individual blocks may be deleted from the processes without departing from the spirit and scope of the subject matter described herein. Furthermore, the processes can be implemented in any suitable hardware, software, firmware, or a combination thereof, without departing from the scope of the subject matter described herein. In alternate implementations, other techniques may be included in the processes in various combinations and remain within the scope of the disclosure. [0088] FIG. 13 illustrates a representative process 1300 of mitigating undesirable recesses (such as recesses 116, for example) in the surface of conductive interconnect structures (such as conductive interconnect structures 114, for example) at the bonding surface of a die (such as die 302, for example), wafer, or other substrate of interest, according to various embodiments. For instance, an embedded layer (such as embedded layer 304, for example) may be formed in the recesses, filling the recesses to provide a flat and smooth bonding surface suitable for direct bonding. The process 1300 refers to FIGS. 1 A - 6.

[0089] In an implementation, at block 1302, the process 1300 includes forming one or more first embedded conductive interconnect structures (such as conductive interconnect structures 114, for example) in a first substrate (such as die 302, for example).

[0090] At block 1304, the process includes planarizing a first surface of the first substrate to form a planarized topography comprising the first surface and a surface of the one or more first embedded conductive interconnect structures. At block 1306, the process includes depositing a first embedded layer (such as embedded layer 304, for example) over the first surface of the first substrate and the one or more first embedded conductive interconnect structures.

[0091] At block 1308, the process includes planarizing the first embedded layer until revealing the surface of the one or more first embedded conductive interconnect structures and forming a bonding surface of the first embedded layer, a first recessed portion of the one or more first embedded conductive interconnect structures at least partially filled with a portion of the first embedded layer, the portion of the first embedded layer covering a surface of the first recessed portion of the one or more first embedded conductive interconnect structures.

[0092] In an implementation, the process includes suppressing a surface mobility of atoms of a material of the first recessed portion by covering the surface of the first recessed portion with the first embedded layer.

[0093] In an implementation, the process includes forming one or more second embedded conductive interconnect structures in a second substrate; planarizing a first surface of the second substrate to form a planarized topography comprising the first surface of the second substrate and a surface of the one or more second embedded conductive interconnect structures; bonding the first surface of the second substrate to the bonding surface of the first substrate via direct bonding without adhesive; and directly bonding the one or more second embedded conductive interconnect structures to the one or more first embedded conductive interconnect structures.

[0094] In a further implementation, the process includes depositing a second embedded layer over the first surface of the second substrate and the one or more second embedded conductive interconnect structures; and planarizing the second embedded layer until revealing the surface of the one or more second embedded conductive interconnect structures and forming a second bonding surface of the second bonding layer, a first recessed portion of the one or more second embedded conductive interconnect structures at least partially filled with a portion of the second embedded layer, the portion of the second embedded layer covering a surface of the first recessed portion of the one or more second embedded conductive interconnect structures.

[0095] In an implementation, the process further includes bonding the portion of the second embedded layer to the portion of the first embedded layer via direct bonding without adhesive.

[0096] As an alternate implementation, the process includes forming one or more first embedded conductive interconnect structures in a first substrate; planarizing a first surface of the first substrate to form a planarized topography comprising the first surface and a surface of the one or more first embedded conductive interconnect structures; selectively removing a portion of the first surface such that the one or more first embedded conductive interconnect structures protrudes above the first surface of the first substrate; depositing a first embedded layer over the first surface of the first substrate and the one or more first embedded conductive interconnect structures, the first embedded layer contacting a portion of a side wall of the one or more first embedded conductive interconnect structures; and planarizing the first embedded layer until revealing the surface of the one or more first embedded conductive interconnect structures and forming a bonding surface of the first embedded layer and the surface of the one or more first embedded conductive interconnect structures.

[0097] FIG. 14 illustrates a representative process 1400 of forming openings (such as openings 702, for example) in the surface of conductive interconnect structures (such as conductive interconnect structures 114, for example) at the bonding surface of a die (such as die 302, for example), wafer, or other substrate of interest, according to various embodiments. For instance, the openings may be formed in the conductive interconnect structures. The process 1400 refers to FIGS. 7A - 12.

[0098] In an implementation, at block 1402, the process 1400 includes forming one or more first cavities in a first surface of a first substrate.

[0099] At block 1404, the process includes forming one or more first embedded conductive interconnect structures within the one or more first cavities, including forming one or more of the first embedded conductive interconnect structures to have a first recessed portion in an exposed surface of the one or more first embedded conductive interconnect structures.

[00100] In an implementation, the process includes forming the one or more first embedded conductive interconnect structures and the first recessed portion by partially filling the one or more first cavities using a damascene process. In an embodiment, the one or more first embedded conductive interconnect structures comprise a conformal metal coating over one or more interior surfaces of the one or more first cavities.

[00101] In an implementation, the process includes depositing a protective layer over the first recessed portion of the one or more first embedded conductive interconnect structures. In a further implementation, the process includes depositing one or more additional layers over the protective layer, at least one of the one or more additional layers including a dielectric material.

[00102] At block 1406, the process includes planarizing the first surface of the first substrate to form a first planarized bonding surface comprising the first surface and the exposed surface of the one or more first embedded conductive interconnect structures

[00103] In an implementation, the process includes forming one or more second embedded conductive interconnect structures in a second substrate, including forming one or more of the second embedded conductive interconnect structures to have a second recessed portion in an exposed surface of the one or more second embedded conductive interconnect structures; planarizing a first surface of the second substrate to form a second planarized bonding surface comprising the first surface of the second substrate and the exposed surface of the one or more second embedded conductive interconnect structures; bonding the second planarized bonding surface of the second substrate to the first planarized bonding surface of the first substrate via direct bonding without adhesive; and directly bonding the one or more second embedded conductive interconnect structures to the one or more first embedded conductive interconnect structures.

[00104] In a further implementation, the process includes depositing a protective layer over the second recessed portion of the one or more second embedded conductive interconnect structures. In an example the process includes suppressing a surface mobility of atoms of a material of the second recessed portion by covering the surface of the second recessed portion with the protective layer. In another example the process includes controlling a direction of expansion of the material of the one or more second embedded conductive interconnect structures by covering the surface of the second recessed portion with the protective layer.

[00105] As an alternate implementation, the process includes forming one or more first cavities in a first surface of a first substrate; forming one or more first embedded conductive interconnect structures with one or more openings within the one or more first cavities; and forming a planar surface comprising one or more of the first embedded conductive interconnect structures having one or more openings.

[00106] As another alternate implementation, the process includes forming one or more first cavities in a first surface of a first substrate; forming one or more first embedded conductive interconnect structures with one or more openings within the one or more first cavities; forming a planar surface comprising one or more of the first embedded conductive interconnect structures having one or more openings; and directly bonding the planar surface of the interconnect structures with openings to the prepared surface of a second substrate.

[00107] In various embodiments, some process steps may be modified or eliminated, in comparison to the process steps described herein.

[00108] The techniques, components, and devices described herein are not limited to the illustrations of FIGS. 1A - 15, and may be applied to other designs, types, arrangements, and constructions including with other electrical components without departing from the scope of the disclosure. In some cases, additional or alternative components, techniques, sequences, or processes may be used to implement the techniques described herein. Further, the components and/or techniques may be arranged and/or combined in various combinations, while resulting in similar or approximately identical results. Conclusion

[00109] Although the implementations of the disclosure have been described in language specific to structural features and/or methodological acts, it is to be understood that the implementations are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as representative forms of implementing example devices and techniques.