Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
INTERMEDIATE PRODUCT FOR PRODUCING AN OPTICAL ELEMENT FOR A PROJECTION EXPOSURE APPARATUS, OPTICAL ELEMENT FOR A PROJECTION EXPOSURE APPARATUS, METHOD FOR PRODUCING AN INTERMEDIATE PRODUCT, AND METHOD FOR PRODUCING AN OPTICAL ELEMENT
Document Type and Number:
WIPO Patent Application WO/2024/041875
Kind Code:
A1
Abstract:
An intermediate product for producing an optical element for a projection exposure apparatus (1) comprises a substrate (20) for specifying a basic topography of an optical surface, multiple etchable layers being applied onto the substrate (20), the etchable layers comprising a layer to be structured (22i) and a contrast layer (23i), the layer to be structured (22i) and the contrast layer (23i) having different chemical properties, such that a removal of the contrast layer (23i) can be detected in situ.

Inventors:
HOFFMANN SANDRO (DE)
SCHMITZ CHRISTOPH (DE)
Application Number:
PCT/EP2023/071762
Publication Date:
February 29, 2024
Filing Date:
August 07, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ZEISS CARL SMT GMBH (DE)
International Classes:
G03F7/00; G02B5/08; G02B5/18; G21K1/06
Domestic Patent References:
WO2019082407A12019-05-02
Foreign References:
DE102020207807A12021-12-30
EP1291680A22003-03-12
EP1412817A22004-04-28
DE4324325A11994-01-27
DE102022208658A12024-02-22
DE102020207807A12021-12-30
US6859515B22005-02-22
EP1225481A22002-07-24
DE102018220629A12020-06-04
Attorney, Agent or Firm:
RAU, SCHNECK & HÜBNER PATENTANWÄLTE RECHTSANWÄLTE PARTGMBB (DE)
Download PDF:
Claims:
Patentansprüche

1. Zwischenprodukt zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage (1) aufweisend

1.1. ein Substrat (20) zur Vorgabe einer Grundtopographie einer optischen Fläche,

1.2. wobei auf das Substrat (20) mehrere ätzbare Schichten aufweisend eine zu strukturierende Schicht (220 und eine Kontrast- Schicht (23 i) aufgebracht sind,

1.3. wobei die zu strukturierende Schicht (220 und die Kontrast- Schicht (23) unterschiedliche chemische Eigenschaften aufweisen, derart dass ein Abtrag der Kontrast- Schicht (230 in situ detektierbar ist.

2. Zwischenprodukt gemäß Anspruch 1, dadurch gekennzeichnet, dass die Schichten (22Ü) aus Materialien bestehen, deren Ätzraten bei einem vorgegebenen Ätzverfahren um höchstens 10% voneinander abweichen.

3. Zwischenprodukt gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Schichten (23i) aus Materialien bestehen, deren Dichten um höchstens 10% voneinander abweichen.

4. Zwischenprodukt gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Kontrast- Schicht (23i) und die zu strukturierende Schicht (220 ein Ausgangsmaterial mit demselben chemischen Element oder derselben chemischen Verbindung aufweisen, wobei das Ausgangsmaterial der Kontrast- Schicht (230 durch Dotierung oder Ionenimplantation modifiziert ist.

5. Zwischenprodukt gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Kontrast- Schicht (23i) eine Dicke im Bereich von höchstens 10 nm aufweist.

6. Zwischenprodukt gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die zu strukturierende Schicht (22i) eine Dicke im Bereich von 10 nm bis 50 pm aufweist.

7. Zwischenprodukt gemäß einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass es eine Mehrzahl von Kontrast- Schichten (23i) aufweist, welche Zwischen-Schichten in der zu strukturierenden Schicht (22i) bilden.

8. Zwischenprodukt gemäß einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass es eine Mehrzahl von Kontrast- Schichten (23i) aufweist, wobei mindestens zwei der Kontrast- Schichten (23i) unterschiedliche chemische Zusammensetzungen und/oder unterschiedliche Modifizierungen aufweisen.

9. Zwischenprodukt gemäß einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass es eine Mehrzahl von Kontrast- Schichten (23i) aufweist, welche unterschiedliche Abstände aufweisen.

10. Zwischenprodukt gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass eine oder mehrere der Kontrast- Schichten (23 i) und/oder eine oder mehrere der zu strukturierenden Schichten (22i) eine über ihre Ausdehnung variierende Dicke aufwei- sen. 11 Optisches Element für eine Projektionsbelichtungsanlage (1) hergestellt aus einem Zwischenprodukt gemäß einem der vorhergehenden Ansprüche.

12. Verfahren zur Herstellung eines Zwischenprodukts gemäß einem der Ansprüche 1 bis 10 umfassend die folgenden Schritte:

12.1. Bereitstellung eines Substrats (20) zur Vorgabe einer Grundtopographie einer optischen Fläche,

12.2. Aufbringen mehrerer ätzbarer Schichten auf das Substrat, wobei die Schichten mindestens eine zu strukturierende Schicht (22i) und mindestens eine Kontrast- Schicht (23i) aufweisen,

12.3. wobei die zu strukturierende Schicht (22i) und die Kontrast- Schicht (23i) unterschiedliche chemische Eigenschaften aufweisen, derart dass ein Abtrag der Kontrast- Schicht (23i) in situ de- tektierbar ist.

13. Verfahren zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage (1) umfassend die folgenden Schritte:

13.1. Bereitstellen eines Zwischenprodukts gemäß einem der Ansprüche 1 bis 10,

13.2. Strukturieren des Zwischenprodukts

13.3. Überwachung des Strukturierungsvorgangs in situ.

14. Verfahren gemäß Anspruch 13, dadurch gekennzeichnet, dass die Überwachung des Strukturierungsvorgangs eine in situ Analyse der abgetragenen Schichten umfasst, wobei eine Auswertung dieser Analyse als Steuersignal für den weiteren Strukturierung svorgang verwendet wird. Vorrichtung zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage (1) aufweisend

15.1. eine Vakuumkammer zur Aufnahme eines Zwischenprodukts zur Herstellung eines optischen Elements für eine Projektions- belichtungsanlage (1),

15.2. eine Einrichtung zur Strukturierung des Zwischenprodukts in der Vakuumkammer,

15.3. eine Einrichtung zur Überwachung der Strukturierung des Zwischenprodukts in situ, 15.4. wobei die Einrichtung zur Überwachung der Strukturierung des Zwischenprodukts in datenübertragender Weise mit der Einrichtung zur Strukturierung des Zwischenprodukts in der Vakuumkammer gekoppelt ist.

Description:
Zwischenprodukt zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage, optisches Element für eine Projektionsbelichtungsanlage, Verfahren zur Herstellung eines Zwischenprodukts und Verfahren zur Herstellung eines optischen Elements

Die vorliegende Patentanmeldung nimmt die Priorität der deutschen Patentanmeldung DE 10 2022 208 658.9 in Anspruch, deren Inhalt durch Bezugnahme hierin aufgenommen wird.

Die Erfindung betrifft ein Zwischenprodukt zur Herstellung eines optischen Elements für eine Produktionsbelichtungsanlage, insbesondere zur Herstellung eines Spiegels für eine Projektionsbelichtungsanlage, insbesondere eine EUV-Projektionsbelichtungsanlage. Die Erfindung betrifft außerdem ein optisches Element für eine Projektionsbelichtungsanlage, insbesondere einen Spiegel. Weiter betrifft die Erfindung ein Verfahren zur Herstellung eines Zwischenprodukts zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage. Außerdem betrifft die Erfindung ein Verfahren zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage. Schließlich betrifft die Erfindung eine Vorrichtung zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage.

Zur Herstellung von optischen Elementen für eine Projektionsbelichtungsanlage, insbesondere von Spiegeln, ist es wichtig, dass deren Oberflächentopographie genau vorgegeben ist. Es ist schwierig, optische Elemente mit einer genau vorgegebenen Oberflächentopographie präzise herzustellen. Es ist eine Aufgabe der Erfindung, ein Zwischenprodukt zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage sowie ein daraus hergestelltes optisches Element zu verbessern.

Diese Aufgabe wird durch ein Zwischenprodukt gemäß Anspruch 1 und ein daraus hergestelltes optisches Element gelöst.

Ein Aspekt der Erfindung besteht darin, auf einem Substrat eine Mehrzahl strukturierbarer, insbesondere ätzbarer Schichten, aufzubringen, wobei zumindest eine Teilmenge dieser Schichten als Kontrast- Schichten dienen. Darunter sei verstanden, dass der Abtrag der Kontrast- Schichten detektier- bar, insbesondere in situ detektierbar, ist.

Dies ermöglicht es, die Strukturierung der zu strukturierenden Schicht während des Strukturierungsprozesses zu überwachen, insbesondere ohne dass der Strukturierungsprozess hierzu unterbrochen werden müsste. Es ist insbesondere nicht notwendig, das Zwischenprodukt mittels separater Messverfahren zu vermessen. Dadurch wird der Herstellungsprozess für das Zwischenprodukt und das daraus herstellbare optische Element wesentlich verbessert.

Das Substrat, auf welches die strukturierbaren Schichten aufgebracht sind, kann eine plane, insbesondere eine im Wesentlichen plane, insbesondere eine vollständige plane Oberfläche oder eine gekrümmte, insbesondere eine konvexe oder eine konkave, Oberfläche aufweisen. Das Substrat kann insbesondere eine Oberflächentopographie, welche durch eine stetig differenzierbare Funktion beschreibbar ist, aufweisen. Prinzipiell sind jedoch auch Oberflächentopographien mit Knick- und/oder Sprungstellen möglich. Gemäß einem Aspekt der Erfindung kann insbesondere auch die Kontrast- Schicht ein ätzbares Material aufweisen. Sie kann insbesondere aus einem ätzbaren Material bestehen.

Ein Material gilt hierbei als ätzbar, wenn ein physikalischer und oder chemischer Abtrag des Materials durch Nass- und Trockenätzverfahren erreicht werden kann. Zur Herstellung einer Topographie kann die Ätzrate des Materials bei einem Prozess insbesondere höher oder zumindest nicht deutlich niedriger sein als die Ätzrate einer hierbei verwendeten Ätzmaske (typischerweise aus Oxiden, Nitriden, oder Metallen (=Hartmasken) oder Polymeren (=Photomasken).

Gemäß einem weiteren Aspekt können die unterschiedlichen Schichten, insbesondere die zu strukturierenden Schicht und die Kontrast- Schicht, zu mindestens 90 %, insbesondere mindestens 95 %, aus Materialien bestehen, deren Ätzraten, insbesondere bei einem vorgegebenen Ätzverfahren, um höchstens 10 % voneinander abweichen.

Hierdurch kann erreicht werden, dass die zu strukturierende Schicht und die Kontrast- Schicht im Wesentlichen gleichartig strukturiert werden, insbesondere sofern sie dem gleichen Strukturierungsverfahren unterzogen werden.

Bei dem Ätzverfahren kann es sich insbesondere um ein Trockenätzverfahren, insbesondere ein lonenätzen (RIE, reaktives lonenätzen) oder DRIE (reaktives lonentiefenätzen) oder ein lonenstrahlätzen, insbesondere reaktives lonenstrahlätzen (RIBE) handeln. Als Prozessgas in Trockenätzverfahren zum Ätzen von Silizium oder siliziumhaltigen Verbindungen (SiOx; SiNx) können beispielsweise Fluorkohlenwasserstoffe, CxHyFz (y,x,z, ganzzahlig inkl. 0) genutzt werden.

Mögliche Additive der Gasmischung sind hier Sauersoff, Stickstoff und Argon.

Beim RIE sind Beschleunigungsspannungen von typischerweise 30 V bis 300 V üblich, beim lonenstrahlätzen (RIBE) 0.5kV bis 2k V.

Hierbei kann die Tatsache genutzt werden, dass sich jeweils Parameterfenster finden, bei denen sich die Ätzraten der genannten Materialien nicht signifikant unterscheiden.

Gemäß einem weiteren Aspekt können die unterschiedlichen Schichten, insbesondere die zu strukturierenden Schicht und die Kontrast- Schicht, aus Materialien bestehen, deren Dichten um höchstens 10 %, insbesondere höchstens 5 %, insbesondere höchstens 3 %, insbesondere höchstens 2 %, insbesondere höchstens 1 %, voneinander abweichen.

Gemäß einem weiteren Aspekt können die Schichten ein entsprechend ähnliches Aufrauungs verhalten aufweisen.

Gemäß einem weiteren Aspekt kann die Kontrast- Schicht und die zu strukturierenden Schicht ein Ausgangsmaterial mit denselben chemischen Eigenschaften, insbesondere mit demselben chemischen Element oder derselben chemischen Verbindung, aufweisen, wobei das Ausgangsmaterial der Kontrast- Schicht durch Dotierung oder Ionenimplantation modifiziert ist. Die Kontrastschicht und die zu strukturierenden Schicht können insbesondere aus demselben Ausgangsmaterial bestehen, wobei das Ausgangsmaterial der Kontrast- Schicht modifiziert ist, insbesondere durch Dotierung oder Ionenimplantation.

Das Material der Kontrast- Schicht kann insbesondere mindestens ein zusätzliches chemisches Element oder eine oder mehrere zusätzliche chemische Verbindungen aufweisen.

Vorzugsweise sind sämtliche der Kontrast- Schichten und/oder der zu strukturierenden Schichten aus einem entsprechenden Ausgangsmaterial mit denselben chemischen Eigenschaften. Sie können insbesondere mit der angegebenen Modifizierung aus demselben Ausgangsmaterial bestehen.

Gemäß einem weiteren Aspekt der Erfindung kann die Kontrast- Schicht eine Dicke, insbesondere eine maximale Dicke, von höchstens 10 nm, insbesondere höchstens 5 nm, insbesondere höchstens 3 nm, insbesondere höchstens 2 nm, insbesondere höchstens 1 nm, insbesondere höchstens 0,5 nm, aufweisen.

Eine geringere Dicke der Kontrast- Schicht kann zu einer höheren Präzision bei der Herstellung einer vorgegebenen Oberflächentopographie führen.

Gemäß einem weiteren Aspekt der Erfindung kann die zu strukturierenden Schicht eine Dicke im Bereich von 10 nm bis 50 pm aufweisen. Die Dicke der zu strukturierenden Schicht kann insbesondere mindestens 20 nm, insbesondere mindestens 30 nm, insbesondere mindestens 50 nm, insbesondere mindestens 100 nm, aufweisen. Die Dicke der zu strukturierenden Schicht kann insbesondere höchstens 30 pm, insbesondere höchstens 20 pm, insbesondere höchstens 10 pm, insbesondere höchstens 5 pm, insbesondere höchstens 3 pm, insbesondere höchstens 2 pm, insbesondere höchstens 1 pm, betragen.

Das Verhältnis der Dicke der Kontrast- Schicht zur Dicke der zu strukturierenden Schicht kann insbesondere im Bereich von 1 : 10 4 bis 1 : 1 liegen.

Es beträgt insbesondere mindestens einl : 10 4 , insbesondere mindestens 1 : 10 3 .

Es beträgt insbesondere höchstens 1 : 1, insbesondere höchstens 1 : 2, insbesondere höchstens 1 : 5, insbesondere höchstens 1 : 10, insbesondere höchstens 1 : 20; insbesondere höchstens 1 : 30, insbesondere höchstens 1 : 50, insbesondere höchstens 1 : 100, insbesondere höchstens 1 : 200, insbesondere höchstens 1 : 300, insbesondere höchstens 1 : 500, insbesondere höchstens 1 : 1000.

Gemäß einem weiteren Aspekt der Erfindung kann das Zwischenprodukt eine Mehrzahl von Kontrast- Schichten aufweisen, welche Zwischenschichten in der zu strukturierenden Schicht bilden. Hierbei kann eine Kontrast- Schicht auch eine Zwischen- Schicht zwischen dem Substrat und der zu strukturierenden Schicht bilden.

Die Anzahl der Kontrast- Schichten kann mindestens 2, insbesondere mindestens 3, insbesondere mindestens 5, insbesondere mindestens 10, insbesondere mindestens 20, insbesondere mindestens 30, insbesondere mindestens 50, insbesondere mindestens 100, betragen. Eine größere Anzahl an Kontrast- Schichten ermöglicht eine verbesserte Überwachung des Strukturierungsprozesses. Eine geringere Anzahl an Kontrast- Schichten erleichtert die Herstellung des Zwischenprodukts.

Gemäß einem weiteren Aspekt der Erfindung kann das Zwischenprodukt eine Mehrzahl von Kontrast- Schichten aufweisen, wobei mindestens zwei der Kontrast- Schichten unterschiedliche chemische Zusammensetzungen und/oder unterschiedliche Modifizierungen aufweisen. Es ist insbesondere möglich, dass mindestens zwei der Kontrast- Schichten unterschiedliche Dotierungen aufweisen oder mit unterschiedlichen Ionen implantiert sind.

Es ist insbesondere vorteilhaft, wenn mindestens zwei der Kontrast- Schichten derart ausgebildet sind, dass sie eindeutig mittels eines massenspektroskopischen Verfahrens, insbesondere mittels einer Restgasanalyse, nachweisbar sind.

Hierdurch ist es möglich, eine oder mehrere der Kontrast- Schichten als spezielle Signal- Schichten, welche insbesondere zur Steuerung des Strukturierung-Verfahrens verwendet werden können, auszubilden.

Es ist auch möglich, dass sämtliche Kontrast- Schichten aus demselben Material sind, insbesondere dieselbe chemische Zusammensetzung und/oder dieselbe Modifizierung aufweisen.

Es kann auch vorgesehen sein, dass sämtliche der Kontrast- Schichten unterschiedliche chemische Zusammensetzungen und/oder unterschiedliche Modifizierungen aufweisen. Es kann auch vorgesehen sein, dass das Zwischenprodukt eine einzige Kontrast- Schicht aufweist, welches sich in ihrer chemischen Zusammensetzung und/oder Modifizierung von sämtlichen übrigen Schichten, insbesondere von sämtlichen übrigen Kontrast- Schichten, unterscheidet.

Eine derartige, einzigartige Kontrast- Schicht kann zur Auslösung eines speziellen Signals, insbesondere eines Stopp-Signals, verwendet werden.

Gemäß einem weiteren Aspekt der Erfindung kann das Zwischenprodukt eine Mehrzahl von Kontrast- Schichten aufweisen, welche unterschiedliche Abstände aufweisen. Hierbei ist es möglich, dass lediglich eine Teilmenge der Kontrast- Schichten unterschiedliche Abstände aufweisen. Es ist auch möglich, dass sämtliche der Kontrast- Schichten unterschiedliche Abstände, insbesondere jeweils paarweise unterschiedliche Abstände, aufweisen. Es ist auch möglich, dass eine Teilmenge der Kontrast- Schichten, insbesondere sämtliche der Kontrast- Schichten, gleiche Abstände aufweisen.

Es kann insbesondere vorgesehen sein, dass der Abstand der Kontrast- Schichten mit zunehmendem Abstand zum Substrat zunimmt, insbesondere monoton wächst, insbesondere streng monoton wächst.

Dies kann für die Steuerung des Strukturierungsprozesses vorteilhaft sein. Es wurde insbesondere erkannt, dass mit zunehmendem Fortschreiten des Strukturierungsprozesses eine zunehmend engere Kontrolle desselben vorteilhaft sein kann.

Gemäß einem weiteren Aspekt der Erfindung können ein oder mehrere Teilmengen der Kontrast- Schichten spezielle Abfolgen bilden. Sie können hierzu vorbestimmte Abfolgen ihrer Dicken und/oder Abstände und/oder chemischen Zusammensetzungen aufweisen. Derartige Abfolgen können als Steuerungssignale zur Steuerung des Herstellungsprozesses, insbesondere zur Steuerung der Strukturierung des Zwischenprodukts, verwendet werden.

Gemäß einem weiteren Aspekt der Erfindung weisen eine oder mehrere der Kontrast- Schichten und/oder eine oder mehrere der zu strukturierenden Schichten eine über ihre Ausdehnung variierende Dicke auf.

Die Dicke kann hierbei jeweils lokal senkrecht zu einer Oberfläche, insbesondere zur Vorder- oder Rückseite der jeweiligen Schicht, gemessen werden.

Es kann insbesondere vorgesehen sein, genau eine der Kontrast- Schichten und/oder der zu strukturierenden Schichten mit einer über ihre Ausdehnung variierenden Dicke auszubilden.

Es kann auch vorgesehen sein, dass sämtliche der Kontrast- Schichten und/oder sämtliche der zu strukturierenden Schichten eine über ihre Ausdehnung variierende Dicke aufweisen.

Es ist auch möglich, dass sämtliche der Kontrast- Schichten und/oder sämtliche der zu strukturierenden Schichten eine über ihre Ausdehnung konstante Dicke aufweisen.

Gemäß einem weiteren Aspekt der Erfindung kann das Zwischenprodukt eine Mehrzahl von Kontrast- Schichten aufweisen, wobei mindestens zwei der Kontrast- Schichten einen über ihre Ausdehnung variierenden Abstand aufweisen. Gemäß einem weiteren Aspekt Erfindung kann das Zwischenprodukt eine Mehrzahl von zu strukturierenden Schichten aufweisen, wobei mindestens zwei der zu strukturierenden Schichten einen über ihre Ausdehnung variierenden Abstand aufweisen.

Es können insbesondere eine oder mehrere Kontrast- Schichten und/oder eine oder mehrere zu strukturierende Schichten vorgesehen sein, welche einen Dickegradienten aufweisen.

Hierdurch kann die Herstellung vorgegebener Oberflächentopographien, insbesondere die Herstellung von optischen Bauelementen mit vorgegebenen Oberflächentopographien, verbessert, insbesondere vereinfacht, werden. Dies kann insbesondere bei der Herstellung von optischen Elementen mit Freiformflächen vorteilhaft sein.

Gemäß einem weiteren Aspekt der Erfindung kann aus dem vorhergehenden beschriebenen Zwischenprodukt ein optisches Element für eine Projektionsbelichtungsanlage, insbesondere eine EUV-Projektionsbelichtungs- anlage, hergestellt werden.

Das optische Element kann insbesondere eine strahlungsreflektierende Schicht, insbesondere eine EUV-strahlungsreflektierende Schicht, aufweisen. Als strahlungsreflektierende Schicht kann insbesondere ein Doppelstapel, insbesondere ein Molybdän-Silizium-Doppelstapel, dienen.

Bei dem optischen Element kann es sich insbesondere um einen Spiegel, insbesondere um einen Spiegel mit einer Gitterstruktur, handeln. Die Git- terstruktur kann als Spektralfilter, insbesondere zur Ausblendung unerwünschter Wellenlängen, dienen. Das Gitter kann insbesondere zur Ausblendung von Infrarotstrahlung und/oder, im Falle eines EUV-Spiegels, zur Ausblendung von DU V- Strahlung dienen.

Bei dem Spiegel kann es sich insbesondere um einen Kollektorspiegel, insbesondere eines Strahlungsquellen-Moduls einer Projektionsbelichtungsanlage, einen Spiegel einer Beleuchtungsoptik einer Projektionsbelichtungsanlage, insbesondere einen Facettenspiegel, insbesondere eine Einzelfacette, oder um einen Spiegel einer Projektionsoptik einer Projektionsbelichtungsanlage handeln.

Der Spiegel kann eine Gesamtreflexionsfläche von mehr als 100 cm 2 , insbesondere mehr als 200 cm 2 , insbesondere mehr als 300 cm 2 , insbesondere mehr als 500 cm 2 , insbesondere mehr als 1000 cm 2 , insbesondere mehr als 2000 cm 2 , insbesondere mehr als 3000 cm 2 , insbesondere mehr als 5000 cm 2 , insbesondere mehr als 10000 cm 2 , aufweisen. In der Regel ist die Ge- samtreflexionsfläche des Spiegels kleiner als 10 m 2 . Dies ist jedoch nicht einschränkend zu verstehen.

Das optische Element kann auch eine kleinere Gesamtreflexionsfläche aufweisen.

Eine weitere Aufgabe der Erfindung besteht darin, ein Verfahren zur Herstellung eines Zwischenprodukts gemäß der vorhergehenden Beschreibung und ein Verfahren zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage gemäß der vorhergehenden Beschreibung zu verbessern. Diese Aufgaben werden durch Verfahren mit folgenden Schritten gelöst:

Bereitstellung eines Substrats zur Vorgabe einer Grundtopographie einer optischen Fläche,

Aufbringen mehrerer ätzbarer Schichten auf das Substrat, wobei die Schichten mindestens eine zu strukturierende Schicht und mindestens eine Kontrast- Schicht aufweisen, wobei die zu strukturierende Schicht und die Kontrast- Schicht unterschiedliche chemische Eigenschaften aufweisen, derart, dass ein Abtrag der Kontrast- Schicht in situ detektierbar ist.

Das Verfahren zur Herstellung eines optischen Elements umfasst außerdem die Schritte:

Strukturieren des Zwischenprodukts und

Überwachung des Strukturierungsvorgangs in situ.

Das erfindungsgemäße Verfahren ermöglicht die Strukturierung von Zwischenprodukten zur Herstellung von EU V- Spiegeln mit einer hinreichend genauen Ätzratenkontrolle bei gleichzeitig hoher Anforderung an die Profiltreue.

Zur Strukturierung des Zwischenprodukts ist insbesondere ein Ätzverfahren, insbesondere ein Trockenätzverfahren, insbesondere ein lonenätzen, insbesondere ein reaktives lonenätzen oder ein reaktives lonenstrahlätzen, vorgesehen. Zur Überwachung des Strukturierungsvorgangs in situ kann insbesondere ein massenspektroskopisches Verfahren, insbesondere eine Restgasanalyse, dienen.

Auf das strukturierte Zwischenprodukt kann eine strahlungsreflektierende Beschichtung, insbesondere eine EUV-strahlungsreflektierende Beschichtung, aufgebracht werden.

Durch das Vorsehen der Kontrast- Schichten, deren Auftrag in situ zuverlässig nachweisbar ist, lässt sich der Fortschritt des Materialabtrags räumlich und/oder zeitlich präzise überwachen. Eine Unterbrechung des Strukturierungsprozesses, wie sie bislang zur Bestimmung einer bereits geätzten Stufentiefe notwendig war, kann entfallen.

Da der Strukturierungsprozess nicht zur Überwachung des Fortschritts desselben unterbrochen zu werden braucht, kann die gesamte Prozessdauer erheblich reduziert werden.

Da das Zwischenprodukt nicht für Kontrollmessungen aus der Vakuumkammer ausgebaut werden muss, reduziert sich das Risiko für Kontaminationen.

Außerdem entfällt die Gefahr, dass sich die Spiegel-Oberfläche durch den Kontakt mit Atmosphäre modifiziert, was insbesondere zu einer unerwünschten Veränderung der Ätzrate führen kann.

Auch Veränderungen in der Prozesskammer werden zuverlässig verhindert. Es ist insbesondere möglich, den Fortschritt der Strukturierung des Zwischenprodukts während des Strukturierungsprozesses, das heißt in situ, präzise und zuverlässig zu überwachen.

Vorteilhafterweise kann die Strukturierung des Zwischenprodukts in Abhängigkeit von der Überwachung des Strukturierungsvorgangs gesteuert werden. Hierfür kann eine Rückkopplungs- Schleife (Feedback-Loop) vorgesehen sein. Die Strukturierung des Zwischenprodukts kann daher geregelt erfolgen (Closed Loop Verfahren).

Es ist insbesondere möglich über die rückgekoppelten Signale eine sich verändernde Ätzrate aufgrund von Verschleiß oder langer Prozessdauer zu detektieren. Dies kann kompensiert werden, indem z.B. die Leistung im Ätzprozess gemäß einer Auswertung der Peak- Abstände der Restgasanalyse erhöht wird.

Gemäß einem Aspekt der Erfindung kann vorgesehen sein, zur Überwachung des Strukturierungsvorgangs eine in situ-Analyse der abgetragenen Schichten zu verwenden, wobei eine Auswertung dieser Analyse insbesondere als Steuersignal für den weiteren Strukturierungsvorgang verwendet wird.

Die in situ-Analyse kann insbesondere zur Bestimmung eines chemischen Signals, insbesondere eines chemischen Fingerabdrucks, einer zumindest teilweise abgetragenen Schicht dienen.

Bei der Strukturierung des Zwischenprodukts können unterschiedliche

Kontrast- Schichten, insbesondere Kontrastschichten mit unterschiedlichen chemischen Zusammensetzungen und/oder mit unterschiedlichen Modifizierungen und/oder Abfolgen von Kontrast- Schichten, insbesondere mit unterschiedlichen Dicken und/oder unterschiedlichen Abständen und/oder unterschiedlichen Anzahlen von Kontrast- Schichten, als Signal-Geber, insbesondere für unterschiedliche Steuersignale, dienen.

Die Strukturierung eines Zwischenprodukts zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage kann insbesondere bei optischen Elementen mit einer großen Gesamtreflexionsfläche lange, insbesondere über mehrere Stunden oder gar über mehrere Tage, dauern. Durch gezielte Anordnung und/oder Ausbildung der Kontrast- Schichten kann hierbei der Strukturierungsvorgang sehr präzise überwacht werden, ohne den Strukturierungsvorgang hierbei zu unterbrechen, insbesondere ohne das Zwischenprodukt, insbesondere das möglicherweise bereits teilweise strukturierte Zwischenprodukt, aus einer für die Strukturierung vorgesehenen Vakuumatmosphäre, insbesondere einer hierfür vorgesehenen Vakuumkammer, insbesondere einer hierfür vorgesehenen zumindest teilevakuierten Vakuumkammer, zu entnehmen.

Gemäß einem weiteren Aspekt der Erfindung kann zum Aufbringen der zu strukturierenden Schicht und/oder zum Aufbringen der Kontrast- Schicht ein rauheitserhaltendes, insbesondere ein glättendes Verfahren, beispielsweise einen Sputter- Verfahren, insbesondere ein Magnetronsputter- Verfahren (MSD, Magnetron Sputter Deposition), ein physisches oder chemisches Dampfabscheidungsverfahren (PVD, CVD, insbesondere ein plasmaunterstütztes CVD, PECVD), ein Atomlagenabscheidungsverfahren (ALD- Verfahren), ein gepulstes Laserabscheidungsverfahren (PLD- Verfahren), ein lonenstrahl- Sputter- Verfahren oder ein Elektronenstrahl- Verdampfung s verfahr en, vorgesehen sein. Ein derartiges Aufbring- Verfahren ermöglicht ein sehr präzises Aufbringen von Schichten, insbesondere ein Aufbringen mit einer vorbestimmten Dicke, insbesondere einem vorbestimmten Dicken- Verlauf

Gemäß einem weiteren Aspekt der Erfindung kann das zum Aufbringen der Schichten vorgesehene Verfahren ausschließlich rauheitserhaltende additive Schritte aufweisen.

Eine weitere Aufgabe der Erfindung besteht darin, eine Vorrichtung zur Herstellung eines optischen Elements für eine Produktionsbelichtungsanlage zu verbessern.

Diese Aufgabe wird durch eine Vorrichtung mit folgenden Merkmalen gelöst: eine Vakuumkammer zur Aufnahme eines Zwischenprodukts zur Herstellung eines optischen Elements für eine Projektionsbelichtungsanlage, eine Einrichtung zur Strukturierung des Zwischenprodukts in der Vakuumkammer, eine Einrichtung zur Überwachung der Strukturierung des Zwischenprodukts in situ, wobei die Einrichtung zur Überwachung der Strukturierung des Zwischenprodukts in datenübertragender Weise mit der Einrichtung zur Strukturierung des Zwischenprodukts in der Vakuumkammer gekoppelt ist.

Bei dem Zwischenprodukt handelt es sich insbesondere um ein Zwischenprodukt gemäß der vorhergehenden Beschreibung.

Die Vorrichtung umfasst insbesondere eine Steuereinrichtung zur Steuerung der Einrichtung zur Strukturierung des Zwischenprodukts.

Es kann insbesondere eine Rückkopplungs-Schleife (Feedback-Loop) an der Einrichtung zur Überwachung der Strukturierung und der Einrichtung zur Strukturierung des Zwischenprodukts vorgesehen sein.

Die Detektion über eine Restgasanalyse kann insbesondere über ein oder mehrere Massenspektrometer erfolgen. Durch die Verwendung einer Mehrzahl von Detektoren/Messeinrichtungen kann die Genauigkeit erhöht, insbesondere das Signal-Rauschverhältnis verbessert werden.

Die Wahl der Massenspektrometer erfolgt vorzugsweise so, dass auch Massen mit höherer Ordnungszahl detektiert werden können. Dies kann auf das additive Material in der signalgebenden Schicht abgestimmt sein.

Insbesondere können mehrere Massenspektrometer derart in der Kammer verteilt sein, dass über eine entsprechende Auswertung der Messdaten bei bestimmten Kammerdrücken eine räumliche Verteilung der Ätzrate ermittelt werden kann (analog zur Triangulation). Dies kann zur beispielsweise bei der Kalibrierung oder Bestimmung der Werkzeugfunktion von Vorteil sem. Die Positionierung der Massenspektrometer kann im Rückstreubereich des geätzten Materials liegen.

Insbesondere bei höheren Kammerdrücken im Ätzprozess (insbesondere im Bereich von 10' 4 mBar bis 5- 10' 4 mBar) kann ein Massenspektrometer nicht direkt innerhalb der Prozesskammer positioniert werden (Arbeitsdruck Spektrometer typischerweise ideal 10' 8 mBar bis 10' 6 mBar , max. 10' 4 mBar). Dieses Problem löst eine Positionierung in einem differentiell gepumpten Bereich (Nebenkammer), der ausschließlich über ein Pinhole (=Gasflussbegrenzung) mit der Hauptprozesskammer verbunden ist. In diesem Bereich kann dann ein niedrigerer Druck herrschen und die detektier- ten Massenverhältnisse bleiben nahezu identisch.

Gegenstände der Erfindung sind darüber hinaus ein Kollektor, eine Beleuchtungsoptik, ein Beleuchtungs system, ein Strahlungsquellen-Modul und eine Projektionsbelichtungsanlage mit einem optischen Bauelement gemäß der vorherigen Beschreibung sowie ein Verfahren zur Herstellung eines nano strukturierten Bauelements und ein verfahrensgemäß hergestelltes Bauelement.

Prinzipiell können auch eine oder mehrere Ätzstoppschichten vorgegeben sein.

Die Ätzstoppschichten können sich deutlich von den Kotrastschichten unterscheiden. Sie können insbesondere aus einem deutlich anderen Material sein als die Strukturierungsschicht. Sie können insbesondere eine andere, insbesondere eine deutlich geringere Ätzrate gegenüber der Strukturierungsschicht aufweisen. Für Details sei auf die deutsche Patentanmeldung DE 10 2020 207 807.9 verwiesen. Auch eine Kombination von Kontrast- Schichten und Ätzstopp- Schichten kann zu Vorteilen führen.

Weitere Details und Vorteile der Erfindung ergeben sich aus der Beschreibung von Ausführungsbeispielen anhand der Figuren. Es zeigen:

Fig. 1 schematisch einen Meridionalschnitt durch eine Projektionsbelichtungsanlage für die EUV- Proj ektionslithographie,

Fig. 2 schematisch einen Ausschnitt aus einem Querschnitt durch ein Zwischenprodukt zur Herstellung eines optischen Elements,

Fig. 3 schematisch eine Abfolge von Prozessschritten aus der

Prozesskette zur Herstellung eines optischen Elements,

Fig. 4 schematisch einen Querschnitt eines Zwischenprodukts zur Herstellung eines optischen Bauelements mit gekrümmter Reflexionsfläche,

Fig. 5 schematisch einen Ausschnitt aus einem Querschnitt durch ein Zwischenprodukt zur Herstellung eines optischen Elements, und

Fig. 6 schematisch den Ausschnitt gemäß Fig. 5 nach einer exemplarischen Strukturierung. Zunächst wird der generelle Aufbau einer Projektionsbelichtungsanlage 1 für die Mikro-Lithographie beschrieben.

Fig. 1 zeigt schematisch in einem Meridionalschnitt eine Projektionsbelichtungsanlage 1 für die Mikro-Lithographie. Ein Beleuchtungs system 2 der Projektionsbelichtungsanlage 1 hat neben einer Strahlungsquelle 3 eine Beleuchtungsoptik 4 zur Belichtung eines Objektfeldes 5 in einer Objektebene 6. Belichtet wird hierbei ein im Objektfeld 5 angeordnetes und in der Zeichnung nicht dargestelltes Retikel, das von einem ebenfalls nicht dargestellten Retikelhalter gehalten ist. Eine Projektionsoptik 7 dient zur Abbildung des Objektfeldes 5 in ein Bildfeld 8 in einer Bildebene 9. Abgebildet wird eine Struktur auf dem Retikel auf eine lichtempfindliche Schicht eines im Bereich des Bildfeldes 8 in der Bildebene 9 angeordneten Wafers, der in der Zeichnung ebenfalls nicht dargestellt ist und von einem ebenfalls nicht dargestellten Waferhalter gehalten ist.

Bei der Strahlungsquelle 3 handelt es sich um eine EUV-Strahlungsquelle mit einer emittierten Nutzstrahlung im Bereich zwischen 5 nm und 30 nm. Es kann sich dabei um eine Plasmaquelle, beispielsweise um eine GDPP- Quelle (Plasmaerzeugung durch Gasentladung, gasdischarge-produced plasma) oder um eine LPP-Quelle (Plasmaerzeugung durch Laser, laser- produced plasma) handeln. Beispielsweise kann Zinn mittels einem bei einer Wellenlänge von 10,6 pm, das heißt im Infrarot-Bereich, arbeitenden Kohlendioxidlaser zu einem Plasma angeregt werden. Auch eine Strahlungsquelle, die auf einem Synchrotron basiert, ist für die Strahlungsquelle 3 einsetzbar. Informationen zu einer derartigen Strahlungsquelle findet der Fachmann beispielsweise in der US 6,859,515 B2. EUV-Strahlung 10, die von der Strahlungsquelle 3 ausgeht, wird von einem Kollektor 11 gebündelt. Ein entsprechender Kollektor ist aus der EP 1 225 481 A bekannt. Nach dem Kollektor 11 propagiert die EU V- Strahlung 10 durch eine Zwischenfokusebene 12, bevor sie auf einen Feldfacetten-Spiegel 13 mit einer Vielzahl von Feldfacetten 13a trifft. Der Feldfacetten-Spiegel 13 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die zur Objektebene 6 optisch konjugiert ist.

Die EUV-Strahlung 10 wird nachfolgend auch als Beleuchtungslicht oder als Abbildungslicht bezeichnet.

Nach dem Feldfacetten-Spiegel 13 wird die EUV-Strahlung 10 von einem Pupillenfacettenspiegel 14 mit einer Vielzahl von Pupillenfacetten 14a reflektiert. Der Pupillenfacettenspiegel 14 ist in einer Pupillenebene der Beleuchtungsoptik 4 angeordnet, die zu einer Pupillenebene der Projektionsoptik 7 optisch konjugiert ist. Mit Hilfe des Pupillenfacettenspiegels 14 und einer abbildenden optischen Baugruppe in Form einer Übertragungsoptik 15 mit in der Reihenfolge des Strahlengangs bezeichneten Spiegeln 16, 17 und 18 werden Feld-Einzelfacetten, die auch als Subfelder oder als Einzelspiegel-Gruppen bezeichnet werden, des Feldfacetten- Spiegels 13 in das Objektfeld 5 abgebildet. Der letzte Spiegel 18 der Übertragungsoptik 15 ist ein Spiegel für streifenden Einfall („Grazing Incidence- Spiegel“).

Mit Hilfe der Projektionsbelichtungsanlage 1 wird wenigstens ein Teil des Retikels im Objektfeld 5 auf einen Bereich einer lichtempfindlichen Schicht auf dem Wafer im Bildfeld 8 zur lithographischen Herstellung eines mikro- bzw. nano strukturierten Bauteils, insbesondere eines Halbleiterbauteils, beispielsweise eines Mikrochips, abgebildet. Je nach Ausführung der Projektionsbelichtungsanlage 1 als Scanner oder als Stepper werden das Retikel und der Wafer zeitlich synchronisiert in der y-Richtung kontinuierlich im Scannerbetrieb oder schrittweise im Stepperbetrieb verfahren. Im Folgenden wird unter Bezugnahme auf die Figuren 2 bis 5 ein Verfahren zur Herstellung eines optischen Elements der Projektionsbelichtungsanlage 1 sowie Zwischenprodukte bei der Herstellung dieses optischen Elements beschrieben.

Bei dem optischen Element kann es sich insbesondere um einen Spiegel, insbesondere um einen Spiegel der Beleuchtungsoptik 4 oder der Projektionsoptik 7, handeln. Es kann sich insbesondere um einen Spiegel des Kollektors 11 handeln. Es kann sich auch um einen Spektralfilter, insbesondere einen Filter zur Unterdrückung von Infrarotstrahlung (IR-Strahlung), handeln. Es handelt sich insbesondere um einen EUV-reflektierenden Spiegel mit IR-unterdrückender Wirkung. Für weitere Details eines derartigen optischen Elements sei exemplarisch auf die PCT/EP 2019/082 407 verwiesen, auf die hiermit Bezug genommen wird.

Zunächst wird in einem Bereitstellungsschritt 19 ein Substrat 20 bereitgestellt. Das Substrat 20 dient zur Vorgabe einer Grundtopographie des optischen Elements. Es kann insbesondere eine nicht-planare, das heißt eine gekrümmte, Oberfläche aufweisen. Es kann insbesondere eine konvexe o- der konkave Oberfläche aufweisen. Das Substrat kann eine asphärische, insbesondere eine ellipsoide, oder eine paraboloide Grundtopographie aufweisen.

In einem Auf bring schritt 21 wird auf das Substrat 20 eine Abfolge von zu strukturierenden Schichten 22i (i > 1) und Kontrast- Schichten 23i (i > 1) aufgebracht. Die zu strukturierenden Schichten 22i und die Kontrast- Schichten 23 i können insbesondere mittels eines Abscheidungsverfahren, insbesondere mittels eines Sputter- Verfahrens, insbesondere mittels eines Magnetron- Sputter- Verfahrens (MSD, Magnetron Sputter Deposition) oder mittels eines Dampfabscheidungsverfahrens (PVD, CVD, PECVD) oder eines Atomlagenabscheidungsverfahrens (ALD) oder eines gepolten Laser- Verfahrens (PLD), eines lonenstrahl- Sputter- Verfahrens oder eines Elektronenstrahl- Verdampfungs-Verfahrens aufgebracht werden.

Die zu strukturierenden Schichten 22i werden mit einer Dicke Di aufgebracht. Die Schichtdicke Di kann über die Oberfläche des Substrats 20 variieren, Di = Di(s), hierbei gibt s die Position auf der Oberfläche des Substrats 20 an. Die Ätz-Schicht 22i wird insbesondere mit einer Schichtdicke Di(s) gemäß einem vorgegebenen S chichtdicken- Verlauf Di V (s) auf das Substrat 20 aufgebracht.

Die Schichtdicke Di(s) weicht insbesondere im Bereich der gesamten Oberfläche des Substrats 20 um höchstens 1 % von der vorgegebenen Schichtdicke Div(s) ab.

Die zu strukturierenden Schichten 22i weisen eine glatte Oberfläche auf. Ihre Oberflächenrauheit beträgt insbesondere 0,15 nm rms. Diese Angabe bezieht sich insbesondere auf den Bereich hoher Ortsfrequenzen, insbesondere von mindestens 1/pm.

Die zu strukturierenden Schichten 22i weisen insbesondere eine Dicke Di von wenigen pm auf. Die Dicke Di der zu strukturierenden Schichten 22i kann insbesondere im Bereich von 0,1 pm bis 12 pm liegen. Die Gesamtdicke der Beschichtung des Substrats 20, insbesondere die Summe der Dicke sämtlicher zu strukturierender Schichten 22i und Kontrast-Schichten 23i, beträgt insbesondere höchstens 100 gm, insbesondere höchstens 50 gm, insbesondere höchstens 30 gm, 20 gm, insbesondere höchstens 10 gm. Diese Angaben sind nicht beschränkend zu verstehen.

Die zu strukturierenden Schichten 22i können beispielsweise aus kristallinem amorphem Silizium, SiCh, S13N4 oder anderen Siliziumbasierten Verbindungen sein.

Ihre Dicke Di wird direkt bei der Beschichtung eingestellt. Die Dicke Di kann insbesondere mit einer Genauigkeit von besser als 1 %, insbesondere besser als 0,5 %, insbesondere besser als 0,3 %, insbesondere besser als 0,2 % eingestellt werden.

Die Kontrast- Schichten 23i sind vorzugsweise aus einem Material mit ähnlichen Ätz-Eigenschaften wie die zu strukturierenden Schichten 22i. Die Kontrast- Schichten 23 i können insbesondere aus einem Material mit einer vergleichbaren Ätzrate und/oder einer vergleichbaren Dichte und/oder einem vergleichbaren Aufrauungs verhalten im selben Ätzprozess sein. Unter vergleichbaren Eigenschaften sei hierbei verstanden, dass sich die jeweiligen Parameter um höchstens 50 %, insbesondere 30 %, insbesondere höchstens 20 %, insbesondere höchstens 10 %, insbesondere höchstens 5 %, insbesondere höchstens 3 %, insbesondere höchstens 2 %, insbesondere höchstens 1 %, unterscheiden.

Die Kontrast- Schichten 23i können beispielsweise durch Dotierung oder Ionenimplantation modifiziert seien. Die Kontrast- Schichten 23i weisen eine Dicke D im Bereich von einigen nm, insbesondere im Bereich von 0,5 nm bis 20 nm, insbesondere von bis zu 10 nm auf. Sie weisen insbesondere eine maximale Oberflächenrauheit auf, welche der Oberflächenrauheit der zu strukturierenden Schichten 22i entspricht.

Die zu strukturierenden Schichten 22i und die Kontrast- Schichten 23 i werden insbesondere mittels eines rauheitserhaltenden, insbesondere eines glättenden Verfahrens aufgebracht.

Sie werden mit einer hohen Präzision aufgebracht. Die maximale Dickenabweichung über die optisch genutzte Fläche des optischen Bauelements beträgt insbesondere höchstens 2 %, insbesondere höchstens 1 %, insbesondere höchstens 0,5 %, insbesondere höchstens 0,3 %, insbesondere höchstens 0,2 %. Im Falle einer Schichtdicke der zu strukturierenden Schicht 22i im Bereich von einigen Mikrometern kann die maximale Dickenabweichung insbesondere höchstens 50 nm, insbesondere höchstens 30 nm, insbesondere höchstens 20 nm, insbesondere höchstens 10 nm betragen. Die zu strukturierenden Schichten 22i werden daher auch als formerhaltende oder formgebende Schichten bezeichnet.

Von einer formerhaltenden Schicht wird insbesondere gesprochen, sofern die Schicht eine konstante Dicke aufweist. Schichten mit einer variierenden Dicke werden als formgebende Schichten bezeichnet.

Nach dem Aufbringen sämtlicher zu strukturierender Schichten 22i und Kontrast- Schichten 23 i auf das Substrat 20 liegt ein Zwischenprodukt 24 zur Herstellung des optischen Elements vor. In Figur 4 ist exemplarisch ein Zwischenprodukt 24 zur Herstellung einer Kollektorschale dargestellt. In diesem Fall weist das Substrat 20 eine gekrümmte Oberfläche, insbesondere eine ellipsoide oder eine paraboloide Oberfläche, auf.

In einem Strukturierungsschritt 25 werden die zu strukturierenden Schichten 22i strukturiert. Hierfür ist ein Lithographieschritt 26 und ein nachfolgender Ätzschritt 27 vorgesehen.

Für Details des Strukturierungsschritts wird auf die DE 10 2018 220 629.5 verwiesen.

In einem nachfolgenden Aufbring schritt 36 wird eine strahlungsreflektierende Schicht aufgebracht. Diese ist in den Figuren nicht dargestellt.

Bei der strahlungsreflektierenden Schicht handelt es sich insbesondere um eine EU V- strahlungsreflektierende Schicht. Bei der strahlungsreflektierenden Schicht handelt es sich insbesondere um einen Schichtstapel aus Molybdän-Silizium-Doppellagen.

Zwischen der strahlungsreflektierenden Schicht und den formgebenden zu strukturierenden Schichten 22i können weitere mögliche Schichten liegen. Auf die zu strukturierenden Schichten 22i, insbesondere auf die oberste der zu strukturierenden Schichten 22i, können insbesondere Schutzschichten o- der sonstige funktionale Schichten aufgebracht sein.

Die strahlungsreflektierende Schicht kann direkt auf die oberste der Schichten 22i aufgebracht werden. Aufgrund der geringen Oberflächenrauheit dieser Schichten kann auf einen vorhergehenden Politurschritt verzichtet werden. Prinzipiell kann die oberste zu strukturierende Schicht 22i auch poliert werden.

Das vorhergehend beschriebene Verfahren führt insbesondere im Hinblick auf Bestandteile des Kollektors 11, insbesondere Kollektorschalen, zu Vorteilen. Dies ist auf eine Reduzierung des Stufentiefenfehlers zurückzuführen. Gleichzeitig führt das erfindungsgemäße Verfahren zu einer erheblichen Vereinfachung der Prozesskette, insbesondere zu einer Reduzierung der Durchlaufzeit. Dies ist auf die Umgehung von Politur-Schritten und einen möglichen Verzicht auf die Ätztiefenbestimmung zurückzuführen.

Der Abtrag der zu strukturierenden Schichten 22i im Ätzschritt 27 wird überwacht, insbesondere kontinuierlich überwacht. Dabei wird insbesondere das Erreichen der Kontrast- Schichten 23 i erfasst.

Die Kontrast- Schichten 23i werden in definierten Abständen D n (s) und/oder mit definierten Dicken T n (s) als Zwischenschichten in die zu strukturierenden Schichten 22i eingebracht. Hierbei gibt der Parameter s die Position auf dem Substrat und damit die Position auf dem aus diesem herzustellenden optischen Bauelement an.

Die Kontrast- Schichten 23i können beim Abtrag dieser Schichten, insbesondere durch ein Ätzverfahren, mittels eines massenspektroskopischen Verfahrens, insbesondere mittels Restgasanalyse, detektiert werden. Die Detektion des Abtrags der Kontrast- Schichten 23i kann insbesondere in Echtzeit, in situ erfolgen. Sofern der Abstand zwischen zwei Kontrast- Schichten 23 i, 23i+i bekannt ist, kann aus der Zeit zwischen zwei Peaks in der Restgasanalyse die Ätzrate bestimmt werden. Dies kann global, das heißt über das gesamte Zwischenprodukt, oder lokal geschehen. Durch eine Verringerung der Abstände zwischen aufeinanderfolgenden Kontrast- Schichten 23 i 23i+i kann die Genauigkeit der Ätzratenbestimmung erhöht werden.

Es kann vorgesehen sein, die Kontrast-Schichten 23i mit zunehmendem Abstand zum Substrat 20 mit zunehmenden Abständen anzuordnen. Dies führt zu Beginn des Strukturierungsprozesses zu größeren Abständen und gegen Prozessende zu kürzeren Abständen und damit einer höheren Genauigkeit.

Es kann vorgesehen sein, eine oder mehrere der Kontrastschichten mit einer speziellen chemischen Signatur zu versehen, welche beim Anätzen das Erreichen einer bestimmten Tiefe signalisiert. Derartige Kontrast- Schichten 23i können als Signalgeber, insbesondere als Stopp-Signal, dienen.

Als Material für die Kontrast- Schichten 23 i sind prinzipiell sämtliche Materialien möglich, die eine ausreichend hohe Ätzrate im genutzten Ätz-Prozess aufweisen und welche mittels massenspektroskopischer Methoden ausreichend genau detektierbar sind. Vorteilhafterweise sind die Kontrast- Schichten 23i aus einem ähnlichen Material, insbesondere aus dem gleichen Material, wie die zu strukturierenden Schichten 22i. Sie unterscheiden sich vom Material der zu strukturierenden Schichten 22i allerdings, beispielsweise durch eine Dotierung oder eine Ionenimplantation.

Kontrast in den Kontrast- Schichten 23i kann beispielsweise hergestellt werden über PVD- Verfahren, bei welchem Silizium-Targets oder Silizium-gefüllte Tiegel verwendet werden, wobei zur Herstellung der Kontrast- Schichten 23i die Targets/Tiegel mit Phosphor dotiert werden. Kontrast kann auch durch Ionenimplantation oder durch Verwendung eines Materials mit einer anderen chemischen Zusammensetzung als das der zu strukturierenden Schichten 22i, jedoch mit ähnlichem Ätzverhalten, hergestellt werden.

Die Anordnung der Kontrast- Schichten 23 i zwischen den zu strukturierenden Schichten 22i kann einen Gradienten aufweisen. Hierdurch können gezielt lokal unterschiedliche Ätzraten, wie sie beispielsweise bei gekrümmten Optiken vorgesehen sein können, berücksichtigt werden.

In Figur 5 ist exemplarisch ein Ausschnitt aus einem Querschnitt durch ein Zwischenprodukt 24 mit einer Abfolge von zu strukturierenden Schichten 22i und Kontrast- Schichten 23 i dargestellt. Dabei weisen die Kontrast- Schichten 23i einen mit zunehmendem Abstand vom Substrat 20 größer werdenden Ab stand auf.

In der Figur 6 ist exemplarisch der Zustand des Zwischenprodukts 24 gemäß Figur 5 nach einem lokalen Abtrag von zu strukturierenden Schichten 22i und Kontrast- Schichten 23 i dargestellt. Aus der Anzahl der abgetragenen Kontrast- Schichten kann die Ätztiefe bestimmt werden.

Im Folgenden werden noch einmal unterschiedliche Aspekte der Erfindung stichwortartig beschrieben. Diese Aspekte führen jeweils einzeln für sich oder in Kombination zu Vorteilen.

Zur Abscheidung der zu strukturierenden Schichten 22i dient ein formerhaltendes bzw. ein formgebendes Verfahren. Die zu strukturierenden Schichten 22i werden von daher auch als formgebende Schichten bezeichnet. Zum Aufbringen der formgebenden Schichten dient insbesondere ein Abscheidungsverfahren, insbesondere ein rauheitserhaltendes, vorzugsweise ein glättendes Abscheidungsverfahren. Die Schichten weisen somit direkt nach ihrem Aufbringen einen vorgegebenen Schichtdickenverlauf und eine sehr geringe Oberflächenrauheit auf

Zum selektiven Entfernen einzelner Bereiche der zu strukturierenden

Schichten 22i und/oder der Kontrast- Schichten 23i, insbesondere zu deren Abtrag und/oder zu deren Glätten kann ein lonenstrahlverfahren, insbesondere ein reaktives lonenstrahlverfahren, ein Plasmaverfahren, insbesondere ein reaktives Plasmaverfahren, ein Plasmajetverfahren, eine Remote- Plasma-Methode, Atomlagenätzen, insbesondere räumliches Atomlagenätzen, elektronenstrahlgestütztes Ätzen oder ein anderes Verfahren dienen. Es kann auch eine räumliche Atomlagenprozessierung oder eine Prozessie- rung mittels fokussierten Elektronenstrahls vorgesehen sein.