Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
LOW TG MULTI-TETHER COPOLYMERIZED DIBLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY
Document Type and Number:
WIPO Patent Application WO/2024/038007
Kind Code:
A1
Abstract:
Disclosed are two different block copolymer families having general structures (I) or (III), composition thereof, and the process of using these compositions for DSA; wherein B segment and B1 segment are polar block copolymer segments comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane or cyclic carbonate derived repeat units; L and L1 are either a direct valence bond or a linking moiety derived from a 1,1-diarylethene; A segment and A1 are non-polar block copolymer segment comprising styrenic repeat unit, E', E'' E3 and E4 are different types of end groups, A2 is a block segment derived from an olefin or a diene having a Tg of about -5°C to about -50°C, and in structure (I) is multi-tethered with oligo flexible tethered groups at various positions as outlined. E'-A-L-B-E'' (I) E3-A1-A2-L1-B1-E4 (III)

Inventors:
BASKARAN DURAIRAJ (US)
RAHMAN MD S (US)
MONREAL VICTOR (US)
Application Number:
PCT/EP2023/072371
Publication Date:
February 22, 2024
Filing Date:
August 14, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MERCK PATENT GMBH (DE)
International Classes:
C08F293/00; B82Y40/00; C08F297/02; C09D153/00; G03F7/00; H01L21/00; C08F212/08; C08F212/12; C08F220/14; C08F220/18
Domestic Patent References:
WO2020148305A12020-07-23
WO2022223670A12022-10-27
Other References:
CERNOCH ET AL: "Surface patterns of block copolymers in thin layers after vapor treatment", EUROPEAN POLYMER JOURNAL, PERGAMON PRESS LTD OXFORD, GB, vol. 43, no. 4, 29 March 2007 (2007-03-29), pages 1144 - 1153, XP022008155, ISSN: 0014-3057, DOI: 10.1016/J.EURPOLYMJ.2007.01.023
BONG HOON KIM ET AL: "Anomalous Rapid Defect Annihilation in Self-Assembled Nanopatterns by Defect Melting", NANO LETTERS, vol. 15, no. 2, 11 February 2015 (2015-02-11), US, pages 1190 - 1196, XP055684040, ISSN: 1530-6984, DOI: 10.1021/nl5042935
MACROMOLECULES, vol. 52, 2019, pages 2987 - 2994
MACROMOL. RAPID COMMUN, vol. 39, 2018, pages 1800479
A. DEITER SHLUTER ET AL., SYNTHESIS OF POLYMERS, vol. 1, 2014, pages 315
ENCYCLOPEDIA OF POLYMER SCIENCE AND TECHNOLOGY, vol. 7, 2014, pages 625
DAVID UHRIGJIMMY MAYS: "Techniques in High-Vacuum Anionic Polymerization", JOURNAL OF POLYMER SCIENCE: PART A: POLYMER CHEMISTRY, vol. 43, 2005, pages 6179 - 6222, XP055398274, DOI: 10.1002/pola.21016
Attorney, Agent or Firm:
RIPPEL, Hans Christoph (DE)
Download PDF:
Claims:
AZ74881PC CLAIMS 1. A block copolymer having structure (I), wherein A segment is a non-polar block copolymer segment comprising styrenic repeat units, L is either a direct valence bond or a linking moiety derived from a 1,1-diarylethene; B segment is a polar block copolymer segment comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane derived repeating units, or cyclic carbonate derived repeat units; E’ is a C-1 to C-12 alkyl end group, E’’ is and end group selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O- O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl); and further, said block copolymer of structure (1) is multi-tethered with oligo flexible tethered groups which are selected from oligo linear alkylene tethered groups, oligo ether tethered groups, and oligo dialkyl siloxane tethered groups, and these oligo flexible tethered groups are multi-tethered on at least one of either the A segments, B segments, and L, if L is a linking moiety derived from a 1,1-diarylethene, and said block copolymer has a polydispersity ranging from 1 to about 1.09, and a Mn of at least 40,000: E’-A-L-B-E’’ (I). 2. The block copolymer of claim 1, wherein said oligo flexible tethered groups are oligo linear alkylene tethered groups. 3. The block copolymer of any one of claims 1 or 2, wherein said oligo flexible tethered groups are oligo linear alkylene tethered group having the general structure -X1-(CH2)a-CH3, wherein n is 6 to 19 and X1 is and X1 is selected from a direct valence bond, a linear C-1 to C-4 alkylene spacer, -O- ,-CH2- O- , -O-(C=O)-, -C=O-O-, C=O, -CH2-O-(C=O)-, -S-, -SO2-, and -SO-. 4. The block copolymer of claims 1, wherein said oligo flexible tethered groups are oligo ether tethered groups. 5. The block copolymer of claims 1 or 4, wherein said oligo flexible tethered groups are oligo ether tethered groups, which have the formulas: -O-[(CH2)e-O-]e2-(CH2)e3-H, or -(CH2)e4-O-[(CH2)e-O-]e2- (CH2)e3-H, wherein independently e is from 2 to 8, e2 is from 2 to 8, e3 is from 1 to 8, and e4 is from 1 to 8. 6. The block copolymer of claim 1, wherein said oligo flexible tethered groups are oligo dialkyl siloxane tethered groups. 7. The composition of claims 1 or 6, wherein said oligo flexible tethered groups are oligo dialkyl siloxane tethered groups which have the formula -X2-[Si(alkyl)2-O]s-Si(alkyl)3, where s is from 6 to 18 and the alkyl moiety is a C-1 to C-8 alkyl and X2 is a direct valence bond, or a C-1 to C-8 linear alkylene spacer, or -O-. 8. The block copolymer of any one of claims 1 to 7, wherein said polar block copolymer segment B comprises repeat units derived from a lactone. AZ74881PC 9. The block copolymer of any one of claims 1 to 7, wherein said polar block copolymer segment B comprises repeat units derived from an alkyl 2-methylenealkanoate. 10. The block copolymer of any one of claims 1 to 9, wherein said polar block copolymer segment B segment has a Mw between about 20,000 and about 200,000 and said non-polar styrenic block copolymer segment A has a Mw between 20,000 and about 200,000. 11. The block copolymer of claims 1 to 10, wherein L is a direct valence bond. 12. The block copolymer of any one of claims 1 to 10, wherein L is a linking group derived from a 1,1-diarylethene. 13. The block copolymer of any one of claims 1 to 12, wherein E’’ is H. 14. The block copolymer of any one of claims 1 to 12, wherein E’’ is an alkyl. 15. The block copolymer of any one of claims 1 to 12 wherein E’’ is a carbonylalkyl (-C=O-alkyl). 16. The block copolymer of any one of claims 1 to 12, wherein E’’ a carbonyloxyalkyl (-C=O-O- alkyl). 17. The block copolymer of any one of claims 1 to 12 wherein E’’ is an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl). 18. The block copolymer of anyone claims 1 to 17, wherein oligo flexible tethered groups are located on the A segments and are either randomly located along this segment on some of its repeat units or present on each of its repeat units. 19. The block copolymer of any one of claims 1 to 18, wherein said oligo flexible tethered groups are located on the B segment and are either randomly located along this segment on some of its repeat units or present on each of its repeat units. 20. The block copolymer of any one of claims 1 to 19, wherein said oligo flexible tethered groups are located on the linking group L, when it is derived from a 1,1-diarylethene. 21. The block copolymer of any one of claims 1 to 20, wherein said oligo flexible tethered groups are located on the A segment, the B segment, and the linking group L, if L is derived from a 1,1- diarylethene and are either randomly located along A segment or B Segment on some of their respective repeat units or present on each of their respective repeat units. 22. The block copolymer of any one of claim 1 to 18, wherein said oligo flexible tethered groups are only located on the A segment and are either randomly located along this segment, on some of its repeat units, or present on each of its repeat units. 23. The block copolymer of any one of claims 1 to 17 and 19, wherein said oligo flexible tethered groups are only located on the B segment and are either randomly located along this segment, on some of its repeat units, or present on each of its repeat units. 24. The block copolymer of any one of claims 1 to 17 and 20, wherein said oligo flexible tethered groups are only located on the linking group L, if L is derived from a 1,1-diarylethene. 25. The block copolymer of any one of claims 1 to 19, wherein said oligo flexible tethered groups are only located on the A segment and the B segment and are either randomly located along A segment AZ74881PC and B segment, on some of their respective repeat units, or present on each one of their respective repeat units. 26. The block copolymer of any one of claims 1 to 18 and 20, wherein said oligo flexible tethered groups are only located on both the A segment and the linking group L if it is derived from a 1,1- diarylethene and are either randomly located along the A segment, on some of its repeat units, or present on each of its repeat units. 27. The block copolymer of any one of claims 1 to 17, 19 and 20, wherein said oligo flexible tethered groups are only located on the B segment and the linking group L, if L is derived from a 1,1-diarylethene and are either randomly located along the B segment, on some of its repeat units, or present on each of its repeat units. 28. The block copolymer of any one of claims 1 to 21, wherein oligo flexible tethered groups are present on either A segment or B segment, or both, said oligo flexible tethered groups are present randomly along their respective segments on some of their repeat units. 29. The block copolymer of any one of claims 1 to 21, wherein oligo flexible tethered groups are present on either A segment or B segment, or both, these oligo flexible tethered groups are present on all their respective repeat units. 30. The block copolymer of any one of claims 1 to 29, wherein said copolymer has structure (II), comprising a styrenic block consisting of the randomly copolymerized styrenic repeat units of structures (STa) and (STb) wherein R1 and R2 are individually selected from H or a C-1 to C-4 alkyl, R5 and R6 are individually selected from H or a C-1 to C-4 alkyl, and an oligo flexible tethered group which are selected from an oligo linear alkylene tethered group, an oligo ether tethered groups, and an oligo dialkyl siloxane tethered groups; a linking moiety of structure (L1), wherein R7 and R8 are individually selected from H, a C-1 to C-4 alkyl and a is multi-tethered with oligo flexible tethered group which is selected from an oligo linear alkylene tethered group, an oligo ether tethered groups, and an oligo dialkyl siloxane tethered groups; a 2-methylenealkanoate derived block consisting of the randomly copolymerized 2-methylenealkanoate repeat units of structures (MEAa) and (MEAb), wherein R3 and R4 and individually selected from a C-1 to C-4 alkyl and R9 and R10 are individually selected from a C-1 to C-4 alkyl and is multi-tethered with oligo flexible tethered group which is selected from an oligo linear alkylene tethered group, an oligo ether tethered groups, and an oligo dialkyl siloxane tethered groups; E’ is a C-1 to C-10 alkyl end group, E’’ is selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl); x and x’ designate respectively the number of repeat units of structures (STa) and (STb) in said styrenic block having a Mw from about 20,000 to about 200,000, and in this non-polar block segment it is delineated by the parenthesis designated by n, AZ74881PC y and y’ designate respectively the number of repeat units of structures (MEAa) and (MEAb) in said 2- methylenealkanoate derived block having a Mw from about 20,000 to about 200,000, and in this polar block segment it is delineated by the parenthesis designated by m, and further wherein at least one of structures (STa), (STb), (L1), (MEAa) and (MEAb) respectively have R5, R6, R7, R8, R9 or R10 is selected from said oligo flexible tethered group (II). 31. The block copolymer of claim 30, wherein R5 is an oligo flexible tethered group, R6 is H or a C- 1 to C-4 alkyl, R7 and R8 are individually selected from H and a C-1 to C-4 alkyl, R9 and R10 are individually selected from a C-1 to C-4 alkyl. 32. The block copolymer of claim 30, wherein R5 and R6 are multi-tethered with oligo flexible tethered groups, R7 and R8 are individually selected from H and a C-1 to C-4 alkyl, R9 and R10 are individually selected from a C-1 to C-4 alkyl. 33. The block copolymer of claim 30, wherein R5 and R6 are individually selected from H and a C- 1 to C-4 alkyl, at least one of R8 and R7 is an oligo flexible tethered group and R9 and R10 are individually selected from a C-1 to C-4 alkyl. 34. The block polymer of claim 33 wherein both R8 and R7 are oligo flexible tethered groups. 35. The block copolymer of claim 30, wherein R5 and R6 are individually selected from H and a C- 1 to C-4 alkyl, R8 and R7 are individually selected from H and a C-1 to C-4 alkyl, and at least one of R9 and R10 is an oligo flexible tethered group. 36. The block copolymer of claim 30, wherein both R9 and R10 are oligo flexible tethered group 37. The block copolymer of claim 33, wherein at least one of R5 and R6, and at least one of R9 and R10 is a flexible tethered group. 38. The block copolymer of claim 37, wherein both R5 and R6, and both R9 and R10 is a flexible tethered group. 39. The block copolymer of claim 33, wherein at least one of R5 and R6, at least one of R7 and R8 and at least one of R9 and R10 is a flexible tethered group. 40. The block copolymer of claim 39, wherein R5, R6, R7, R8, R9 and R10 are oligo flexible tethered groups. 41. The block copolymer of any one of claims 33 to 40, wherein R1 and R2 are H. AZ74881PC 42. The block copolymer of any one of claims 33 to 41, wherein R3 and R4 are a C-1 to C-3 alkyl. 43. The block copolymer of any one of claims 33 to 42, wherein R3 and R4 are a C-1 to C-2 alkyl. 44. The block copolymer of any one of claims 33 to 43, wherein R3 and R4 are methyl. 45. A block copolymer having structure (III), wherein A1 is a styrenic block copolymer segment, which has a Tg from about 50°C to about 100°C A2 is block copolymer segment with a Tg ranging from about -5°C to about -50°C, which comprises repeat units derived from either an olefin selected from the group consisting of an alkene, an alkadiene, and an alkatriene, or a from mixture of at least two different olefins selected from this group; B1 is a polar block copolymer segment, which has a Tg of about 50°C to about 100°C, comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane derived repeating units, or cyclic carbonate derived repeat units; L1 is either a direct valence bond or a linking moiety derived from a 1,1-diarylethene; wherein E3 is an alkyl, E4 is an groups selected from H, an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O- alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl), and further wherein said block copolymer has a polydispersity ranging from 1 to about 1.09, E3-A -A -L 4 1 2 1-B1 -E (III). 46. The block copolymer of claim 45, wherein said A2 has repeat units derived from an alkene. 47. The block copolymer of claims 45, wherein said A2 has repeat units derived from an alkadiene. 48. The block copolymer of claims 45 or 47, wherein said alkadiene is a conjugated diene. 49. The block copolymer of any one of claims 45, 47 and 48, wherein said A2 comprises a mixture of at least two different repeat units having structures (IIIa), (IIIb), (IIIc), and (IIId), derived from an alkadiene; wherein Rd, Rd1, Rd3, Rd4, Re, Re1, Re3, and Re4 are individually selected from the group consisting of a H, and a C-1 to C-8 alkyl, and further wherein the total mole% of olefinic repeat units in said block copolymer from about 3 mole% to about 50 (IIIc) (IIId). AZ74881PC 50. The block copolymer of any one of claims 45, 48 and 49, wherein said A2 comprises a mixture of at least two different repeat units having structures (IIIa), (IIIb), (IIIc), and (IIId), derived from an alkadiene; wherein Rd, Rd1, Rd3, and Rd4 are the same and are selected from a H, or a C-1 to C-8 alkyl, and Re, Re1, Re3, and Re4, are selected from a H, or a C-1 to C-8 alkyl, . 51. The block copolymer of claim 45 or 46, wherein said A2 comprises repeat units derived from either ethylene, propylene, butylene, pentylene, hexylene, heptylene, octylene, isoprene, 3- methylenepent-1-ene, 3-methylenehex-1-ene, 3,4-dimethylenehexane, 2-methyl-3-methylenepent-1-ene, 1,3-butadiene, ethylidene norbornene (2-ethylidene-5-norbornene), dicyclopentadiene, vinyl norbornene (2-vinylbicyclo[2.2.1]hept-2-ene), or chloroprene(2-chlorobuta-1,3-diene), or a mixture of at least two of these. 52. The block copolymer of claim 45 or 47, wherein said alkadiene, is an unconjugated diene. 53. The block copolymer of claim 45 or 46, wherein said A2, is derived from an alkatriene. 54. The block copolymer of clam 45, wherein A2 has repeat units derived from a mixture of at least 2 different olefins selected from the group consisting of an alkene, an alkadiene, and an alkatriene. 55. The block copolymer of any one of claims 45 to 51, wherein said A2 further comprises styrenic repeat units. 56. The block copolymer of any one of claims 45 to 55, wherein said polar block copolymer segment B1 comprises repeat units derived from a lactone. 57. The block copolymer of any one of claims 45 to 55, wherein said polar block copolymer segment B1 comprises alkyl 2-methylenealkanoate derived repeating units. 58. The block copolymer of any one of claims 45 to 57, wherein said polar block copolymer segment B1 has a Mw between about 20,000 and about 200,000 and said non-polar styrenic block segment has a Mw between 20,000 and about 200,000. 59. The block copolymer of any one of claims 45 to 58, wherein L1 is a direct valence bond. 60. The block copolymer of any one of claims 45 to 58, wherein L1 is a linking group derived from a 1,1-diarylethene. AZ74881PC 61. The block copolymer of any one of claims 45 to 60, wherein E4 is either H or an alkyl. 62. The block copolymer of any one of claims 45 to 60, wherein E4 is a group derived from an alkylmethyl-2-arylacrylate. 63. The block copolymer of any one of claims 45 to 62, wherein E3 is a C-1 to C-8 alkyl. 64. The block copolymer of any one of claims 45 to 52, 54, 55, 57, 58 or 60, having structure (IIIe), comprising: a styrenic block consisting of the randomly copolymerized styrenic repeat units of structures (STc) and (STc) wherein R1a and R2a are individually selected from H or a C-1 to C-4 alkyl, R5a and R6a are individually selected from H or a C-1 to C-4 alkyl, a linking moiety of structure (L1), wherein R7a and R8a are individually selected from H, and a C-1 to C- 4 alkyl, wherein E’ is a C-1 to C-20 alkyl end group, E’’ is selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl); x and x’ designate respectively the number of repeat units of structures (STc) and (STd) in said styrenic block having a Mw from about 20,000 to about 200,000, in this non-polar block segment it is delineated by the parenthesis designated by n, y and y’ designate respectively the number of repeat units of structures (MEAc) and (MEAd) in said a 2-methylenealkanoate derived block having a Mw from about 20,000 to about 200,000, in this polar block segment delineated by the parathesis designated by m, wherein R3a and R4a, R9a and R10a are individually selected from a C-1 to C-4 alkyl, A2 is block copolymer segment with a Tg ranging from about -5°C to about -50°C, which comprises repeat units derived from either an olefin selected from the group consisting of an alkene, an alkadiene, and an alkatriene, or from mixture of at two different olefins selected from this group; (IIIe). 65. The block copolymer of claim 64, wherein R1a, R2a, R5a R6a, are H. 66. The block copolymer of claims 64 or 65, wherein R7a, and R8a, are H. 67. The block copolymer of any one of claims 64 to 66, wherein R3a, R4a,, R9a and R10a are CH3. AZ74881PC 68. The block copolymer of any one of claims 64 to 67, wherein said A2 comprises a mixture of at least two different repeat units having structures (IIIa), (IIIb), (IIIc), and (IIId); wherein Rd, Rd1, Rd3, Rd4, Re, Re1, Re3, and Re4, are individually selected from the group consisting of a H, and a C-1 to C-8 alkyl, and further wherein the total mole% of olefinic repeat units in said block copolymer ranges from about 3 mole% to about 50 mole%; . 69. The block copolymer of any one of claims 64 to 68, wherein said A2 comprises a mixture of at least two different repeat units having structures (IIIa), (IIIb), (IIIc), and (IIId), wherein Rd, Rd1, Rd3, and Rd4 are the same and are selected from a H, or a C-1 to C-8 alkyl, and. Re, Re1, Re3, and Re4, are selected from a H, or a C-1 to C-8 alkyl, . 70. The block copolymer of any one of claims 64 to 69, wherein said A2 is a block copolymer segment whose repeat units are derived from isoprene or butadiene. 71. The block copolymer of any one of claims 64 to 70, wherein said non-polar block copolymer segment A1 has a Mw between about 20,000 and about 200,000. 72. The block copolymer of any one of claims 64 to 71, wherein E’ is C-3 to C-7 alkyl. 73. The block copolymer of any one of claims 64 to 71, wherein E’’ is H. AZ74881PC 74. A composition comprising a least one block copolymer of any one of claims 1 to 44 and a spin casting organic solvent. 75. The composition of claim 74 further comprising another block copolymer. 76. The composition of claim 74 further comprising a homopolymer. 77. A method of vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of L0 comprising the steps of: a) forming a coating layer of a block copolymer from the composition of any one of claims 74 to 76 on said unpatterned substrate; and b) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpatterned substrate. 78. A method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times L0 and aligning the domains with the pattern, using a coating comprising a block copolymer having a periodicity of L0 comprising the steps of: a1) forming a coating layer of the composition of any one of claims 74 to 76 on said first topographical substrate, wherein the thickness of the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and b1) annealing the block copolymer layer to generate first and second block copolymer domains, vertically oriented on said first patterned substrate, and confined within the recessed region. 79. A method of vertically orienting, first and second block copolymer domains with a periodicity of L0 over a second patterned substrate having a topographical pattern with the height of topography larger than 0.7 times L0 and a pitch P1 where the pitch P1 is a non-zero positive integer multiplied by Lo, and aligning the domains with the pattern comprising the steps of: a2) forming a coating layer of a block copolymer with the composition of any one of claims 74 to 76 on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and b2) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch P1 the of topographical pattern. 80. A method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P2, where the pitch P2 is a non-zero positive integer multiplied by L0 and aligning the domains comprising the steps of: a3) forming a coating layer of a block copolymer with the composition of any one of claims 74 to 76 on the substrate having a surface chemical prepattern; and AZ74881PC b3) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattern having a pitch P2. 81. A composition comprising the block copolymer of any one of claims 45 to 73 and a solvent. 82. The composition of Claim 81 further comprising another block copolymer. 83. The composition of Claim 81 further comprising a homopolymer. 84. A method of vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of L0 comprising the steps of: a4) forming a coating layer of a block copolymer from the composition of any one of claims 81 to 83 on said unpatterned substrate; and b4) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpatterned substrate. 85. A method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times L0 and aligning the domains with the pattern, using a coating comprising a block copolymer having a periodicity of L0 comprising the steps of: a5) forming a coating layer of the composition of any one of claims 81 to 83 on said first topographical substrate, wherein the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and b5) annealing the block copolymer layer to generate first and second block copolymer domains, vertically oriented on said first patterned substrate, and confined within the recessed region. 86. A method of vertically orienting, first and second block copolymer domains with a periodicity of L0 over a second patterned substrate having a topographical pattern with the height of topography larger than 0.7 times L0 and a pitch P1 where the pitch P1 is a non-zero positive integer multiplied by L0, and aligning the domains with the pattern comprising the steps of: a6) forming a coating layer of a block copolymer with the composition of any one of claims 81 to 83 on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and b6) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch P1 the of topographical pattern. 87. A method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P2, where the pitch P2 is a non-zero positive integer multiplied by L0 and aligning the domains comprising the steps of: AZ74881PC a7) forming a coating layer of a block copolymer with the composition of any one of claims 81 to 83 on the substrate having a surface chemical prepattern; and b7) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattern having a pitch P2. 88. The use of the block copolymer of any one of claims 1 to 73 or the composition of claim 74 or 81 in a self assembly process followed by pattern transfer of the self-assembled pattern into a substrate.
Description:
AZ74881PC LOW T g MULTI-TETHER COPOLYMERIZED DIBLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY FIELD OF THE INVENTION [0001] The invention relates to block copolymer families of general structures (I) and (III) and to methods for using composition of these block copolymers for aligning microdomains of self-assembling block copolymers (BCP) to form self-assembled geometries which are useful for forming arrays of contact holes or lines and spaces. These compositions and processes are useful for fabrication of electronic devices. BACKGROUND [0002] Self-assembly of block copolymers is a method useful for generating smaller and smaller patterned features for the manufacture of microelectronic devices in which the critical dimensions (CD) of features on the order of nanoscale can be achieved. Self-assembly methods are desirable for extending the resolution capabilities of microlithographic technology for repeating features such as an array of contact holes or posts. In a conventional lithography approach, ultraviolet (UV) radiation may be used to expose through a mask onto a photoresist layer coated on a substrate or layered substrate. Positive or negative photoresists are useful, and these can also contain a refractory element such as silicon to enable dry development with conventional integrated circuit (IC) plasma processing. In a positive photoresist, UV radiation transmitted through a mask causes a photochemical reaction in the photoresist such that the exposed regions are removed with a developer solution or by conventional IC plasma processing. Conversely, in negative photoresists, UV radiation transmitted through a mask causes the regions exposed to radiation to become less removable with a developer solution or by conventional IC plasma processing. An integrated circuit feature, such as a gate, via or interconnect, is then etched into the substrate or layered substrate, and the remaining photoresist is removed. When using conventional lithographic exposure processes, the dimensions of features of the integrated circuit feature are limited. Further reduction in pattern dimensions is difficult to achieve with radiation exposure due to limitations related to aberrations, focus, proximity effects, minimum achievable exposure wavelengths and maximum achievable numerical apertures. The need for large-scale integration has led to a continued shrinking of the circuit dimensions and features in the devices. In the past, the final resolution of the features has been dependent upon the wavelength of light used to expose the photoresist, which has its own limitations. Directed (a.k.a. guided) self-assembly techniques, such as graphoepitaxy and chemoepitaxy using block copolymer imaging, which employ a patterned area on a substrate, are highly desirable techniques used to enhance resolution while reducing CD variation. These techniques can be employed to either enhance conventional UV lithographic techniques or to enable even higher resolution and CD control in approaches employing EUV, e-beam, deep UV or immersion lithography. The directed self-assembly block copolymer comprises a block of etch resistant copolymeric unit and a block AZ74881PC of highly etchable copolymeric unit, which when coated, aligned and etched on a substrate give regions of very high-density patterns. [0003] For directed (guided), or unguided self-assembly, of a block copolymer film, respectively, on a patterned or non-patterned substrate area, typically the self-assembly process of this block polymer layer occurs during annealing of this film overlying a neutral layer. This neutral layer over a semiconductor substrate may be an unpatterned neutral layer, or in chemoepitaxy or graphoepitaxy, this neutral layer may contain, respectively, graphoepitaxy or chemoepitaxy guiding features (formed through the above- described UV lithographic technique). During annealing of the block copolymer film, the underlying, neutral layer, directs the nano-phase separation of the block copolymer domains. One example is the formation phase separated domains which are lamellas or cylinders perpendicular to the underlying neutral layer surface. These nano-phase separated block copolymer domains, form a pre-pattern (e.g., line and space L/S) which may be transferred into the substrate through an etching process (e.g., plasma etching). In graphoepitaxy, or in chemoepitaxy, these guiding features may dictate both pattern rectification and pattern multiplication. In the case of an unpatterned neutral layer this produces a repeating array of for instance L/S or CH. For example, in a conventional block copolymer such as poly(styrene-b-methyl methacrylate (P(S-b-MMA)), in which both blocks have similar surface energies at the BCP-air interface, this can be achieved by coating and thermally annealing the block copolymer on a layer of non-preferential or neutral material that is grafted or cross-linked at the polymer-substrate interface. [0004] In the graphoepitaxy directed self-assembly method, the block copolymers self organizes around a substrate that is pre-patterned with conventional lithography (Ultraviolet, Deep UV, e-beam, Extreme UV (EUV) exposure source) to form repeating topographical features such as a line/space (L/S) or contact hole (CH) pattern. In an example of a L/S directed self-assembly array, the block copolymer can form self-aligned lamellar regions which can form parallel line-space patterns of different pitches in the trenches between pre-patterned lines, thus enhancing pattern resolution by subdividing the space in the trench between the topographical lines into finer patterns. For example, a diblock copolymer or a triblock copolymer which is capable of microphase separation and comprises a block rich in carbon (such as styrene or containing some other element like Si, Ge, Ti) which is resistant to plasma etch, and a block which is highly plasma etchable or removable, can provide a high-resolution pattern definition. Examples of highly etchable blocks can comprise monomers which are rich in oxygen, and which do not contain refractory elements and are capable of forming blocks which are highly etchable, such as methyl methacrylate. The plasma etching gases used in the etching process of defining the self-assembly pattern typically are those used in processes employed to make integrated circuits (IC). In this manner, very fine patterns can be created in typical IC substrates than were definable by conventional lithographic techniques, thus achieving pattern multiplication. Similarly, features such as contact holes can be made denser by using graphoepitaxy in which a suitable block copolymer arranges itself by directed self- assembly around an array of contact holes or posts defined by conventional lithography, thus forming a AZ74881PC denser array of regions of etchable and etch resistant domains which when etched give rise to a denser array of contact holes. Consequently, graphoepitaxy has the potential to offer both pattern rectification and pattern multiplication. [0005] In chemical epitaxy, or pinning chemical epitaxy, the self-assembly of the block copolymer is formed on a surface whose guiding features are regions of differing chemical affinity, having no, or insignificant topography (a.k.a. non-guiding topography) which predicates the directed self-assembly process. For example, the surface of a substrate could be patterned with conventional lithography (UV, Deep UV, e-beam EUV) to create surfaces of different chemical affinity in a line and space (L/S) pattern in which exposed areas whose surface chemistry had been modified by irradiation alternate with areas which are unexposed and show no chemical change. These areas present no topographical difference but do present a surface chemical difference or pinning to direct self-assembly of block copolymer segments. Specifically, the directed self-assembly of a block copolymer whose block segments contain etch resistant (such as styrene repeat unit) and rapidly etching repeat units (such as methyl methacrylate repeat units) would allow precise placement of etch resistant block segments and highly etchable block segments over the pattern. This technique allows for the precise placement of these block copolymers and the subsequent pattern transfer of the pattern into a substrate after plasma or wet etch processing. Chemical epitaxy has the advantage that it can be fine-tuned by changes in the chemical differences to help improve line-edge roughness and CD control, thus allowing for pattern rectification. Other types of patterns such as repeating contact holes (CH) arrays could also be pattern rectified using chemoepitaxy. [0006] These neutral layers are layers on a substrate or the surface of a treated substrate which have no affinity for either of the block segment of a block copolymer employed in directed self-assembly. In the graphoepitaxy method of directed self-assembly of block copolymer, neutral layers are useful as they allow the proper placement or orientation of block polymer segments for directed self-assembly which leads to proper placement of etch resistant block polymer segments and highly etchable block polymer segments relative to the substrate. For instance, in surfaces containing line and space features which have been defined by conventional radiation lithography, a neutral layer allows block segments to be oriented so that the block segments are oriented perpendicular to the surface of the substrates, an orientation which is ideal for both pattern rectification and pattern multiplication depending on the length of the block segments in the block copolymer as related to the length between the lines defined by conventional lithography. If a substrate interacts too strongly with one of the block segments it would cause it to lie flat on that surface to maximize the surface of contact between the segment and the substrate; such a surface would perturb the desirable perpendicular alignment which can be used to either achieve pattern rectification or pattern multiplication based on features created through conventional lithography. Modification of selected small areas or pinning of substrate to make them strongly interactive with one block of the block copolymer and leaving the remainder of the surface coated with the neutral layer can be useful for forcing the alignment of the domains of the block copolymer in a AZ74881PC desired direction, and this is the basis for the pinned chemoepitaxy or graphoepitaxy employed for pattern multiplication. [0007] Directing self-assembly (DSA) of diblock copolymers such as polystyrene-b- polymethylmethacrylate (PS-b-PMMA) block copolymer is widely used as next generation lithography patterning. Microphase separation of diblock copolymer is used for feature size control in lithography. These diblock copolymer can produce mono and unidirectional feature sizes in thin film morphology with appropriate underlayer or prepattern for DSA application. However, one problem is that Defect free assembly process of block copolymers requires high thermal energy and longer time. To resolve this problem there is a need for new diblock copolymers which have better block segment mobility and less stringent annealing requirements which will reduce the number of defects defect achieved through DSA processing IC patterns with smaller sizes. DETAILED DESCRIPTION OF DRAWINGS [0008] FIG.1: Representative Example of assembly of novel diblock copolymer of a styrenic monomer and an alkyl methacrylate monomer, wherein at least one of these blocks has a low Tg because it is multi- tethered with oligo-flexible group. [0009] FIG. 2: Representative Example of assembly of novel AB diblock copolymer of polystyrene (PS) and poly(methyl methacrylate) (PMMA) modified by the including of an additional low Tg block segment such as one comprising polyisoprene (PI). [0010] FIG. 3: Fingerprint pattern (FP) of multi-tethered diblock copolymer (EX 2, TAB) on brush Underlayer Neutral Copolymer 1 [0011] FIG.4: 1 FOV SEM images, of multi-tethered diblock copolymer (EX 6, TAB 5) Multi-tethered diblock shows improved grain sizes with no defects. [0012] FIG. 5: 1 FOV SEM images, standard CH diblock copolymer (EX 1a, AB1), this standard diblock copolymer shows a large number of defects caused by areas of mixed morphology. SUMMARY OF THE INVENTION [0013] Defect free assembly process of block copolymers requires high thermal energy and longer time. This difficulty limits application of directed self-assembly of block copolymer with large domain spacing and the use of triblock copolymer which doubles the molecular weight of diblock copolymers for multi- pitch applications in lithographic patterning. This invention relates to the synthesis of two kinetically enhanced AB di-block copolymer families. [0014] In both approaches the T g of the block segments were lowered aiming at enhancing the kinetic of annealing to reduce the annealing required to achieve defect free assembly during DSA processing. In the first family the AB di-block copolymer comprises at least one block which is multi-tethered with oligo flexible tethered groups. In the second family the AB diblock copolymer comprises a third block which contains a low Tg repeat unit such as derived from isoprene and similar low Tg olefinic repeat units. AZ74881PC [0015] The first approach as illustrated in (FIG.1) is the development a series of novel multi-tethered copolymerized diblock with low T g comonomers, for example at PS block or in PMMA block or in both PS and PMMA blocks. Non limiting specific examples are, P(S1-co-S2)-b-P(M1-co-M2), P(S1-co-S2)- b-PMMA, and PS-b-P(M1-co-M2), which have a target molecular weight exhibiting narrow molecular weight distribution (PDI <1.1), as follows: P(S1-co-S2)-b-P(M1-co-M2), is a block copolymer comprising a first block P(S1-co-S2) polymer block comprising two different types of styrenic repeat units and a second polymer block P(M1-co-M2) comprising two different type of methacrylate repeat units, where S1 is styrene and S2 is styrene substituted with an oligo flexible tethered groups, M1 is methyl methacrylate and M2 is a methacrylate substituted an with oligo flexible tethered groups P(S1-co-S2)-b-PM1 is a block copolymer comprising a first block P(S1-co-S2) polymer comprising two different types of styrenic repeat units and a second polymer block PM1 comprising a single type of styrenic repeat units, where S1 is styrene and S2 is styrene substituted with an oligo flexible tethered groups, and M1 is methyl methacrylate PS1-b-P(M1-co-M2) is block copolymer comprising a first polymer block PS1 comprising a single type of styrenic repeat unit (S1), and a second polymer block P(M1-co-M2) comprising two different types of alkyl methacrylate (M1 and M2), where S1 is styrene, and M1 is methyl methacrylate and M2 is a methacrylate substituted an oligo flexible tethered groups. [0016] The other approach (FIG.2) is to modify a styrenic/alkyl methacrylate AB diblock copolymer to include an additional block segment which has a low Tg. For example, in a diblock copolymer PS and PMMA block introduce an additional block segment which has a low Tg of about -5°C to about -50°C comprising olefin or diene derived repeat units such as, for example, repeat units derived from isoprene (PI) which also have a target molecular weight exhibiting narrow molecular weight distribution (PDI <1.1). A non-limiting example of this type of polymer is PS1-b-PI-b-PMMA as follow: PS1-b-PI-b-PMMA which is a block copolymer comprising a first polymer block PS1 comprising a single type of styrene repeat unit (S1), and a second polymer block (PI) comprising isoprene repeat units and a third block (PMMA) comprising poly(methyl methacrylate repeat units. [0017] Both modified block copolymers families can be made using living anionic polymerization in the presence of anionic initiators such as s-Bu Li initiator. [0018] More generally, these two types of polymers are inventive polymer families are described by structures (I) and (III) respectively as shown as follows. Inventive Polymer of Structure (I) [0019] One aspect of this invention is a block copolymer having structure (I), wherein ^ A segment is a non-polar block copolymer segment comprising styrenic repeat units, ^ B segment, is a polar block copolymer segment comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane derived repeating units, or cyclic carbonate derived repeat units; AZ74881PC ^ L is either a direct valence bond or a linking moiety derived from a 1,1-diarylethene ^ E’ is a C-1 to C-12 alkyl end group, ^ E’’ is an end group selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH 2 -CH(Aryl)(C(=O))-O-alkyl). Further, in this embodiment of block copolymer of structure (1) is multi-tethered with oligo flexible tethered groups which are selected from oligo linear alkylene tethered group, oligo ether tethered groups, and oligo dialkyl siloxane tethered groups, and these oligo flexible tethered groups are multi-tethered on at least one of either the A segments, B segments, and L, if L is a linking moiety derived from a 1,1- diarylethene, and said block copolymer has a polydispersity ranging from 1 to about 1.09, and a M n of at least 40,000. E’-A-L-B-E’’ (I) Inventive Polymer of Structure (III) [0020] A further aspect of this invention is a block copolymer having structure (III), wherein A 1 is a styrenic block copolymer segment, which has a T g from about 50°C and to about 100°C A 2 is block copolymer segment with a T g ranging from about -5°C to about -50°C, which comprises repeat units derived from either an olefin selected from the group consisting of an alkene, an alkadiene, and an alkatriene, or a from mixture of at two different olefins selected from this group; B 1 is a polar block copolymer segment, which has a T g of about 50°C to about 100°C, comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane derived repeating units, or cyclic carbonate derived repeat units; L1 is either a direct valence bond or a linking moiety derived from a 1,1-diarylethene; wherein E3 is an alkyl, E4 is an groups selected from H, an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O- alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl). [0021] Further, in this embodiment of block copolymer of structure (III) it has a polydispersity ranging from 1 to about 1.09: E3-A -A -L 4 1 2 1-B1 -E (III). [0022] Another aspect of this invention are compositions comprising the inventive block copolymer of structure (I), or the inventive block copolymer of structure (III) and a spin casting solvent. [0023] Another aspect of this invention is the method of using the above-described compositions in a self-assembly process followed by pattern transfer of the self-assembled pattern into a substrate. AZ74881PC DETAILED DESCRIPTION OF THE INVENTION [0024] It is to be understood that both the foregoing general description and the following detailed description are illustrative and explanatory, and are not restrictive of the subject matter, as claimed. In this application, the use of the singular includes the plural, the word "a" or "an" means "at least one", and the use of "or" means "and/or", unless specifically stated otherwise. Furthermore, the use of the term "including," as well as other forms such as "includes" and "included", is not limiting. Also, terms such as "element" or "component" encompass both elements and components comprising one unit and elements or components that comprise more than one unit, unless specifically stated otherwise. As used herein, the conjunction "and" is intended to be inclusive and the conjunction "or" is not intended to be exclusive unless otherwise indicated. For example, the phrase "or, alternatively" is intended to be exclusive. As used herein, the term "and/or" refers to any combination of the foregoing elements including using a single element. [0025] L0 is the natural pitch of assembled block copolymer which tends to be proportional to the size of copolymer. [0026] The term C-1 to C-4 alkyl embodies methyl and C-2 to C-4 linear alkyls and C-3 to C-4 branched alkyl moieties, for example as follows: methyl(-CH3), ethyl (-CH2-CH3), n-propyl (-CH2-CH2-CH3), isopropyl (-CH(CH3)2, n-butyl (-CH2-CH2-CH2-CH3), tert-butyl (-C(CH3)3), isobutyl (CH2-CH(CH3)2, 2-butyl (-CH(CH3)CH2-CH3). Similarly, the term C-1 to C-8 embodies methyl, C-2 to C-8 linear, C-3 to C-8 branched alkyls, C-4 to C-8 cycloalkyls (e.g., cyclopentyl, cyclohexyl, etc.) or C-5-C-8 alkylenecycloalkyls (e.g. -CH2-cyclohexyl, CH2-CH2-cyclopentyl etc. [0027] The term C-2 to C-5 alkylene embodies C-2 to C-5 linear alkylene moieties (e.g. ethylene, propylene etc.) and C-2 to C-5 branched alkylene moieties (e.g., -CH(CH3)-, -CH(CH3)-CH2-, etc.). [0028] Di-block and triblock copolymers of styrenic and alkyl 2-methylenealkanoate derived repeat unit moieties useful as components in the inventive compositions described herein may be made by a variety of methods, such as anionic polymerization, atom transfer radical polymerization (ATRP), reversible addition-fragmentation chain transfer (RAFT) polymerization, living radical polymerization and the like (Macromolecules 2019, 52, 2987−2994; Macromol. Rapid Commun.2018, 39, 1800479; A. Deiter Shluter et al Synthesis of Polymers, 2014, Volume 1, p315; Encyclopedia of Polymer Science and Technology, 2014, Vol 7, p 625.) [0029] The random copolymer poly(styrene-co-methyl methacrylate) is abbreviated as “P(S-co-MMA),” and the oligomeric version of this materials is abbreviated oligo(S-co-MMA). Similarly, the block copolymer poly(styrene-block-methyl methacrylate) is abbreviated as P(S-b-MMA), while the oligomer of this material is abbreviated as oligo(S-b-MMA). The oligomer oligo(styrene-co-p-octylstyrene)- block-(methyl methacrylate-co- di(ethylene glycol) methyl ether methacrylate) uses the same abbreviations to designate random an block copolymer elements, specifically oligo(S-co-p-OS)-b- P(MMA-co-DEGMEMA), in which S=styrene, p-OS=para-octylstyrene, MMA=methacrylate, AZ74881PC DEGMEMA= di(ethylene glycol) methyl ether methacrylate designate the repeat units in this block copolymer whose two blocks are random copolymers. [0030] FOV is the abbreviation for field of view for top-down scanning electron micrographs (SEM) for the SEM FIGs. in this application. “L/S,” is an abbreviation for line and space lithographic features. [0031] The term alkyl 2-methylenealkanoate (alkyl-O-(C=O)-C(alkyl)=CH2), refers to alkyl esters of a 2-methylenealkanoate, wherein said 2-methylenealkanoate may incorporate up to 11 carbon atoms, and said alkyl in alkyl 2-methylenealkanoate may incorporate up to 8 carbon atoms, selected from methyl, linear alkyls, branched alkyls and cyclic alkyls. The following structures give a general structure for such alkyl 2-methylenealkanoate, where Ralka and Ralkb independently are selected from C-1 to C-8 alkyl groups and show non-limiting examples of alkyl 2-methylenealkanoates falling within this scope. [0032] The term styrenic as used herein, unless otherwise indicated, encompasses repeat units derived from styrene derivative generally for examples ones derived from styrene derivatives having the following structure, wherein Xsty moiety is H or a C-1 to C-4 alkyl and the Rsty moiety is H, C-1 to C- 5 alkyl, a halide, a C-1 to C-5 alkyloxy or an oligo flexible tethered group, st is the number of Rsty substituents and is 1 or 2. [0033] The term 1,1-diarylethene as used herein, unless otherwise indicated, encompasses a moiety derived from ethene which has two substituents at the 1 position which are aryl moieties as shown as follows, where Aryl1 and Aryl2 are aryl substituent selected from phenyl, or substituted phenyl, and if AZ74881PC the substituents is present in either, or both Aryl 1 and Aryl 2 these substituents are independently selected from a C-1 to C-5 alkyl, a halide, a C-1 to C-5 alkyloxy and an oligo flexible tethered group. [0034] The term “alkyl 2-arylacrylate described with the generic structure (-CH 2 - CH(Aryl)(C(=O))-O-alkyl) unless otherwise indicated, is defined in more detail in the general structure below where * indicates the attachment point to the end of a block copolymer chain; Aryl 3 is an aryl substituent selected from phenyl, or substituted phenyl, and if a substituents is present in either, where this substituents are independently selected from a C-1 to C-5 alkyl, a halide, a C-1 to C-5 alkyloxy and an oligo flexible tethered group, and further where alkyl 3 is an unsubstituted alkyl C-1 to C-5 alkyl or a C-1 to C-5 alkyl substituted with an oligo flexible tethered group. This end group structure may be derived, as an illustrative example, by a 2-arylacrylate alkyl ester reacting at the CH2 olefinic moiety with a living anion at the end of a polymeric chain forming a CH- anion which is then terminated by protonation. [0035] The section headings used herein are for organizational purposes and are not to be construed as limiting the subject matter described. All documents, or portions of documents, cited in this application, including, but not limited to, patents, patent applications, articles, books, and treatises, are hereby expressly incorporated herein by reference in their entirety for any purpose. In the event that one or more of the incorporated literature references and similar materials defines a term in a manner that contradicts the definition of that term in this application, this application controls. [0036] Unless otherwise indicated, “alkyl” refers to hydrocarbon groups which can be linear, branched (e.g., methyl, ethyl, propyl, isopropyl, tert-butyl and the like) or cyclic (e.g., cyclohexyl, cyclopropyl, cyclopentyl and the like) multicyclic (e.g., norbornyl, adamantyl and the like). These alkyl moieties may be substituted or unsubstituted as described below. The term “alkyl” refers to such moieties with C-1 to C-20 carbons. It is understood that for structural reasons linear alkyls start with C-1, while branched alkyls and cyclic alkyls start with C-3 and multicyclic alkyls start with C-5. Moreover, it is further understood that moieties derived from alkyls described below, such as alkyloxy and perfluoroalkyl, have the same carbon number ranges unless otherwise indicated. If the length of the alkyl group is specified as other than described above, the above-described definition of alkyl still stands with respect to it encompassing all AZ74881PC types of alkyl moieties as described above and that the structural consideration with regards to minimum number of carbons for a given type of alkyl group still apply. [0037] Alkyloxy (a.k.a. Alkoxy) refers to an alkyl group on which is attached through an oxy (-O-) moiety (e.g., methoxy, ethoxy, propoxy, butoxy, 1,2-isopropoxy, cyclopentyloxy cyclohexyloxy and the like). These alkyloxy moieties may be substituted or unsubstituted as described below. [0038] Halo or halide refers to a halogen, F, Cl, Br or I which is linked by one bond to an organic moiety. [0039] As used herein the term lactone encompasses both mono-lactones (e.g., caprolactone) and di- lactones (e.g., lactide). [0040] Haloalkyl refers to a linear, cyclic or branched saturated alkyl group such as defined above in which at least one of the hydrogens has been replaced by a halide selected from the group of F, Cl, Br, I or mixture of these if more than one halo moiety is present. Fluoroalkyls are a specific subgroup of these moieties. [0041] Perfluoroalkyl refers to a linear, cyclic or branched saturated alkyl group as defined above in which the hydrogens have all been replaced by fluorine (e.g., trifluoromethyl, pefluoroethyl, prefluoroisopropyl, perfluorocyclohexyl and the like). [0042] The term oligo flexible tethered groups, as used in describing different embodiments of inventive polymers or compounds described herein, refers to a grouping of moieties which includes oligo linear alkylene tethered groups, oligo ether tethered groups and oligo dialkyl siloxane tethered groups. [0043] The term oligo linear alkylene tethered group in the different embodiments of inventive polymers or compounds, described herein, refers in the widest embodiments to groups having the following general structures: -X1-(CH2)a-CH3, where a is 6 to 19 and X1 is selected from a direct valence bond, a linear C-1 to C-4 alkylene spacer, -O- , -CH2-O-, -O-(C=O)-, -C=O-O-, C=O, -CH2-O-(C=O)-, -S-, -SO2-, -SO-. In one embodiment, X1 is a direct valence bond. In another embodiment, X1 is a linear C-1 to C-4 alkylene spacer. In another embodiment X1 is -O-. In still another embodiment it is -CH 2 -O-; in still another X1 is -O-(C=O)-. In another embodiment X1 is -C=O-O-. In another embodiment X1 is a carbonyl (C=O). In another embodiment X1 is -CH 2 -O-(C=O)-. In another embodiment X1 is -S-. In another embodiment X1 is -SO2-. In another embodiment X1 is -SO-. More specific types of these groups are -O-(CH2)a-CH3, or - CH2-O--(CH2)a-CH3 wherein a is 6 to 19. In more specific embodiments -O-(CH 2 ) a -CH 3 , or - CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 7 to 19. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or - CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 7 to 10. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or - CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 8- 9. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or -CH 2 -O--(CH 2 ) a -CH 3 have a equal to 8. In another more specific embodiments --O-(CH 2 ) a -CH 3 , or -CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 7 to 14. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or -CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 7 to 13. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or -CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 8- 13. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or -CH 2 -O--(CH 2 ) a -CH 3 have a equal to 13. In another AZ74881PC more specific embodiments -O-(CH 2 ) a -CH 3 , or - CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 7 to 19. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or -CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 8 to 19. In a still more selective embodiment -O-(CH 2 ) a -CH 3 , or - CH 2 -O--(CH 2 ) a -CH 3 have a equal to from 9 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 10 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 11 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 12 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 13 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a- CH3 have a equal to from 13 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2- O--(CH2)a-CH3 have a equal to from 14 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 15 to 19. In a still more selective embodiment -O-(CH2)a- CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 16 to 19. In a still more selective embodiment - O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 17 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to from 18 to 19. In a still more selective embodiment -O-(CH2)a-CH3, or -CH2-O--(CH2)a-CH3 have a equal to 18. These linear alkylene tethered group may either be unsubstituted or substituted with a C-1 to C-8 alkyl group forming a branching point. These linear alkylene tethered groups may either be unsubstituted or substituted with a C-1 to C-8 alkyl group forming a branching point. [0044] The term oligo ether tethered group in the different embodiments of inventive polymers or compounds, described herein, refers to moieties having the following general structures: -O-[(CH 2 ) e -O-] e2 -(CH 2 ) e3 -H, and -(CH 2 ) e4 -O-[(CH 2 ) e -O-] e2 -(CH 2 ) e3 -H, wherein independently e is from 2 to 8, e2 is from 2 to 8, e3 is from 1 to 8, and e4 is from 1 to 8. In one specific embodiment another aspect of this embodiment it is -O-(CH2-CH2-O)e2-(CH2)e3-H; in a more specific aspect of this embodiment it is -O-(CH2-CH2-O)e2-(CH3); in a more specific aspect of this embodiment it is -CH2-O- (CH2-CH2-O)4-CH3; in yet another more specific embodiment it is O-(CH2-CH2-O)4-CH3. In another more specific embodiment, it is -CH2-O-(CH2-CH2-O)e2-(CH2)e3-H. In another more specific embodiment, it is -CH2-O-(CH2-CH2-O)e2-CH3, in another more specific aspect of this embodiment it is -CH2-O-(CH2-CH2-O)4-CH3, another more specific aspect of this embodiment it is -CH2-O-(CH2-CH2- O) 2 -CH 3 These oligo ethers tethered group may either be unsubstituted or substituted with a C-1 to C- 8 alkyl group forming a branching point. [0045] The term oligo dialkyl siloxane tethered group present in the different embodiments of inventive polymers or compounds, described herein, refers to groups having the following general structures -X2-[Si(alkyl)2-O]s-Si(alkyl)3, where s is from 6 to 18 and the alkyl moiety is a C-1 to C-8 alkyl and X2 is a direct valence bond, or a C-1 to C-8 linear alkylene spacer, or -O-. In a more specific aspect of this embodiment it is -O-[Si(alkyl) 2 -O] s -Si(alkyl) 3 , in a more specific aspect of this embodiment it is -O -[Si(CH 3 ) 2 -O] s -Si(CH 3 ) 3 . In another more specific aspect of this embodiment it is -CH 2 - AZ74881PC O-[Si(alkyl) 2 -O] s -Si(alkyl) 3 , in a more specific aspect of this embodiment it is -CH 2 -O-[Si(CH 3 ) 2 -O] s - Si(CH 3 ) 3 . Inventive Polymer of Structure (I) [0046] One aspect of this invention is a block copolymer having structure (I), wherein ^ A segment is a non-polar block copolymer segment comprising styrenic repeat units; ^ L is either a direct valence bond or a linking moiety derived from a 1,1-diarylethene; ^ B segment is a polar block copolymer segment comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane derived repeating units, or cyclic carbonate derived repeat units ^ E’ is a C-1 to C-12 alkyl end group; ^ E’’ is an end group selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl). Further, in this embodiment of block copolymer of structure (1) is multi-tethered with oligo flexible tethered groups which are selected from oligo linear alkylene tethered groups, oligo ether tethered groups, and oligo dialkyl siloxane tethered groups, and these oligo flexible tethered groups are multi-tethered on at least one of either the A segments, B segments, and L, if L is a linking moiety derived from a 1,1- diarylethene, and said block copolymer has a polydispersity ranging from 1 to about 1.09, and a Mn of at least 40,000. E’-A-L-B-E’’ (I). [0047] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are oligo linear alkylene tethered groups. [0048] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are oligo linear alkylene tethered group having the general structure -X1-(CH2)a- CH3, wherein n is 6 to 19 and X1 is and X1 is selected from a direct valence bond, a linear C-1 to C-4 alkylene spacer, -O- ,-CH2-O- -O-(C=O)-, -C=O-O-, C=O, -CH2-O-(C=O)-, -S-, -SO2-, and -SO-. [0049] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are oligo ether tethered groups. [0050] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are oligo ether tethered groups, which have the formulas: -O-[(CH 2 ) e -O-] e2 - (CH2)e3-H, or -(CH2)e4-O-[(CH2)e-O-]e2-(CH2)e3-H, wherein independently e is from 2 to 8, e2 is from 2 to 8, e3 is from 1 to 8, and e4 is from 1 to 8. [0051] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are oligo dialkyl siloxane tethered groups. [0052] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are oligo dialkyl siloxane tethered groups which have the formula - AZ74881PC X2-[Si(alkyl) 2 -O] s -Si(alkyl) 3, where s is from 6 to 18 and the alkyl moiety is a C-1 to C-8 alkyl and X2 is a direct valence bond, or a C-1 to C-8 linear alkylene spacer, or -O-. [0053] In another embodiment of the block copolymer of structure (I), described herein, said polar block copolymer segment B comprises repeat units derived from a lactone. [0054] In another embodiment of the block copolymer of structure (I), described herein, said polar block copolymer segment B comprises repeat units derived from an alkyl 2-methylenealkanoate. [0055] In another embodiment of the block copolymer of structure (I), described herein, said polar block copolymer segment B segment has a Mw between about 20,000 and about 200,000, and said non-polar styrenic block copolymer segment A has a Mw between 20,000 and about 200,000. [0056] In another embodiment of the block copolymer of structure (I), described herein, L is a direct valence bond. [0057] In another embodiment of the block copolymer of structure (I), described herein, L is a linking group derived from a 1,1-diarylethene. [0058] In another embodiment of the block copolymer of structure (I), described herein, E’’ is H. [0059] In another embodiment of the block copolymer of structure (I), described herein, E’’ is an alkyl. [0060] In another embodiment of the block copolymer of structure (I), described herein, E’’ is a carbonylalkyl (-C=O-alkyl). [0061] In another embodiment of the block copolymer of structure (I), described herein, E’’ a carbonyloxyalkyl (-C=O-O-alkyl). [0062] In another embodiment of the block copolymer of structure (I), described herein, E’’ is an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl). [0063] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are located on the A segments and are either randomly located along this segment on some of its repeat units or present on each of its repeat units. [0064] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are located on the B segment and are either randomly located along this segment on some of its repeat units or present on each of its repeat units. [0065] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are located on the linking group L, when it is derived from a 1,1-diarylethene. [0066] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are located on the A segment, the B segment, and the linking group L, if L is derived from a 1,1-diarylethene and are either randomly located along A segment or B Segment on some of their respective repeat units or present on each of their respective repeat units. [0067] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are only located on the A segment and are either randomly located along this segment, on some of its repeat units, or present on each of its repeat units. AZ74881PC [0068] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are only located on the B segment and are either randomly located along this segment, on some of its repeat units, or present on each of its repeat units. [0069] In another embodiment of the block copolymer of structure (I), described herein, wherein said oligo flexible tethered groups are only located on the linking group L, if L is derived from a 1,1- diarylethene. [0070] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are only located on the A segment and the B segment and are either randomly located along A segment and B segment, on some of their respective repeat units, or present on each one of their respective repeat units. [0071] In another embodiment of the block copolymer of structure (I), described herein, said oligo flexible tethered groups are only located on both the A segment and the linking group L if it is derived from a 1,1-diarylethene and are either randomly located along the A segment, on some of its repeat units, or present on each of its repeat units [0072] In another embodiment of the block copolymer of structure (I), described herein, wherein said oligo flexible tethered groups are only located on the B segment and the linking group L, if L is derived from a 1,1-diarylethene and are either randomly located along the B segment, on some of its repeat units, or present on each of its repeat units. [0073] In another embodiment of the block copolymer of structure (I), described herein, wherein said oligo flexible tethered groups are present on either A segment or B segment, or both, these oligo flexible tethered groups are present randomly along their respective segments on some of their repeat units. [0074] In another embodiment of the block copolymer of structure (I), described herein, wherein said oligo flexible tethered groups are present on either A segment or B segment, or both, these oligo flexible tethered groups are present on all of their respective repeat units. [0075] In another embodiment of the block copolymer of structure (I), described herein, said copolymer has structure (II), comprising a styrenic block consisting of the randomly copolymerized styrenic repeat units of structures (STa) and (STb) wherein R1 and R2 are individually selected from H or a C-1 to C-4 alkyl, and R5 and R6 are individually selected from H, a C-1 to C-4 alkyl and an oligo flexible tethered group which is selected from ^ an oligo linear alkylene tethered group, ^ an oligo ether tethered groups, and ^ an oligo dialkyl siloxane tethered groups, a linking moiety of structure (L1), wherein R7 and R8 are individually selected from H, a C-1 to C-4 alkyl and a is multi-tethered with oligo flexible tethered group which is selected from ^ an oligo linear alkylene tethered group, AZ74881PC ^ an oligo ether tethered groups, and ^ an oligo dialkyl siloxane tethered groups, a 2-methylenealkanoate derived block consisting of the randomly copolymerized 2-methylenealkanoate repeat units of structures (MEAa) and (MEAb), wherein R3 and R4 and individually selected from a C-1 to C-4 alkyl and R9 and R10 are individually selected from a C-1 to C-4 alkyl and is multi-tethered with oligo flexible tethered group which is selected from ^ an oligo linear alkylene tethered group, ^ an oligo ether tethered groups, and ^ an oligo dialkyl siloxane tethered groups, E’ is a C-1 to C-12 alkyl end group, E’’ is selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH2-CH(Aryl)(C(=O))-O-alkyl); x and x’ designate respectively the number of repeat units of structures (STa) and (STb) in said styrenic block having a Mw from about 20,000 to about 200,000, and in this non-polar block segment it is delineated by the parenthesis designated by n, y and y’ designate respectively the number of repeat units of structures (MEAa) and (MEAb) in said 2- methylenealkanoate derived block having a Mw from about 20,000 to about 200,000, and in this polar block segment it is delineated by the parenthesis designated by m, and further wherein at least one of structures (STa), (STb), (L1), (MEAa) and (MEAb), respectively, have R5, R6, R7, R8, R9 or R10 is selected from said oligo flexible tethered group. [0076] In another embodiment of the block copolymer of structure (II), described herein, R5 is an oligo flexible tethered group, R6 is H or a C-1 to C-4 alkyl, R7 and R8 are individually selected from H and a C-1 to C-4 alkyl, R 9 and R 10 are individually selected from a C-1 to C-4 alkyl. [0077] In another embodiment of the block copolymer of structure (II), described herein, R 5 and R 6 are multi-tethered with oligo flexible tethered groups, R 7 and R 8 are individually selected from H and a C-1 to C-4 alkyl, R 9 and R 10 are individually selected from a C-1 to C-4 alkyl. [0078] In another embodiment of the block copolymer of structure (II), described herein, R 5 and R 6 are individually selected from H and a C-1 to C-4 alkyl, at least one of R 8 and R 7 is an oligo flexible tethered AZ74881PC group and R 9 and R 10 are individually selected from a C-1 to C-4 alkyl. In another aspect of this embodiment both R 8 and R 7 are an oligo flexible tethered group. [0079] In another embodiment of the block copolymer of structure (II), described herein, R 5 and R 6 are individually selected from H and a C-1 to C-4 alkyl, R8 and R7 are individually selected from H and a C-1 to C-4 alkyl, and at least one of R9 and R10 is an oligo flexible tethered group. [0080] In another embodiment of the block copolymer of structure (II), described herein, both R9 and R10 are oligo flexible tethered groups. [0081] In another embodiment of the block copolymer of structure (II), described herein, R5 and R6 are individually selected from H and a C-1 to C-4 alkyl, at least one of R8 and R7 is a is an oligo flexible tethered group and R9 and R10 are individually selected from a C-1 to C-4 alkyl. In another aspect of this embodiment both R8 and R7 are an oligo flexible tethered group. In another aspect of this embodiment, at least one of R5 and R6, and at least one of R9 and R10 is a flexible tethered group. In another aspect of this embodiment both R5 and R6, and both R9 and R10 is a flexible tethered group. [0082] In another embodiment of the block copolymer of structure (II), described herein, R5 and R6 are individually selected from H and a C-1 to C-4 alkyl, at least one of R8 and R7 is a is an oligo flexible tethered group and R9 and R10 are individually selected from a C-1 to C-4 alkyl. In another aspect of this embodiment both R8 and R7 are oligo flexible tethered groups. [0083] In another embodiment of the block copolymer of structure (II), described herein, at least one of R5 and R6, at least one of R7 and R8 and at least one of R9 and R10 is a flexible tethered group. In another aspect of this embodiment R5, R6, R7, R8, R9 and R10 are oligo flexible tethered groups. [0084] In any one of the embodiment of the block copolymer of structure (II), described herein, where R5 and R6 are individually selected from H and a C-1 to C-4 alkyl, at least one of R8 and R7 is a is an oligo flexible tethered group and R9 and R10 are individually selected from a C-1 to C-4 alkyl. In another aspect of this embodiment both R 8 and R 7 are a oligo flexible tethered group, said block copolymer also has R 1 and R 2 are H and in addition R 3 and R 4 are a C-1 to C-3 alkyl. In another aspect of this embodiment R 3 and R 4 are a C-1 to C-2 alkyl. In another aspect of this embodiment R 3 and R 4 are methyl. [0085] Another aspect of this invention is a block copolymer having structure (III), is one wherein A1 is a styrenic block copolymer segment, which has a Tg from about 50°C to about 100°C A2 is block copolymer segment with a Tg ranging from about -5°C to about -50°C, which comprises repeat units derived from either an olefin selected from the group consisting of an alkene, an alkadiene, and an alkatriene, or a from mixture of at least two different olefins selected from this group; B 1 is a polar block copolymer segment, which has a T g of about 50°C to about 100°C, comprising either alkyl 2-methylenealkanoate derived repeating units, lactone derived repeat units, oxirane derived repeat units, oxetane derived repeating units, or cyclic carbonate derived repeat units; L 1 is either a direct valence bond or a linking moiety derived from a 1,1-diarylethene; wherein E3 is an alkyl, AZ74881PC E4 is a group selected from H, an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O- O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH 2 -CH(Aryl)(C(=O))-O-alkyl), and further wherein said block copolymer has a polydispersity ranging from 1 to about 1.09; E3-A -A -L -B 4 1 2 1 1 -E (III). [0086] In another embodiment of the block copolymer of structure (III), described herein, said A2 has repeat units derived from an alkene. [0087] In another embodiment of the block copolymer of structure (III), described herein, said A2 has repeat units derived from an alkadiene. In another aspect of this said alkadiene is a conjugated diene. [0088] In any one of the above embodiments of the block copolymer of structure (III), described herein, said A2 comprises a mixture of at least two different repeat units having structures (IIIa), (IIIb), (IIIc), and (IIId), derived from an alkadiene; wherein Rd, Rd1, Rd3, Rd4, Re, Re1, Re3, and Re4, are individually selected from the group consisting of a H, and a C-1 to C-8 alkyl, and further wherein the total mole% of olefinic repeat units in said block copolymer ranges from about 3 mole% to about 50 mole%. In another aspect of this embodiment, Rd, Rd1, Rd3, and Rd4 are the same and are selected from a H, or a C- 1 to C-8 alkyl, and Re, Re1, Re3, and Re4, are selected from a H, or a C-1 to C-8 alkyl, . [0089] In another embodiment of the block copolymer of structure (III), described herein, A2 comprises repeat units derived from either ethylene, propylene, butylene, pentylene, hexylene, heptylene, octylene, isoprene, 3-methylenepent-1-ene, 3-methylenehex-1-ene, 3,4-dimethylenehexane, 2-methyl-3- methylenepent-1-ene, 1,3-butadiene, ethylidene norbornene (2-ethylidene-5-norbornene), dicyclopentadiene, vinyl norbornene (2-vinylbicyclo[2.2.1]hept-2-ene), or chloroprene(2-chlorobuta- 1,3-diene), or a mixture of at least two of these. [0090] In another embodiment of the block copolymer of structure (III), described herein, wherein said repeat units in A 2 are derived from an alkadiene which is an unconjugated diene. [0091] In another embodiment of the block copolymer of structure (III), described herein, said repeat units in A 2 are derived from an alkatriene. AZ74881PC [0092] In another embodiment of the block copolymer of structure (III), described herein, A 2 has repeat units derived from a mixture of at least 2 different olefins selected from the group consisting of an alkene, an alkadiene, and an alkatriene. [0093] In any one of embodiments of the block copolymer of structure (III), described herein, wherein said A2 may further comprises styrenic repeat units. [0094] In any one of embodiments of the block copolymer of structure (III), described herein, said polar block copolymer segment B1 comprises repeat units derived from a lactone. [0095] In any one of embodiments of the block copolymer of structure (III), described herein, said polar block copolymer segment B1 comprises alkyl 2-methylenealkanoate derived repeating units. [0096] In any one of embodiments of the block copolymer of structure (III), described herein, said polar block copolymer segment B1 has a Mw between about 20,000 and about 200,000 and said non-polar styrenic block segment has a Mw between 20,000 and about 200,000. [0097] In one of the embodiments of the block copolymer of structure (III), described herein, wherein L1 is a direct valence bond. [0098] In another of the embodiments of the block copolymer of structure (III), described herein, L1 is a linking group derived from a 1,1-diarylethene. [0099] In another of the embodiments of the block copolymer of structure (III), described herein, E4 is either H or an alkyl. [0100] In another of the embodiments of the block copolymer of structure (III), described herein, E4 is a group derived from an alkylmethyl-2-arylacrylate. [0101] In another of the embodiments of the block copolymer of structure (III), described herein, E3 is an alkyl [0102] In one of the embodiments of the block copolymer of structure (III), it has the more specific structure (IIIe), comprising a styrenic block consisting of the randomly copolymerized styrenic repeat units of structures (STc) and (STc) wherein R 1a and R 2a are individually selected from H or a C-1 to C-4 alkyl, R 5a and R 6a are individually selected from H or a C-1 to C-4 alkyl, a linking moiety of structure (L1), wherein R7a and R8a are individually selected from H, and a C- 1 to C-4 alkyl, E’ is a C-1 to C-12 alkyl end group, E’’ is selected from H an alkyl, a carbonylalkyl (-C=O-alkyl), a carbonyloxyalkyl (-C=O-O-alkyl, and an alkyl 2-arylacrylate derived end group (-CH 2 -CH(Aryl)(C(=O))-O-alkyl); x and x’ designate respectively the number of repeat units of structures (STc) and (STd) in said styrenic block having a Mw from about 20,000 to about 200,000, in the non-polar block segment delineated by the parenthesis designated by n, y and y’ designate respectively the number of repeat units of structures (MEAc) and (MEAd) in said a 2-methylenealkanoate derived block having a Mw from about 20,000 to about 200,000, in the polar AZ74881PC block segment delineated by the parathesis designated by m, where R 3a , R 4a , R 9a and R 10a are individually selected from a C-1 to C-4 alkyl, A 2 is a block copolymer segment with a T g ranging from about -5°C to about -50°C, which comprises repeat units derived from either an olefin selected from the group consisting of an alkene, an alkadiene, and an alkatriene, or a from mixture of at two different olefins selected from this group; (IIIe). [0103] In one of the embodiments of the block copolymer of structure (IIIe), R1a, R2a, R5a R6a, are H. [0104] In one of the embodiments of the block copolymer of structure (IIIe), R7a, and R8a, are H. [0105] In another of the embodiments of the block copolymer of structure (IIIe), R3a, R4a,, R9a and R10a are CH3. [0106] In any one of the embodiment of the block copolymer of structure (IIIe), said A2 comprises a mixture of at least two different repeat units having structures (IIIa), (IIIb), (IIIc), and (IIId); wherein Rd, Rd1, Rd3, Rd4, Re, Re1, Re3, and Re4, are individually selected from the group consisting of a H, and a C-1 to C-8 alkyl, and further wherein the total mole% of olefinic repeat units in said block copolymer ranges from about 3 mole% to about 50 mole%. [0107] In one embodiment of the block copolymer of structure (IIIe), Rd, Rd1, Rd3, and Rd4 are the same and are selected from a H, or a C-1 to C-8 alkyl, and. Re, Re1, Re3, and Re4, are selected from a H, or a C- 1 to C-8 alkyl, (IIIc) (IIId) AZ74881PC [0108] In one of the embodiment of the block copolymer of structure (IIIe) described herein, said A 2 is a block copolymer segment whose repeat units are derived from isoprene or butadiene. [0109] In one of the embodiment of the block copolymer of structure (IIIe) described herein, said non- polar block copolymer segment A1 has a Mw between about 20,000 and about 200,000. [0110] In one of the embodiment of the block copolymer of structure (IIIe) described herein, E’ is a C- 3 to C-7 alkyl. [0111] In one of the embodiment of the block copolymer of structure (IIIe) described herein, E’’ is H. [0112] Another aspect of this invention is a composition comprising any of the block copolymers of structure (I) (or its substructure), described herein, and a spin casting organic solvent. [0113] In one aspect of the composition comprising a block copolymer of structures (I), it further comprises another block copolymer. [0114] In one aspect of the composition comprising a block copolymer of structures (I), it further comprises a homopolymer. [0115] Another aspect of this invention is a composition comprising the block copolymer of structure (III), (or its substructure), and a solvent. [0116] Another aspect of this invention is a composition comprising the block copolymer of structure (III), (or its substructure) further comprising another block copolymer. [0117] Another aspect of this invention is a composition comprising the block copolymer of structure (III), (or its substructure) further comprising a homopolymer. [0118] In the inventive composition described herein, comprising a copolymer of structure (I) or of structure (III), (or their more specific substructures as described herein), the spin casting solvent, in one embodiment, is selected from an organic spin casting which is a suitable solvent for dissolving the above- described inventive compositions include. a glycol ether derivative such as ethyl cellosolve, methyl cellosolve, propylene glycol monomethyl ether (PGME), diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, dipropylene glycol dimethyl ether, propylene glycol n-propyl ether, or diethylene glycol dimethyl ether; a glycol ether ester derivative such as ethyl cellosolve acetate, methyl cellosolve acetate, or propylene glycol monomethyl ether acetate (PGMEA); carboxylates such as ethyl acetate, n-butyl acetate and amyl acetate; carboxylates of di-basic acids such as diethyloxylate and diethylmalonate; dicarboxylates of glycols such as ethylene glycol diacetate and propylene glycol diacetate; and hydroxy carboxylates such as methyl lactate, ethyl lactate (EL), ethyl glycolate, and ethyl- 3-hydroxy propionate; a ketone ester such as methyl pyruvate or ethyl pyruvate; an alkoxycarboxylic acid ester such as methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, ethyl 2-hydroxy-2- methylpropionate, or methylethoxypropionate; a ketone derivative such as methyl ethyl ketone, acetyl acetone, cyclopentanone, cyclohexanone or 2-heptanone; a ketone ether derivative such as diacetone alcohol methyl ether; a ketone alcohol derivative such as acetol or diacetone alcohol; a ketal or acetal like 1,3 dioxalane and diethoxypropane; lactones such as butyrolactone; an amide derivative such as dimethylacetamide or dimethylformamide, anisole, and mixtures thereof. AZ74881PC [0119] Additionally, the above described inventive compositions may further comprise additives selected from the group consisting of: surfactants, inorganic-containing polymers; additives including small molecules, inorganic-containing molecules, surfactants, photoacid generators, thermal acid generators, quenchers, hardeners, cross-linkers, chain extenders, and the like; and combinations comprising at least one of the foregoing, wherein one or more of the additional components and/or additives co-assemble with the block copolymer to form the block copolymer assembly. [0120] Another aspect of this invention is a method of vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of L0 comprising the steps of: a) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (I), described herein, on said unpatterned substrate; and b) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpatterned substrate. [0121] Another aspect of this invention is a method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times L0 and aligning the domains with the pattern, using a coating comprising a block copolymer having a periodicity of L0 comprising the steps of: a1) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (I), described herein, on said first topographical substrate, wherein the thickness of the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and b1) annealing the block copolymer layer to generate first and second block copolymer domains, vertically oriented on said first patterned substrate, and confined within the recessed region. [0122] Another aspect of this invention method of vertically orienting, first and second block copolymer domains with a periodicity of L 0 over a second patterned substrate having a topographical pattern with the height of topography larger than 0.7 times L 0 and a pitch P1 where the pitch P1 is a non-zero positive integer multiplied by L0, and aligning the domains with the pattern comprising the steps of: a2) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (I), described herein, on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and b2) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch P1 the of topographical pattern. AZ74881PC [0123] Another aspect of this invention method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P2, where the pitch P2 is a non-zero positive integer multiplied by L 0 and aligning the domains comprising the steps of: a3) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (I), described herein, on the substrate having a surface chemical prepattern; and b3) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattern having a pitch P2. [0124] Another aspect of this invention is a method of vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of L0 comprising the steps of: a4) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (III), described herein, on said unpatterned substrate; and b4) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpatterned substrate. [0125] Another aspect of this invention is a method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times L0 and aligning the domains with the pattern, using a coating comprising a block copolymer having a periodicity of L0 comprising the steps of: a5) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (III), described herein, on said first topographical substrate, wherein the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and b5) annealing the block copolymer layer to generate first and second block copolymer domains, vertically oriented on said first patterned substrate, and confined within the recessed region. [0126] Another aspect of this invention method of vertically orienting, first and second block copolymer domains with a periodicity of L0 over a second patterned substrate having a topographical pattern with the height of topography larger than 0.7 times L0 and a pitch P1 where the pitch P1 is a non-zero positive integer multiplied by L 0 , and aligning the domains with the pattern comprising the steps of: a6) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (III), described herein, on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and b6) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning AZ74881PC them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch P1 the of topographical pattern. [0127] Another aspect of this invention method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P2, where the pitch P2 is a non-zero positive integer multiplied by L0 and aligning the domains comprising the steps of: a7) forming a coating layer of a block copolymer from any of the compositions comprising a block copolymer of structure (III), described herein, on the substrate having a surface chemical prepattern; and b7) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattern having a pitch P2. EXAMPLES Chemicals [0128] All chemicals unless otherwise indicated were purchased from Sigma Aldrich (3050 Spruce St., St. Louis, MO 63103). Chemicals used in anionic polymerization were purified as described in the literature (e.g., Techniques in High-Vacuum Anionic Polymerization” by David Uhrig and Jimmy Mays and Journal of Polymer Science: Part A: Polymer Chemistry, Vol.43, 6179–6222 (2005)) [0129] Phenyl acrylate derivatives were synthesized by esterification of acryloyl chloride with corresponding hydroxyl compound under basic condition and DPE derivatives were synthesized by alkoxylation of DPE-(m)-CH2Br (1-(bromomethyl)-3-(1-phenylvinyl)benzene) with corresponding hydroxyl compound under basic condition. [0130] All synthetic experiments were carried out under N2 atmosphere. Lithographic experiments were carried out as described in the text. The molecular weight of the copolymers was measured with a Gel Permeation Chromatograph. Gel permeation chromatography equipped with 100Å, 500 Å, 103 Å, 105 Å and 106 Å ^-ultrastyragel columns [0131] Lithographic Experiments were done using a TEL Clean ACT8 track. SEM pictures were taken with an applied Materials NanoSEM_3D Scanning electron microscope picture are shown at either 1 FOV magnification or 2 FOV magnification (Field of view (FOV) = 5 ^m). [0132] Etching experiments were done using standard isotropic oxygen etching conditions for self- assembled films block copolymer of methyl methacrylate and styrene. [0133] Unless otherwise indicated Molecular weight measurements (a.k.a. Mn polydispersity) were done by Gel permeation chromatography (PSS Inc. Germaby) equipped with 100Å, 500 Å, 103 Å, 105 Å and 106 Å ^-ultrastyragel columns using THF solvent as an eluent. Polystyrene polymer standards were used for calibration. [0134] DSC measurement of glass transition temperature were done using a TA instrument DSC Q1000 under nitrogen with a heating rate of 10 ^C/min. The glass transition temperature (Tg) was measured in first heating scan from 0 to 300°C. The midpoint of endothermic transition was considered AZ74881PC [0135] 1H NMR spectra were recorded using Bruker Advanced III 400 MHz spectrometer. [0136] The molecular weight of the copolymers was measured with a Gel Permeation Chromatograph. Chemicals, unless otherwise indicated, were obtained from the Sigma-Aldrich Corporation. [0137] These newly developed modified diblock’s were tested for finger-print morphology over neutral underlayer brushed substrate on SiOx. In order to evaluate the new novel diblock copolymers, we also developed thick film finger-print network defects analysis method wherein we coat these block copolymer at 2 and 3 x Lo and partially dry etch (with oxygen plasma) to identify and count network defects in the bulk of the film. These results are compared with regular diblock, the modified multi- tethered diblock copolymers showed very less defects and faster kinetics. The synthesis of these polymers was accomplished via living anionic copolymerization, the described diblock copolymer exhibits a narrow molecular weight distribution (Mw/Mn < 1.1) and works with normal underlayers that are suitable for PS-b-PMMA DSA. Underlayer Neutral Copolymer 1: Copolymer of styrene, methyl methacrylate and 4-vinylbenzycylobutene synthesized with AIBN [0138] A 2000-ml flask equipped with a condenser, temperature controller, heating mantle and mechanical stirrer were set up. 87.0 grams (0.84 moles) of styrene(S), 139.8 grams (1.40 moles) of methyl methacrylate (MMA), 72.4 grams (0.56 moles) of 4-Vinylbenzocyclobutene (VBCB) and 1.83 grams (0.011 moles) of Azobisisobutyronitrile (AIBN) initiator and 600 grams of anisole were added to the flask. The mechanical stirrer was turned on and set up at about 120 rpm. The reaction solution was then degassed by vigorously bubbling nitrogen through the solution for about 30 minutes at room temperature. After 30 minutes of degassing the heating mantle was turned on and the temperature controller was set at 70 °C, and the stirred reaction mixture was maintained at this temperature for 20 hours. After this time the heating mantle was turned off and the reaction solution was allowed to cool down to about 40°C. Then the reaction mixture was poured into 12 L of isopropanol stirred with a mechanical stirring during the addition. During this addition, the polymer was precipitated out. The precipitated polymer was collected by filtration. The collected polymer was dried in vacuum oven at 40°C. About 170 grams of the polymer was obtained. This dried polymer was dissolved in 600 grams of THF and then filtered through a 0.2 um nylon filter. The filtered solution was then precipitated again into a stirred solution of 12 L methanol, the precipitated polymer collected and dried as before under vacuum at 40°C. In this manner, 150 grams (50% yields) of the polymer was obtained after dry. The polymer had an M w of about 38k and a polydispersity (PDI) of 1.5. Reference Block Copolymer Synthesis Example 1 Synthesis of PS-b-PMMA) [0139] PS-b-PMMA was synthesized using the same procedure as described in example 2. To achieve target M n and compositions of PS and PMMA block, the amount of initiator and monomer quantities were changed. Briefly, 20 g (192 mmol) of styrene was polymerized with 0.31 mL (1.4M solution) of sec-butyllithium. Then 0.09g (0.50 mmol) of 1,1’-diphenylethylene (DPE) in 2.5 ml of dry toluene was added via ampule into the reactor. The orange color of the reaction mixture turned into dark brick-red AZ74881PC indicating conversion of styryl lithium active centers to delocalized DPE adduct carbanion. After 2 min of stirring, a small amount (2 mL) of the reaction mixture was withdrawn for PS block molecular weight analysis. Then methyl methacrylate (22.85 g, 230 mmol) was added via ampule. The reaction was terminated after 30 min with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10 % water, filtered, and dried at 55°C for 12 h under vacuum giving 40 g of P(S-b-MMA) (94 % yield) consisting of 46.9 mol. % of polystyrene block and 53.1 mol. % of polymethylmethacrylate block. The diblock copolymer molecular weight obtained from GPC is Mn = 92K, PDI=1.02). Reference Block Copolymer Synthesis Example 1a Synthesis of CH (PS-b-PMMA) [0140] The same procedure was used as Example 1, except that 69 mol% of polystyrene block and 31 mol% of polymethylmethacrylate block. The diblock molecular weight obtained from GPC is Mn = 66.92K, PDI=1.01). Synthesis of Novel Modified AB diblock copolymers [0141] The diblock copolymers described were unique and in not requiring matching underlayers for perpendicular orientation. These are novel kinetically enhanced diblock copolymers compared to regular diblock copolymers. Synthesis Example 2 TAB-1 (Line and space) This system demonstrates the synthesis of P(S-co-C8S)-b-P(MMA-co-C6MA) with low Tg octyl styrene copolymerized in PS block and hexyl methacrylate copolymerized in PMMA block (TAB): [0142] Styrene, octyl styrene, methyl methacrylate and hexyl methacrylate monomers were distilled in the presence of dehydrating agents into calibrated ampules and stored under N2. Liquids were transferred into the reactor either via ampule or using stainless steel cannula under N2. Into a dry 1 L round bottom reactor equipped with side arms for connecting ampules, magnetic stir bar, nitrogen/vacuum three-way septum adapter, was added 500 mL dry tetrahydrofuran and 0.35g of LiCl. The temperature of the reactor was reduced to -78°C using dry ice-acetone bath. Then, after titrating the impurities, 3.6 mL (0.103 M solution) of s-BuLi as the initiator was added into the reactor. Then a mixer of 3.3 g (0.0152 moles) of n-octyl styrene and 14.23 g (0.137 moles) of styrene was added from the ampule into the reactor under fast stirring. The reaction mixture turned orange-red and the reaction was continued for 30 minutes. Subsequently, 0.08 g (0.00044 moles) of 1,1’-diphenylethylene was added via ampule into the reactor. The orange color of the reaction mixture turned into dark brick-red indicating conversion of styryl potassium active centers to styrene-DPE carbanion. A small amount (2 mL) of the reaction mixture was withdrawn for P(S-co-C8S)-DPE block molecular weight analysis. Then the mixture of methyl methacrylate (14.8 g, 0.148 moles) and hexyl methacrylate (2.80 g, 0.0165 moles) was added via ampule. The reaction was continued for 50 minutes to complete polymerization of MMA and C6MA. The reaction mixture was then terminated with 1 mL of degassed methanol. The block copolymer was recovered by precipitation in excess isopropanol (5 times of the polymer solution) containing 10 % water, AZ74881PC filtered, and dried at 70°C for 12 h under vacuum giving 30 g of P(S-co-C8S)-b-P(MMA-co-C6MA) (95 % yield). Gel permeation chromatography equipped with 100Å, 500 Å, 103 Å, 105 Å and 106 Å ^- ultrastyragel columns showed that the 1st P(S-co-C8S)-DPE block had M n (GPC) = 46,000 g/mol and M w /M n = 1.03 with respect to PS calibration standards. The diblock copolymer molecular weight obtained from GPC is M n,P(S-co-C8S)-b-PS-b-P(MMA-co-C6MA) = 83,000 g/mol and M w /M n = 1.07. [0143] Table 1 compares Tg properties of the standard PS-b-PMMA (Example 1) to that of multi- tethered Synthesis Example 2 TAB-1 [0144] Other novel multi-tethered were synthesizes in a Similar Fashion and have their properties summarized in Table 2. [0145] Synthesis examples TAB 1, TAB2, TAB 3, TAB 4 were made in the same manner as TAB but by varying MW to obtain different L 0 and so that these samples are suitable for use line and space (L/S) applications. [0146] TAB 5 Example 6 Contact hole BCP was synthesized using the same procedure described in example TAB (Example 2) but by modifying the following variable in order to change the properties of PS mol% and MW in order to obtain a multi-tethered block copolymer which is suitable for use in for CH hole self-assembly. Underlayer Polymer Example 1: Synthesis of random x-linkable copolymers: Copolymer of styrene, methyl methacrylate and 4-vinylbenzycylobutene synthesized with AIBN [0147] A 2000-ml flask equipped with a condenser, temperature controller, heating mantle and mechanical stirrer were set up. 87.0 grams (0.84 moles) of styrene(S), 139.8 grams (1.40 moles) of methyl methacrylate (MMA), 72.4 grams (0.56 moles) of 4-Vinylbenzocyclobutene (VBCB) and 1.83 grams (0.011 moles) of Azobisisobutyronitrile (AIBN) initiator and 600 grams of anisole were added to the flask. The mechanical stirrer was turned on and set up at about 120 rpm. The reaction solution was then degassed by vigorously bubbling nitrogen through the solution for about 30 minutes at room temperature. After 30 minutes of degassing the heating mantle was turned on and the temperature controller was set at 70 °C, and the stirred reaction mixture was maintained at this temperature for 20 hours. After this time the heating mantle was turned off and the reaction solution was allowed to cool down to about 40°C. Then the reaction mixture was poured into 12 L of isopropanol stirred with a mechanical stirring during the addition. During this addition, the polymer was precipitated out. The precipitated polymer was collected by filtration. The collected polymer was dried in vacuum oven at 40°C. About 170 grams of the polymer was obtained. This dried polymer was dissolved in 600 grams of THF and then filtered through a 0.2 um nylon filter. The filtered solution was then precipitated again into a stirred solution of 12 L methanol, the precipitated polymer collected and dried as before under vacuum at 40°C. In this manner, 150 grams (50% yields) of the polymer was obtained after dry. The polymer had an M w of about 38k and a polydispersity (PDI) of 1.5. Self-assembly of block copolymer (BCP) based on Example 1 and 2 (Table 1) AZ74881PC [0148] The polymer of Underlayer Polymer Example 1 was dissolved in PGMEA to form 0.33 wt% solution. This solution was filtered using a 0.02 ^m PTFE filter and then coated at 1500 rpm on SiOx wafers, and the wafers were subsequently each baked at 250°C for 2 min in air to produce SiOx wafers coated with the crosslinked neutral layer (FT 8 nm). The wafers were then coated with a 0.02 ^m PTFE filtered 1.4 wt% solution in PGMEA of either the reference polymer Examples 1 (PS-b-PMMA) and TAB the polymer of multi-tethered diblock copolymer (Example 2) which were both spin coating at 1,500 rpm and subsequently individually soft baked at 110°C for 1 min then annealed at 250°C under N2 for 1 hour. After this annealing bake filims of either materials a self-assembled pattern in which an array of nanophase segregated lamellar perpendicular to the substrate were formed which contain the etchable block derived from methyl methacrylate which is a microphase segregated array suitable to use for etching pattern transfer into the substrate of a line and space array. However, the film coated with multi-tethered diblock copolymer (Example 2) was also showed a perpendicular lamellar morphology showed with significantly less numbers of network defects compared to regular diblock (Example 1) diblock copolymer of styrene and methyl methacrylate (PS-b-PMMA) (M n =92K, PDI=1.02) (Example 1). [0149] These novel diblock copolymers shows significant T g reduction on both segment T g and overall T g (Table 2) Less network observed with higher grain sizes as shown in (FIG.3) which shows a 1FOV SEM images, obtained with the following processing: the solution of Underlayer Neutral Copolymer 1 is coated and baked at: 250°C/1 hour (N 2 ); AZ® EBR 70/302 min, spin dry, 110°C/1 min, FT=45nm. Multi-tethered diblock shows improved grain sizes. Processing Example 2: [0150] The processing Example condition described in Processing Example 1 were mostly employed to prepare a film of Example 6 (TAB 5), which was multi-tethered block copolymer which was designed for contact hole (CH) self-assembly. The processing conditions were only changed slightly as follows: the block copolymer were baked at 260°C for 15 minutes (N 2 ), then rinsed with AZ® EBR 70/30, spun dry for 2 min, followed by a bake for 110°C for 1min. In this instance a film thickness (FT) of 47 nm was obtained. FIG. 4 shows that this annealed film formed. Multi-tethered diblock shows improved contact hole assembly. In general, good C/H assembly are achieved with PS-b-PMMA block copolymer when low Tg additive were added. The current inventive multi-tethered diblock copolymers showed good C/H assembly without any additive indicates the significant kinetic enhancement. In contrast, as shown in FIG. 5 when a film of the regular diblock (Example 1), (a.k.a. diblock copolymer of styrene and methyl methacrylate (PS-b-PMMA) (Mn =66.92K, PDI=1.01) (Example 1a)), was prepared and processed in the same manner as the film of Example 6, described above, the resultant 34.2 nm contact holes, showed large areas of defects caused by mixed morphology. AZ74881PC [0151] One further advantage of the novel disclosed modified AB diblock copolymer (Example 2) is that one does not need to change the underlayers and pinning chemistry used currently in the DSA processing for unmodified PS-b-PMMA DSA. [0152] Table 1. Td and T g of regular PS-b-PMMA and modified P(S-co-C8S)-b-P(MMA-co-C6MMA) Td T 1 T 2 Sa A T Table 2. Diblock copolymer synthesis and characterization data s E E E E E E E