Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD AND SYSTEM FOR LITHOGRAPHY SIMULATION AND MEASUREMENT OF CRITICAL DIMENSIONS
Document Type and Number:
WIPO Patent Application WO/2008/064151
Kind Code:
A2
Abstract:
A method and system for lithography simulation is disclosed. The method and system specify a subject region of a lithography image with a CD marker, specify a threshold intensity over the lithography image, specify a gradient to a threshold value of the threshold intensity, and calculate a sensitivity or ratio of change of an image boundary of the lithography image to lithography process variation.

Inventors:
HARA DAISUKE (JP)
MITSUHASHI TAKASHI (JP)
WU ZHIGANG (JP)
Application Number:
PCT/US2007/085090
Publication Date:
May 29, 2008
Filing Date:
November 19, 2007
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
D2S INC (US)
HARA DAISUKE (JP)
MITSUHASHI TAKASHI (JP)
WU ZHIGANG (JP)
International Classes:
G06G7/48
Foreign References:
US7013439B2
US20040228515A1
US5952135A
US6701474B2
US7018746B2
US6175417B1
Other References:
PETERS L.: 'DFM: Worlds Collide Then Cooperate' SEMICONDUCTOR INTERNATIONAL, [Online] June 2005, Retrieved from the Internet:
Attorney, Agent or Firm:
MEI, Peter, C. (Three Embarcadero CenterSan Francisco, California, US)
Download PDF:
Claims:

CLAIMS What is claimed is:

1. A method for lithography simulation comprising: specifying a subject region of a lithography image with a CD marker; specifying a threshold intensity over the lithography image; and calculating intensity of the lithography within the subject region defined by the CD marker.

2. The method of claim 1, wherein the CD marker includes at least geometrical information for defining the subject region.

3. The method of claim 1, wherein the CD marker includes category information that determines an evaluation method used for CD measurement.

4. The method of claim 1, wherein the subject region of the CD marker is defined by at least one line segment.

5. The method of claim 1, wherein a threshold value of the intensity for generating a image is specified and a sensitivity or ratio of change of an image boundary of the lithography image to lithography process variation is calculated.

6. A method for CD measurement calculation comprising: calculating lithography intensity on a region or line segment determined by a CD marker; determining a point when lithography intensity equals a threshold; and calculating a value of CD measurement based on information related to the determined point.

7. A lithography process sensitivity calculation system comprising: means for specifying an objective region with a CD marker; means for specifying a threshold intensity over a developed lithography image; and

means for calculating a sensitivity or ratio of change of an image boundary to lithography parameter variation.

8. The system of claim 7, wherein the system evaluates the influence of lithography process variation to the lithography image obtained by lithography.

9. A lithography process sensitivity calculation method for evaluating lithography process variation to an image obtained by lithography, the method comprising: determining a first point when lithography intensity equals a threshold; determining a second point when lithography intensity equals the threshold plus a specified gradient value of the lithography intensity; and calculating a distance between the first and second points.

10. A method for lithography simulation comprising: calculating a difference between a designed pattern and an obtained image from lithography technology at a specified point; and associating template information of the specified point with a library cell and a block of the designed pattern.

11. The method of claim 10, wherein an application of the template to the library cell or block is controlled by a template name.

12. A method for displaying a CD measurement result on display equipment, the method comprising: displaying a symbol of a layout that includes one or more points for the CD measurement; and displaying CD measurement at least one result value that is associated with the symbol of the layout.

13. The method of claim 12, wherein the symbol and the at least one result value are simultaneously displayed on the display equipment.

14. The method of claim 12, wherein the CD measurement results are indicated with a value associated with at least one of color, chroma and brightness of color.

15. The method of claim 12, wherein the CD measurement results are indicated with a value associated with at least one contour line.

16. The method of claim 12, further comprising: specifying a region for partial statistical calculation; specifying statistical quantity calculation for the region; and specifying or showing a result of the calculation.

17. A method for measuring dimension of an image generated by lithography technology that uses a set of files having CD marker information, the method comprising: specifying a measurement point by geometry; specifying information associated with results of lithography simulation using the CD marker information; and specifying information derived from manufacturing data.

18. A computer readable medium storing a computer program comprising instructions which, when executed by a processing system, cause the system to perform a method for lithography simulation comprising: specifying a subject region of a lithography image with a CD marker; specifying a threshold intensity over the lithography image; and calculating intensity of the lithography within the subject region defined by the CD marker.

19. A computer readable medium storing a computer program comprising instructions which, when executed by a processing system, cause the system to perform a method for CD measurement calculation comprising: calculating lithography intensity on a region or line segment determined by a CD marker; determining a point when lithography intensity equals a threshold; and

calculating a value of CD measurement based on information related to the determined point.

20. A computer readable medium storing a computer program comprising instructions which, when executed by a processing system, cause the system to perform a lithography process sensitivity calculation method for evaluating lithography process variation to an image obtained by lithography comprising: determining a first point when lithography intensity equals a threshold; determining a second point when lithography intensity equals the threshold plus a specified gradient value of the lithography intensity; and calculating a distance between the first and second points.

21. A method of manufacturing a circuit comprising the steps of: providing a wafer; generating manufacturing data; generating a virtual pattern; using said manufacturing data to fabricate a circuit on said wafer; using said virtual pattern to set inspection points for measuring critical dimensions; providing critical dimension inspection equipment; inspecting said circuit at said inspection points using said critical dimension inspection equipment.

Description:

METHOD AND SYSTEM FOR LITHOGRAPHY SIMULATION AND MEASUREMENT OF CRITICAL DIMENSIONS

BACKGROUND

Field

[0001] The field of the present invention relates to lithograph technology for fine image fabrication, and in particular to a lithography simulation system and measurement of critical dimensions.

Description of Related Art

[0002] Fine image fabrication by lithography uses technologies like particle beam writers for writing fine images on a plate coated by a particle beam sensitive resist and an optical projection lithography method that uses a mask having transparent and opaque parts on the surface of the mask for generating a fine image on a plate coated by a photo sensitive resist. An example of particle beam writers is an electron beam writer that is used for writing fine images on both silicon wafers and masks for optical projection lithography. A technology that uses electron beam writers for making fine images for semiconductor integrated circuits directly on the semiconductor wafer is called Electron Beam Direct Writing (EBDW) technology. EBDW technology is suitable for integrated circuit fabrication that requires a quick turn around time.

[0003] A fundamental problem with conventional lithograph technologies is image quality degradation and resolution limits caused by chemical and physical effects in the process of the technologies. An example of such phenomena is proximity effect, which occurs in both electron beam writing and optical projection lithography and causes differences between intended patterns and images obtained by the lithography technologies. This degradation of the image quality becomes serious when the image is finer. An accurate measurement of the image quality degradation or measuring differences between intended patterns and images distorted by the proximity effects are important for accurate correction of the effects.

[0004] Measurement of critical dimension (CD) of the image at a predefined point is important and called CD measurements. With reference to semiconductor integrated circuits, as an example, CD measurement is conventionally done by Critical Dimension

Scanning Electron Microscope (CD-SEM) after fabricating the image by the lithography. The CD measurement results are required before fabrication of the image. [0005] To resolve the above mentioned issues related to conventional CD measurement, simulators for analyzing proximity effect have been developed. Using these type of simulators, the degree of image quality degradation or differences between intended patterns and images obtained by the lithography technologies becomes predictable without fabrication. This simulation method still has a problem, wherein these simulators consume huge amounts of computing time for obtaining the lithography image for large area similar to a whole LSI chip. Specifying the CD measurement points is also tedious and time consuming work when the objective area becomes large. Even if CD measurement results are obtained, the amount of data is huge, and it is difficult to understand the result intuitively.

[0006] Another issue related to the lithography technology for fine image fabrication is that the obtained image becomes sensitive to the lithography process parameters with progress of image miniaturization. The sensitiveness is referred to as lithography process sensitivity hereafter. The lithography process sensitivity depends on a position of the image and environment of the image. Although knowing lithography process sensitivity at specified points of the image contributes to lithograph technology, no quick method is reported to calculate the lithography process sensitivity.

[0007] The conventional CD measurement equipment usage method, such as CD-SEM, as an example, is not efficient . The measurement points are conventionally specified by human engineers, wherein the selected points might not be optimal in the sense of efficient use of measurement equipment. Selecting many measurement points is also difficult for engineers.

[0008] hi light of the foregoing discussion, a method and system that improves the speed of lithograph simulation and simultaneously improves the efficiency and accuracy of the CD measurement of the image by lithograph technologies is needed. The system should be capable of displaying huge amounts of data obtained by the CD measurement effectively and intuitively. In one aspect, sharing of CD measurement related data between the simulation and the equipment is required for the system to improve efficiency and accuracy of the CD measurement. Although the conventional CD measurement methods focus on visible dimensions of the image, finding potential risk

points of the image is essential with reference to the high lithography process sensitivity region. The CD measurement method that uses the lithography simulation should support such requirements.

SUMMARY

[0009] In the semiconductor manufacturing industry, lithography technology enables printing of accurate and fine patterns on wafers or masks. Electron Beam Direct Writing (EBDW) method allows writing patterns on a wafer by electron beam, and optical lithography (OL) methods enable printing images by predefined masks. Differences between intended patterns and an obtained printed image are observed in both EBDW and OL cases, which are caused by chemical or physical processes like proximity effect. [0010] Computer simulation is an efficient means to investigate differences between intended patterns and obtained images. CD (Critical Dimension) measurements that measure critical dimensions of the image based on the computer simulation results are important and helpful. CD measurement results in conjunction with quality control of semiconductor manufacturing process can contribute yield improvement and efficient quality control.

[0011] To achieve the above mentioned objectives, the concepts listed below contribute to improvement of quality and accuracy of lithography technology: (1) a method and equipment for fast lithography simulation and CD measurement, (2) an effective method for specifying measurement points that are critical and to be checked with attention, (3) a display method and equipment that is intuitively understandable, (4) a data processing system and method that transfer information based on CD measurement results by simulation for controlling, guiding inspection point finding, and helping inspection equipments, and (5) a method and system for calculating sensitivity of process variation to lithography image.

[0012] A calculation method enables calculation of simulation based CD measurement results with small computing time by using CD markers for reducing computational efforts is presented.

[0013] A CD marker setting method uses design hierarchies for reducing tedious manual CD marker setting operations. The design hierarchy includes library cells and blocks that are repeatedly used in the design.

[0014] A lithography process sensitivity concept enables indication of potentially critical parts of lithography images and a fast calculation method of the lithography process sensitivity. The method uses CD marker for limiting calculation area for reducing computational time.

[0015] A CD measurement result display method and equipment for helping intuitive understanding of distribution of CD measurement results is disclosed. The resultant values are associated with geometrical display of the lithography images. [0016] An intuitive and efficient method specifies a region for calculating partial statistical quantities. The method allows specifying the region from a display device showing images related to the layout.

[0017] A method improves efficiency and accuracy of dimensional inspections and measurement of products like wafers and masks. The method forwards information generated from CD measurement simulation results and CD Markers to the equipment. [0018] These and other objects and advantages of the present teachings will become more fully apparent from the following description taken in conjunction with the accompanying drawings.

BRIEF DESCRIPTION OF DRAWINGS

[0019] FIG. 1 shows conventional lithography simulation and procedure of CD measurement by the simulation.

[0020] FIG. 2A shows one embodiment of CD Measurement and IC Layout.

[0021] FIG. 2B shows a part of the IC Layout of FIG. 2A.

[0022] FIG. 3 shows CD measurement categories Pl ~ P5.

[0023] FIG. 4 shows CD Marker and Evaluation points.

[0024] FIGS. 5A-5B show embodiments of a CD Measurement method.

[0025] FIG. 6 shows one embodiment of a flowchart for CD Measurement.

[0026] FIGS. 7A-7B shows sensitivity of an image to lithography variation.

[0027] FIG. 8 shows a flow chart for high sensitivity point detection.

[0028] FIG. 9A shows CD Markers embedded in a cell library.

[0029] FIG. 9B depicts relations between CD marker template in library data.

[0030] FIG. 10 shows a CD Marker selection by cell type.

[0031] FIG. 11 shows an example of CD Measurement result display.

[0032] FIG. 12 shows a conventional interface to measurement equipment. [0033] FIG. 13 shows one embodiment of an interface to measurement equipment. [0034] FIG. 14 shows one implementation example of transferring CD measurement information to inspection equipment. [0035] FIG. 15 shows an example of virtual pattern generation.

DETAILED DESCRIPTION

[0036] Various embodiments of the present invention are described hereinafter with reference to the drawings. It should be noted that the drawings are not drawn to scale and that elements of similar structures or functions are represented by like reference numerals throughout the drawings.

[0037] FIG. 1 shows conventional lithography simulation and procedure of CD measurement by the simulation. A target region 100 is an area where lithography simulation is performed. A grid 102 for simulation is a set of points that covers the target region 100 and intensity of the lithography is calculated at the points. An image 104 of the lithography obtained by the simulation, and contour 106 of the intensity. [0038] Lithography is an indispensable technology for modern fine manufacturing, hi the semiconductor industry, for example, it is relatively difficult to build a semiconductor manufacture process without lithography technologies. Other examples include fabrication of MEMS (Micro Electro-Mechanical System) and other micro-fabrications. [0039] Although lithography is a strong technology for micro fabrication, it is not perfect. One of the issues is the proximity effect that degrades images obtained by the technology. The proximity effect is caused by influences of images placed near by, and changes the shape of the images. The proximity effect is caused by chemical and physical lithography process and occurs in both optical lithography and a lithography that uses a charged particle beam like an electron beam. More precisely speaking, the effect is caused by scattering of particles like electrons and photons that penetrate into resist and collide with molecules in resist and underlying materials.

[0040] To know the proximity effect by simulation is important for understanding how the degrading of the image occurs and for knowing the most effective way of the proximity effect correction. Hereafter, this simulation is called lithography simulation.

[0041] Conventionally several proximity or lithography simulators have been developed. However, it is not reported that those simulators can provide good quality images for sufficient area in a semiconductor application, such as, for example, a full chip region. Several studies on lithography simulation have been reported for accomplishing high speed calculation. These examples of devices include an acceleration of convolution calculation that is required in lithography simulation by FFT (Fast Fourier Transform), reduction of computational amount by using irregular evaluation point mesh that is dense for steep intensity changing region and is coarse for gradually intensity changing region, and so on. However, those devices are not satisfactory for users who need lithography image for a large domain.

[0042] In one aspect, the purpose of obtaining the image by a lithography simulator is considered. FIG. 1 shows an example of practical use of conventional lithography simulation results. Intensity of the lithography that is a quantity required to know, deposit energy for an example, is calculated for each point of a grid that covers a target region 100. The calculated results of the intensity can be seen as shown by "Image by Simulation" 104 in FIG. 1. Moreover, it is required to know how the latent image is developed and seen on the resist. For such cases, a contour tracking program can be used to draw contours 106 that show development threshold of the resist. Measuring quantitative difference between image by lithography and the intended pattern at critical point of the pattern is important for understanding accuracy of the lithography. This is called CD (Critical Dimension) Measurement 108 and is commonly used for quality control of the wafer and mask fabrication. From quality control point of view, CD measurement 108 is more important than viewing images by the lithography. [0043] Detail of the CD measurement 108 will be described with reference to semiconductor integrated circuits, as an example. FIG. 2A shows one embodiment of CD measurement 120 with an example of a logic cell layout 122, such as an integrated circuit (IC) layout, and FIG. 2B shows a part 124 of the logic cell layout withPl~P5 indicating CD markers.

[0044] FIG. 2A depicts an example of a layout for semiconductor integrated circuits (ICs) and a concept of CD measurement. FIG. 2B shows a part of the integrated circuit (IC) layout 122 and a pattern 124 for poly-silicon layer that is, for example, a gate of an MOS transistor. Pl through P5 of FIG. 2B show examples of CD measurement

categories. In one embodiment, the meaning of each symbol is; for example, Pl - gate length, P2 - end cap or gate fringe, P3 - gate position, P4 - inner corner, P5 - outer corner, respectively.

[0045] In the lithography process by the particle beam writing, many physical and chemical effects give influence on images obtained on the resist. Such effect or variation includes temperature, chemical component of resist, stability of e-beam gun, and other environmental conditions. In one aspect, these variational effects are called lithography process variation hereafter. By these variations, the image obtained by the lithography changes to some extent. It is required to estimate the influence of such variation to the image. The influence of the process variation to the image varies depending on a position of the image. It is difficult to evaluate each effect of the lithography process variation, but representing variation of those effects by change of threshold of lithography intensity is a reasonable way. Lithography process sensitivity is defined as influence of the threshold change to the change of the lithography image.

[0046] FIG. 3 explains CD measurement categories with reference to CD measurement categories P1-P5 of FIG. 2B.

[0047] P1-P5 indicate categories for the CD markers. Pl, for example, is a category that measures line width. P2, for example, is a category that measures shortening of line end. P3, for example, is a category that measures absolute distance between obtained image and target patterns. P4, for example, is a category that measures rounding at a convex vertex. P5, for example, is a category that measures rounding at a concave vertex. [0048] In one aspect, categories P1~P5 intend to measure differences between obtained images and intended patterns. In a case of lithography simulation, evaluation of quantitative differences between images obtained by the simulation and intended pattern is important for quality control of the lithography.

[0049] In one aspect, CD measurement results in a number for quantitative quality control of the image obtained by lithography. Visible representation of a simulation result image, as shown in FIG. 1, for example, is helpful for intuitive understanding of the result but is not necessarily from qualitative quality control point of view. [0050] FIG. 4 shows CD marker and evaluation points, and FIG. 4 explains detail of the CD marker, target pattern and evaluation points. In one embodiment., the target pattern is required by the design, and the CD marker is a geometrical entity that is a critical region

required to be measured. In FIG. 4, AA' and BB' are examples of a CD marker, and the evaluation points are a set of coordinates where the lithography intensity is calculated. As shown in FIG. 4, CD markers are assigned to target patterns for indicating measurement points, hi one aspect, AA' and BB' are examples of CD markers that are represented by line segments, for example.

[0051] In one embodiment, AA' is an example of CD marker usage that is applicable to the categories characterized by measuring distance between edges of the target pattern and image. P2, P4, and P5 of FIG. 3 are examples of this type. BB' is an example of CD marker usage that is characterized by measuring a difference between width of the image and the intended pattern. Pl is an example of this type of CD Marker. P3 in FIG. 3 is an example that measures difference of position between intended patterns and obtained images.

[0052] In one aspect, FIGS. 5A-5B show embodiments of a CD measurement method, and a principle of CD measurement by this invention is illustrated. Curves called Simulation Result indicate intensity of the lithography (deposition energy in e-beam case) on line segments AA' and BB'. Line graphs called Target are ideal intensity distribution for the target patterns. Horizontal lines called Threshold indicate level of lithography intensity that generates the lithography images.

[0053] FIGS. 5A-5B depict details of how CD values are measured by the embodiments in which CD markers are set, as shown in FIG. 4. Positional coordinates of placed CD markers are indicated on a horizontal axis. AA' of FIG. 5 A corresponds to AA' in FIG. 4. Similar to the above example, BB' of FIG. 5B corresponds to BB' in FIG. 4. Vertical axis indicates an intensity of deposited energy of particles like electrons or photons that causes chemical reaction in the resist.

[0054] Intensity curves are calculated by simulation along with lines AA' and BB' and indicated by "Simulation Result" in FIGS. 5A and 5B. On the other hand, preferable intensity curves for getting the best images are step functions as shown by "Target" in FIGS. 5A-5B. Resist is modeled on a simple "Threshold" that determines where image appears. In FIGS. 5A-5B, image is developed in the area where intensity exceeds the "Threshold". This is a simple model but behavior of the resist is described. "CD Value" that is defined by the difference between target pattern and image by lithography is measured as a distance between a cross point of "Target" line and "Threshold" line and a

cross point of "Simulation Results" and "Target" line. In FIG. 5 A, CD value is distance between Xl and X2, and in FIG. 5B, CD value is calculated based on distances between

Xl 7X1" and X27X2".

[0055] In one aspect, the above description of CD measurement method indicates there is no need to calculate intensity of all grid points in the target region for calculating CD values. Calculation of intensity on the region related to a CD marker is sufficient. By this invention, the number of the intensity calculation is drastically reduced. In one embodiment, this method can obtain the same CD measurement results within approximately 1/40 ~ 1/90 of CPU time required for the conventional method that is described in FIG. 1. In one embodiment, the herein described method of the invention enables evaluation of specified critical dimensions of lithography image for large regions like a whole chip that was impossible by the conventional method.

[0056] The following description is an example of the embodiment of the CD measurement system. It should be appreciated that there are many other embodiments based on the invention. In one aspect, FIG. 6 shows one embodiment of a flowchart for

CD measurement. An example of CD measurement procedure is explained step by step in FIG. 6, wherein FIG. 6 depicts one embodiment of a flowchart of the invention.

[0057] In one aspect, step (200) and (210) of FIG. 6 respectively indicate a start point and end point of a repetition. It should be appreciated that steps between (200) and (210) can be repeated for all CD markers in an objective region.

[0058] hi step (202), the intensity evaluation point(s) on the CD marker line segment is

(are) determined.

[0059] In step (204), intensity of lithography on the point(s) determined in step (202) is

(are) calculated.

[0060] hi step (206), a threshold of the intensity and the intensity calculated in step

(204) is compared.

[0061] In step (208), a point at which the intensity is equal to the threshold is stored in memory, hi one aspect, storing formats of the point data for this step depend on the category of the CD measurement. An quality check can be accomplished by step (206).

If the equality check is not satisfied, step (208) will be skipped.

[0062] In step (212), CD values are calculated based on both the point information stored at step (208) and the category specified by the CD markers. In one aspect, examples of the category are depicted as Pl ~ P5 of FIG. 3.

[0063] In one embodiment, lithography process sensitivity is a type of CD value. Different from category Pl ~ P5 that are calculated based on intensity-threshold equivalent point information, the lithography process sensitivity is calculated based on an idea related to differential calculus, hi lithography technology, gradient of the intensity curve near the threshold value is important. The sensitivity that is defined as influence of process variation to the image by the lithography (hereafter called lithography process sensitivity) decreases when the intensity curve is steep, hi other words, the shape obtained by the lithography changes largely when the intensity curve is gradual. [0064] The CD measurement method by this invention is applicable for the above mentioned lithography process sensitivity check. A questionable area from lithography process sensitivity point of view is a region where δl/distance (P1,P2) is less than a specified value, Pl is a point where intensity of the lithography is equal to the threshold, and P2 is a point where intensity of the lithography is equal to the threshold + δI, where δI is a specified small value compared with the threshold value. This type of CD measurement is conventionally not easy to perform because the point where the intensity is equal to threshold + δI is difficult to observe in the resist. In one aspect,, the CD measurement method by this invention allows estimation of this type of value by virtue of simulation base method.

[0065] FIGS. 7A-7B show sensitivity of an image to lithography process variation. How process variations influences images obtained by lithography technologies is depicted in FIGS. 7A-7B. FIG. 7 A shows, for example, a case where the intensity curve is steep at the cliff of the intensity. FIG. 7B shows a case where the intensity curve is gradual. In one embodiment, lower charts of FIGS. 7A and 7B show intensity curves on line AA' and BB', threshold levels, and threshold + δI level, and upper charts of FIGS. 7A and 7B show how the images that are developed in both case. [0066] FIGS. 7A-7B depict how process variations influences images obtained by lithography technologies. In case of FIG. 7A, intensity curve is steep so that influence of the process variations on the images is relatively small, hi case of FIG. 7B, gradient of intensity curve is gradual compared with case 7A, so that the image changes caused by

lithography process changes (one example is variation of resist temperature) are larger than case 7A.

[0067] In FIGS. 7 A and 7B, X-Y coordinates of upper chart of FIGS. 7A and 7B indicate geometrical positions on a plane coated by resist, and rectangles indicate the images obtained by lithography technologies. On the other hand, X-Y coordinates in lower part of FIGS. 7 A and 7B indicate intensity of the lithography and geometrical positions on line AA" and BB". Image appears where intensity of energy, for example, exceeds predefined threshold. In one aspect, the threshold of the intensity varies depending on states of resist and other process parameters like temperatures. FIGS. 7A and 7B explain a case in that the threshold intensity decreases δI by variation of some process parameters, hi case the threshold intensity decreases, intersections of the intensity curve and the threshold will shift from A' to A" and B' to B". As a result of threshold shift, a boundary of the image moves to a point of δx right, and the image becomes large, hi case of FIG. 7B, the boundary of the image also moves to a point of δx' right, and the image becomes large. As a conclusion of the discussion on FIGS. 7A and 7B, δx < δx' or the boundary move of (A) is smaller than that of FIG. 7B because the intensity curve for FIG. 7 A is steeper than that for FIG. 7B. [0068] Based on above mentioned invention and principle, a system can be implemented that reports a subset of regions specified by CD markers that have potential risk of generating poor images because of the high lithography process sensitivity. The region where a distance between P(T) and P(T+δI) is larger than a specified value related to the δI will be reported, where P(T) is a cross point of the intensity curve and threshold line, and P(T+δI) is a cross point of the intensity curve and threshold +δI line on a CD marker line. This check is useful for identifying regions where generated images are potentially unstable for process variation in other word lithography process sensitivity is high.

[0069] FIG. 8 shows a flow chart for high sensitivity point detection, and an example of lithography process sensitivity procedure is explained by a flow chart in FIG. 8. The sensitivity can be calculated as a part of CD measurement.

[0070] An embodiment of lithography process sensitivity calculation method based on the invention is described below. This is an example of the embodiment of the invention;

other embodiments are also possible. The method and flow by the invention are described by FIG. 8.

[0071] Step 300: Calculation of point P (I τ ) and P (I T +δI) on a specified CD Marker is done, where P(Iχ) is a cross point of the intensity curve and threshold line I T and P

(Iτ+δI) is a cross point of the intensity curve and threshold +δI.

[0072] Step 302: Calculation of δD = distance between P (I τ ) and P (I T +δI) is performed, that is a distance between edges of the lithography images assuming Iχ and

Iτ+δI as threshold values.

[0073] Step 304: Check whether calculated the distance δD of the step 302 is bigger than provided criteria. δD/δI is an index that indicates deviation of the image by the threshold changes caused by the lithography process variation. Risk of the image deviation increases with increase of the sensitivity.

[0074] Step 306: This is a step that checks completion of processing whole CD Markers.

Loop 308 is a loop for repeating the remaining processes for CD Markers.

[0075] The lithography process sensitivity calculation method, as shown in FIG. 8, is an example of the embodiment and other embodiments using step 300, step 302, and step

306 can be possible.

[0076] The CD measurement of images by lithography technology can be performed quickly by the above mentioned methods. Efficient assignment of CD Markers at appropriate points is another issue. A method by conventional thinking is using layout database flattened by software and placing the markers at critical points by hand.

However, it is tedious and time consuming to place CD markers at all critical points on a chip.

[0077] To resolve above mentioned marker assignment problem, the following method and system are disclosed. By the disclosed method, templates of CD markers are associated with critical points of polygons in library cells in advance, and a CD marker is generated based on the template to a position where the cell is placed and instantiated.

By the mentioned method, the CD marker marking becomes effective because preparing the templates for a library cell makes possible to repetitively generate CD markers where cells are placed. In one example, to embody the mentioned method, (1) embedding templates for CD markers in library cells and (2) generating CD markers at where the cells are placed, are required.

[0078] An embodiment of the disclosed method is control of CD marker generation by human operators' directions, which will be described in following section. [0079] FIG. 9A shows CD markers embedded in a cell library, and FIG. 9B depicts relations between CD marker template in library data, and how these templates are used in the design. FIG. 9A indicates a library where cells or blocks repetitively used are stored. FIG. 9B indicates a design that uses cells in the library. Cell 1 and Cell 2 are example names of the library cells. CD marker templates are associated with these library cells, hi one aspect, both Cell 1 and Cell 2 are instantiated two times in design FIG. 9B.

[0080] In one aspect. FIG. 9A shows a library database in where cells, that may be used by a design, are stored. FIG. 9B shows an example of a design. In the example, both Cell A and Cell B are used twice for implementing the design. 4 times assignment of CD markers are required if no design hierarchy information is used, as shown in FIG. 9B. In case of using design hierarchy like cell library, same CD marker assignment is performed by preparing two CD marker templates. Effect and operation of the method is explained by an example. A cell in the library is sometimes referenced more than thousands times in a common design, hi such case, reduction of time and effort for the CD marker assignment is large.

[0081] FIG. 10 shows a CD marker selection by cell type. Generation of a CD marker is controlled by Cell name. FIG. 10 is an example that CD markers for cell 2 are not generated. FIG. 10 is an example that depicts merit of specifying template of the CD Marker by name of the cell type whether to generate CD Marker or not. Precise specification of cell instances for CD measurement can be doable by identifying it by cell types. FIG. 10 corresponds to FIG. 9B. Two instances of Cell 2 type in FIG. 10 are omitted from the evaluation objectives. The elimination operation can be easily performed by specifying cell types but not specifying cell instance one by one. Furthermore, using categories appeared in FIGS. 3 and 4 with the invention depicted in FIG. 10 allows more complex specification of CD measurement objectives. By virtue of this efficient filtering capability, it is more efficient to focus on a spot of interest and reduce computation time by eliminating unnecessary evaluation points. [0082] FIG. 11 shows an example of a CD measurement result display. Both layout and CD measurement results are displayed on a same screen. The value of the CD

measurement results is indicated by color. (1) Partial statistics report shows statistic of a specified region. (2) Statistical Calculation Region indicator is used for specifying a region for the calculation. (3) Object Region is a region for the simulation. (4) Color Scale is used as a scale for the value of the CD measurement. CD measurement results are statistical quantities like average and standard deviation, and generally calculated for whole objective area. Partial statistical quantities of specified region are of interest for understanding result of dose correction.

[0083] FIG. 11 is an example of the display that are intended to fulfill one or more of the above mentioned requirements.

[0084] In one example, quantity of CD measurement results is displayed with a geometrical entity that is related to the CD marker. An example of the embodiment is using color for showing the quantity and polygon of the LSI layout for geometrical entity. FIG. 11 is an implementation that uses color and a rectangle related to the place of CD marker for display. By this display, a user of the system can intuitively understand CD measurement results.

[0085] In another example, partial statistical report generation capability is shown in FIG. 11. This capability allows the user to specify a region and to know partial statistical characteristics of the specified region. To satisfy such a requirement, the capability comprises a means for specifying one or more region(s) for statistical calculation, calculating statistical quantities of the specified region, and a means for displaying the calculation results. A system may comprise the above mentioned components. [0086] FIG. 11 shows a statistical result display device as an embodiment. (1) Partial Statistic Report shows statistical calculation results for specified region. (2) Statistical Calculation Region Indicator shows a boundary of the region for statistical calculation. (3) Objective Region indicates whole area for the calculation. (4) Color Scale indicates quantitative result by CD measurement.

[0087] In one aspect, CD measurement result by lithography simulation is useful as a feedback to the engineers and is also helpful to improve design, proximity effect correction, dose correction, and RET (Resolution Enhancement Technology). Other uses of the CD measurement result assists inspection and measurement of real lithography images by CD SEM, for example.

[0088] FIG. 12 shows a conventional interface to measurement equipment and shows a conventional process from the manufacturing data generation through the inspection. For example, data 1 shows manufacturing data generated by CAD equipment. Manufacturing is performed at Step 401. Inspection points for checking whether the product satisfies quality and spec are prepared and transferred to the inspection equipment at step 402. Inspections are done at step 403 according to the direction prepared at step 402. From the described procedure, the conventional method for inspection cannot use useful information that is generated with Data 1 preparation. To resolve this issue, a new method is introduced, as shown in FIG. 13.

[0089] FIG. 13 shows one embodiment of an interface to measurement equipment. Interface method of design information to inspection equipment by this invention is described. The design information includes lithography simulation results and CD marker information.

[0090] In the method depicted by FIG. 13, directive data for the inspection equipments is prepared using lithography simulation results 500, layout design data 502, and CD marker information 504. hi one embodiment, the directive information including CD marker and geometrical shapes are provided to the inspection equipment, for example, CD SEM for automatic navigation of the equipment. Prioritizing CD markers can be based on the lithography simulation. The inspection or measurement is performed from highly prioritized CD markers to improve efficiency of the inspection. Effectiveness of the inspection can be improved by finding high lithography process sensitivity parts using the methods depicted by FIGS. 7 A and 7B and transferring it to the equipment. The method is based on an idea of understanding which part of the design is less stable by using the simulator.

[0091] Figure 13 shows an example of the interface method to measurement equipment by one aspect of the invention. The inputs of the methods are Design Layout (502), results of lithography simulation (500), and CD Marker library (504). The output of the method is a directive to the equipment. The directive information controls an inspection equipment in a effective manner. That means minimize or at least reduce the measurement time required to measure the critical dimensions, hi step 505, a set of measurement positions on a chip is determined using a set of information shown by 500, 502, and 504. In one aspect, Prioritization or selection of the points can be done from

efficiency point of view, for example, at step 505. In step 506, a chip level coordinate information is transformed in a wafer level because measurement or inspection of the fabricated chip is done on a wafer.

[0092] FIG. 14 shows one implementation example of transferring CD measurement information to inspection equipment, and FIG. 14 depicts an embodiment by the invention, hi one aspect, Data 1, Step 601, Step 602, and Step 603 are identical to that of

FIG. 12. Step 4 is a step that creates virtual pattern by the lithography simulation and the

CD markers.

[0093] In one embodiment, as shown in FIG. 14, a virtual pattern 604 is generated and helps the inspection. Data 1 is manufacturing data and a product is manufactured at step

601 using the data, hi step 602, CD measurement information like inspection points is transferred to an inspection equipment at step 603.

[0094] FIG. 15 shows an example of virtual pattern generation. A method for generating virtual pattern is described.

[0095] FIG. 15 depicts an example of generating virtual patterns for the inspection equipment, hi one example, a virtual pattern may comprise a closed polygon generated based on line segments TPl-A' and TP2-TP3. TPl, TP2 and TP3 are points on CD marker lines and lithography intensity equal to the threshold. These virtual patterns are sent to the equipment and used for helping the inspection.

[0096] At the inspection equipment, the above described virtual patterns are useful for the following purposes. (I) A pointer helps visual check by operators and is also helpful for indicating a next checking object. (2) References for comparison are useful because the virtual patterns are generated based on lithography simulation, and they indicate a line edge of the lithography images.

[0097] In conventional lithography simulation, all grid points of an object domain are to be evaluated for getting intensity of the lithography. For E-beam case the intensity is deposition energy. Image generated by the lithography is conventionally obtained by a contour line tracking program that finds out equi-threshold intensity points for the image development and connects those points. The conventional method is time consuming.

The method by this invention can significantly reduce computation time by focusing the computing domain using CD markers.

[0098] Assignment of the CD markers to regions of interest is required for above mentioned invention. In real mask fabrication and wafer production, the number of CD measurement points is limited because of required time for evaluation. In one aspect, the CD measurement by the invention has a merit that allows a huge number of measurements compared with the conventional real measurement. In general, it requires a preparation of huge CD markers that number is equal to the measurement points, hi another aspect, the invention embeds a template for a CD marker into a library cell or block, which reduces time for CD marker assignment. This improves efficiency of the CD marker setting.

[0099] Lithography process sensitivity that indicates influence of lithography process variation to the obtained lithography image is a conventionally used idea. However, the conventional method requires evaluation of all grid points of an object domain. Different from conventional methods, the method by the invention can calculate the sensitivity effectively by using a method for CD Measurement. The calculation is effective and quick because it is done in the limited area specified by the CD marker. [00100] In conventional CD measurement that is used for measurement of real masks and wafers, the measurement points are so small that few need of visual display of the result is said. However, the above mentioned technology invokes a need for intuitive understanding, in contrast, the displaying method by the invention enables visual understanding of relation between CD measurement results and layout image. For some users, it is useful to know partial statistical quantities like average and standard deviations. The method by the invention uses layout image display and means for specifying a region for the partial statistical calculation, which allows users a convenient means of giving directions. Conventionally, there is no such way of the specifying area for the calculation. [00101] CD measurement is an important activity that is used for analyzing issues in a fabrication process and a judgment of whether a product is good or not. Unfortunately, in conventional system, decision of points for CD measurement is independently done in the manufacturing process. This invention enables providing the CD measurement activity with CD markers and related data from the design side. Moreover, the invention enables prioritizing and sorting CD Markers for effective CD measurement by using lithography simulation results in design domain.

[00102] In one embodiment, the methods disclosed herein by the invention are applicable for a simulation of mask and wafer writing by electron beam lithography, and are also applicable for the simulation of mask and wafer writing by more general charged particle beam writing.

[00103] In another embodiment, the methods disclosed herein by the invention are also applicable for the simulation of the mask and wafer writing by optical lithography. [00104] In another embodiment, the methods disclosed herein by the invention are applicable to, but not limited to, mask and wafer writing but also for more general lithography technologies.

[00105] Although particular embodiments of the present inventions have been shown and described, it will be understood that it is not intended to limit the present inventions to the preferred embodiments, and it will be obvious to those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the present inventions. The specification and drawings are, accordingly, to be regarded in an illustrative rather than restrictive sense. The present inventions are intended to cover alternatives, modifications, and equivalents, which may be included within the spirit and scope of the present inventions as defined by the claims.