Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHODS OF SPIN-ON DEPOSITION OF METAL OXIDES
Document Type and Number:
WIPO Patent Application WO/2017/132351
Kind Code:
A1
Abstract:
Techniques herein provide methods for depositing spin-on metal materials for creating metal hard mask (MHM) structures without voids in the deposition. This includes effective spin-on deposition of TiOx, ZrOx, SnOx, HFOx, TaOx, et cetera. Such materials can help to provide differentiation of material etch resistivity for differentiation. By enabling spin-on metal hard mask (MHM) for use with a multi-line layer, a slit-based or self-aligned blocking strategy can be effectively used. Techniques herein include identifying a fill material to fill particular openings in a given relief pattern, modifying a surface energy value of surfaces within the opening such that a contact angle value of an interface between the fill material in liquid form and the sidewall or floor surfaces enables gap-free or void-free filling.

Inventors:
MOHANTY NIHAR (US)
HULI LIOR (US)
SMITH JEFFREY (US)
FARRELL RICHARD (US)
Application Number:
PCT/US2017/015097
Publication Date:
August 03, 2017
Filing Date:
January 26, 2017
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOKYO ELECTRON LTD (JP)
TOKYO ELECTRON US HOLDINGS INC (US)
International Classes:
H01L21/027; G03F7/16; H01L21/28
Foreign References:
US20030176064A12003-09-18
US20050062021A12005-03-24
US20050239295A12005-10-27
KR20050064265A2005-06-29
US20090072713A12009-03-19
Attorney, Agent or Firm:
MATHER, Joshua D. (US)
Download PDF:
Claims:
CLAIMS

1 . A method for depositing material on a substrate, the method comprising:

receiving a substrate having a relief pattern that defines openings that uncover an underlying layer, the relief pattern providing sidewall surfaces that define the openings, the underlying layer providing floor surfaces that define the openings, the sidewall surfaces having a first surface energy value, the floor surfaces having a second surface energy value;

identifying a fill material to fill the defined openings by being deposited on the substrate via spin-on deposition;

executing a surface energy modification treatment, the surface energy modification treatment modifying at least one of the first surface energy value and the second surface energy value such that a contact angle value of an interface between the fill material in liquid form and the sidewall surfaces or the floor surfaces is less than 80 degrees; and

depositing the fill material on the substrate via spin-on deposition after executing the surface energy modification treatment such that the fill material fills the defined openings being in contact with the sidewall surfaces and the floor surfaces,

2. The method of claim 1 , wherein executing the surface energy modification treatment includes modifying the first surface energy value such that the contact angle value of the interface between the fill material in liquid form and the sidewall surfaces is less than 60 degrees.

3. The method of claim 1 , wherein executing the surface energy modification treatment results in the contact angle value of the interface between the fill material in liquid form and the sidewall surfaces is less than 30 degrees,

4. The method of claim 3, wherein executing the surface energy modification treatment results in the contact angle value of the interface between the fill material in liquid form and the sidewall surfaces is less than 20 degrees.

5. The method of claim 1 , wherein executing the surface energy modification treatment includes modifying the second surface energy value such that the contact angle value of the interface between the fill material in liquid form and the floor surfaces is less than 60 degrees.

8. The method of claim 1 , wherein executing the surface energy modification treatment results in the contact angle value of the interface between the fill material in liquid form and the floor surfaces is less than 30 degrees.

7. The method of claim 8, wherein executing the surface energy modification treatment results in the contact angle value of the interface between the fill material in liquid form and the floor surfaces is less than 20 degrees.

8. The method of claim 1 , wherein executing the surface energy modification treatment includes exposing the substrate to plasma products within a plasma processing system.

9. The method of claim 1 , wherein executing the surface energy modification treatment includes depositing a liquid-based modifier on the relief pattern.

10. The method of claim 9, wherein depositing the liquid-based modifier on the relief pattern includes executing a post-etch wet clean.

1 1. The method of claim 1 , wherein executing the surface energy modification treatment includes depositing a conformal film on the relief pattern.

12. The method of claim 1 1 , wherein executing the surface energy modification treatment includes executing a treatment selected from the group consisting of atomic layer deposition, chemical vapor deposition, and direct current superposition.

13. The method of claim 1 , wherein the relief pattern defines the openings having critical dimensions less than 40 nanometers.

14. The method of claim 1 , wherein depositing the fill material on the substrate includes using a deposition solvent system that matches the first surface energy value.

15. The method of claim 14, wherein depositing the fill material on the substrate includes using the deposition solvent system that matches the second surface energy value.

Description:
METHODS OF SPIN-ON DEPOSITION OF METAL OXIDES

CROSS REFERENCE TO RELATED APPLICATIONS [0001] The present application claims the benefit of U.S. Provisional Patent Application No. 62/288,253, filed on January 28, 2016, entitled "Methods of Spin-on Deposition of Metal Oxides," which is incorporated herein by reference in its entirety.

BACKGROUND OF THE INVENTION

[0002] Techniques herein relate to scaling and patterning of semiconductor wafers.

[0003] Methods of shrinking line-widths in lithographic processes have historically involved using greater-NA optics (numerical aperture), shorter exposure wavelengths, or interfacial media other than air (e.g., water immersion). As the resolution of conventional lithographic processes has approached theoretical limits, manufacturers have started to turn to double-patterning (DP) methods to overcome optical limitations.

[0004] In material processing methodologies (such as photolithography), creating patterned layers comprises the application of a thin layer of radiation- sensitive material, such as photoresist, to an upper surface of a substrate. This radiation-sensitive material is transformed into a relief pattern which can be used as an etch mask to transfer a pattern into an underlying layer on a substrate. Patterning of the radiation-sensitive material generally involves exposure to actinic radiation through a reticle (and associated optics) onto the radiation-sensitive material using, for example, a photo-lithography system. This exposure can then be followed by the removal of irradiated regions of the radiation-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) depending on a developing solvent used. This mask layer can comprise multiple sub-layers.

[0005] Conventional lithographic techniques for exposing a pattern of radiation or light onto a substrate have various challenges that limit a size of features exposed, and lim it pitch or spacing between exposed features. One conventional technique to mitigate exposure limitations is that of using a double patterning approach to allow the patterning of smaller features at a smaller pitch than what is currently possible with conventional lithographic techniques.

SUMMARY

[0006] In order to maintain pitch scaling, back end of line (BEOL) trench patterning at N7 (node 7) and beyond must implement sub-38 nm pitch patterning. Although patterning this relatively small pitch can be challenging, it can be achieved by a variety of methods including EUV 13.5 nm lithography, self-aligned double patterning (SADP), 193nm self-aligned quadruple patterning (SAQP) or via directed self-assembly (DSA). One useful technique in trench patterning processes is an area-selective blocking process where relatively long lines are cut into chains or line ends cut, et cetera, to form a final desired trench layout. As pitches get smaller, overlay requirements of such a block pattern go beyond the capability of the lithographic tools. This is a major challenge to overcome to continue pitch scaling in the BEOL fabrication,

[0007] Self-aligned blocking (SAB) techniques can enable overcoming patterning challenges at smaller nodes. The concept of SAB is that unmanageable overlay requirements are traded for a block pattern overlay with a more manageable etch selectivity challenge. For example, a multi-line layer is formed with alternating lines of different materials. These materials are different in that one or more of these materials can be selectively etched without etching remaining materials. When an etch mask is formed over this multi-line layer, and this etch mask has a relatively large opening uncovering multiple lines of material from the multi-line layer, tuning a given etch process to target one of these materials means that the etch mask opening is essentially further narrowed so that combining the etch mask opening with the multi-line layer (with at least one material removed) effectively creates a combined, sub-resolution etch mask for pattern transfer into an underlying layer. Such patterning can be made especially useful if used with spin-on metal hard mask (MHM) materials.

[0008] Techniques herein provide methods for depositing spin-on metal materials for creating metal hard mask (MHM) structures. This includes effective spin-on deposition of TiOx, ZrOx, SnOx, HFOx, TaOx, et cetera. Such materials can help to provide differentiation of etch resistivities which is referred to as providing different "colors" of a multi-line layer. By enabling spin-on metal hard mask (MHM) for use with a multi-line layer, multiple color decomposition is achieved on a given pattern, which enables a slit-based or self-aligned blocking strategy. Techniques herein include identifying a fill material to fill particular openings in a given relief pattern, modifying a surface energy value such that a contact angle value of an interface between the fill material in liquid form and the sidewaii surfaces enables gap free filling.

[0009] Of course, the order of discussion of the different steps as described herein has been presented for clarity sake. In general, these steps can be

performed in any suitable order. Additionally, although each of the different features, techniques, configurations, etc. herein may be discussed in different places of this disclosure, it is intended that each of the concepts can be executed independently of each other or in combination with each other. Accordingly, the present invention can be embodied and viewed in many different ways.

[0010] Note that this summary section does not specify every embodiment and/or incrementally novel aspect of the present disclosure or claimed invention. Instead, this summary only provides a preliminary discussion of different

embodiments and corresponding points of novelty over conventional techniques. For additional details and/or possible perspectives of the invention and embodiments, the reader is directed to the Detailed Description section and corresponding figures of the present disclosure as further discussed below.

BRIEF DESCRIPTION OF THE DRAWINGS [0011] A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description considered in conjunction with the accompanying drawings. The drawings are not necessarily to scale, with emphasis instead being placed upon illustrating the features, principles and concepts.

[0012] FIG. 1 is a cross-sectional schematic view of an example substrate segment showing a process flow according to embodiments disclosed herein.

[0013] FIG. 2 is a cross-sectional schematic view of an example substrate segment showing surface modification according to embodiments disclosed herein. [0014] FIG. 3 is a cross-sectional schematic view of an example substrate segment showing a process flow according to embodiments disclosed herein.

[0015] FIG. 4 is a magnified image of a substrate segment showing voids in a fill material.

[0016] FIG. 5 is a magnified image of a substrate segment showing void-free filling according to techniques herein.

DETAILED DESCRIPTION [0017] Techniques herein provide methods for depositing spin-on metal materials for creating metal hard mask (MHM) structures. This includes effective spin-on deposition of TiGx, ZrOx, SnOx, HFOx, TaQx, et cetera. Such materials can help to provide differentiation of etch resistivities which is referred to as providing different "colors" of a multi-line layer. By enabling spin-on metal hard mask (MHM) for use with a multi-line layer, multiple color decomposition is achieved on a given pattern, which enables a slit-based or self-aligned blocking strategy,

[0018] A challenge during this spin-on metal oxide (MeOx) integration is gap fill. Filling the gaps for the sub-18 nm space in SAQP pattern, with aspect ratios reaching 5-6: 1 , is significantly challenging. Techniques herein, however, resolve some of the challenges in advanced node narrow pitch back end of line (BEOL) trench patterning.

[0019] Techniques herein resolve the gap fill issue for the spin-on MeOx materials. By matching the surface energy of the solvent system that the MeOx material is deposited in, with the surface energy of the trench/opening side-wail and/or the floor, void-free filling of the trenches is achieved. Techniques herein include several methods by which the surface energy of the side-wail and/or floor can be improved. Such methods include surface treatments such as post-etch treatment with oxygen, nitrogen, hydrogen, and fluorine containing gases. Another treatment includes post etch wet cleans with dilute hydrofluoric acid (dHF), sulphuric peroxide (SPN), et cetera. Another treatment includes pre~appiying treatment in a coater/developer system with solvents such as isopropyl alcohol, de-ionized water, tetramethylammonium hydroxide (TMAH), hexamethyldisilazane (HMDS), et cetera. Another option is forming conformal films such as by atomic layer deposition (ALD), chemical vapor deposition (CVD), direct current superposition, and so forth. [0020] One cause of improper void fill performance of spin-on MeOx (metal oxide) materials is the mismatch between the surface energy of the trench surface (and/or floor surface) and the spin-on MeOx system. For example, if the spin-on IVIeQx material is hydrophilic, and if the surface of the trench (including the sidewa!ls and the floor) is hydrophobic, then the hydrophilic material will not wet the trench completely and thus will result in voids during the baking process.

[0021] As disclosed herein, careful matching of the surface energies enables void-free gap fill for the spin-on MeOx materials. There are many alternative embodiments. Some include post etch treatment in any plasma etch chamber or other treatment chamber using a single gas or a mixture of gases, post etch wet clean using specific chemical formulations, and pre applying treatment in a track tool (coater/developer tool) using specific solvents. Accordingly, techniques herein enable void-free and defect-free gap fill of several spin-on MeOx materials. Such filling techniques enable self-aligned block (SAB) integration for 5 nm BEOL trench patterning, for example.

[0022] FIG. 4 illustrates an example of the gap fill problem with conventional techniques. F!G. 4 is an enlarged image of a substrate segment that has openings filled by a metal oxide material 207, Note, however, that the deposition of metal oxide material 207 resulted in voids 21 1 at the bottom of openings being filled by the metal oxide.

[0023] Techniques herein include a method for depositing material on a substrate. A substrate is received or otherwise provided that has a relief pattern. The relief pattern defines openings that uncover an underlying layer. The relief pattern provides sidewall surfaces that define the openings. The underlying layer provides floor surfaces that define the openings. In other words, the relief pattern has trenches or holes or other openings that extend to an underlying layer. These trenches or openings have side surfaces and a bottom surface. The relief pattern provides the side surfaces, while a top surface of an underlying layer functions as a floor surface or bottom surface of a given hole or trench. In some embodiments, the relief pattern can define openings having critical dimensions less than 40

nanometers.

[0024] The sidewall surfaces have a first surface energy value, while the floor surfaces have a second surface energy value. These surface energy values of each material or surface can be different or identical depending on particular materials used. FIG. 1 illustrates an example substrate segment defining openings. Note that this substrate segment includes relief pattern 150 and underlying layer 135. Relief pattern 150 includes lines of two different materials. Letters "A" and "B" appear above these materials. The letter "C" appears above openings in the relief pattern. By way of a non-limiting example, the opening designated with the letter C can be trenches to be filled with a metal-containing material.

[0025] A fill material is identified or otherwise selected to fill the defined openings by being deposited on the substrate via spin-on deposition. Fill materials can include metal oxide or other metal-containing materials,

[0026] A surface energy modification treatment is executed. The surface energy modification treatment modifies at least one of the first surface energy value and the second surface energy value such that a contact angle value of an interface between the fill material in liquid form and the sidewail surfaces or the floor surfaces is less than 80 degrees. Such a surface energy modification treatment can thus target either the sidewail surfaces, the floor surfaces, or both. Depending on a type of energy modification treatment selected, the contact angle value of the interface between the fill material in liquid form and the sidewail surfaces can be less than 60 degrees or less than 30 degrees or less than 20 degrees.

[0027] Executing the energy modification treatment can include modifying the second surface energy value such that a contact angle value of an interface between the fiil material in liquid form and the floor surfaces is less than 60 degrees, or less than 30 degrees, or less than 20 degrees, depending on a type of modification treatment executed.

[0028] Techniques herein provide several alternative treatments for modifying surface energies of surfaces to be filled. One example treatment is exposing the substrate to plasma products within a plasma processing system. F!G. 2 illustrates such an example treatment. A plasma 172 can be formed over a working surface of a substrate such that plasma products react with substrate surfaces or otherwise bombard substrate surfaces to change surface energies. Isotropic flow of plasma products is beneficial for modifying sidewail surfaces.

[0029] !n another embodiment, a liquid-based modifier can be deposited on the relief pattern, which can react with or otherwise alter surfaces. Such a liquid- based treatment can include executing a post-etch wet clean. With particular cleaning chemicals selected based on relief pattern materials and underlying layer materials, the substrate can be simultaneously cleaned and modified.

[0030] Alternative modification techniques include depositing a conformal film on the relief pattern such as by atomic layer deposition, chemical vapor deposition, direct current superposition, or combinations thereof.

[0031] Note that one or more modification treatments can be executed. In some fabrication techniques a first modification treatment can be used to modify sidewall surfaces, while a second modification treatment is used to modify floor surfaces. Also, two or more treatments can be executed to modify a given surface as desired. After one or more modification treatments have been executed, the fill material— which can be metal-containing— is deposited on the substrate via spin-on deposition. This deposition is executed such that the fill material fills the defined openings with the fill material being in contact with the sidewall surfaces and the floor surfaces. With surface energies being tuned to a given fill material, such deposition results in a void free filling. FIG. 3 illustrates an example result of a substrate segment after the fill operation and after an optional planarization step to remove any overburden of fill material.

[0032] By way of a specific, non-limiting example, a low-temperature titanium oxide (TiOx) solvent system based on propanoi (an alcohol) is deposited on a substrate. Prior to depositing this metal oxide, an oxidative post-etch treatment is executed as well as a wash with isopropyi alcohol. As shown in FIG. 5, the result is a void-free fill of metal oxide.

[0033] In the preceding description, specific details have been set forth, such as a particular geometry of a processing system and descriptions of various components and processes used therein. It should be understood, however, that techniques herein may be practiced in other embodiments that depart from these specific details, and that such details are for purposes of explanation and not limitation. Embodiments disclosed herein have been described with reference to the accompanying drawings. Similarly, for purposes of explanation, specific numbers, materials, and configurations have been set forth in order to provide a thorough understanding. Nevertheless, embodiments may be practiced without such specific details. Components having substantially the same functional constructions are denoted by like reference characters, and thus any redundant descriptions may be omitted. [0034] Various techniques have been described as multiple discrete operations to assist in understanding the various embodiments. The order of description should not be construed as to imply that these operations are necessarily order dependent. Indeed, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment. Various additional operations may be performed and/or described operations may be omitted in additional embodiments,

[0035] "Substrate" or "target substrate" as used herein generically refers to an object being processed in accordance with the invention. The substrate may include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor wafer, reticle, or a layer on or overlying a base substrate structure such as a thin film. Thus, substrate is not limited to any particular base structure, underlying layer or overlying layer, patterned or un-patterned, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures. The description may reference particular types of substrates, but this is for illustrative purposes only.

[0036] Those skilled in the art will also understand that there can be many variations made to the operations of the techniques explained above while still achieving the same objectives of the invention. Such variations are intended to be covered by the scope of this disclosure. As such, the foregoing descriptions of embodiments of the invention are not intended to be limiting. Rather, any limitations to embodiments of the invention are presented in the following claims.