Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
MULTI-STEP PHOTOMASK ETCHING WITH CHLORINE FOR UNIFORMITY CONTROL
Document Type and Number:
WIPO Patent Application WO/2008/077012
Kind Code:
A3
Abstract:
Methods for etching quartz are provided herein. In one embodiment, a method of etching quartz includes providing a film stack on a substrate support disposed in a processing chamber, the film stack having a quartz layer partially exposed through a patterned layer; and etching the quartz layer of the film stack in a multi-step process including a first step of etching the quartz layer utilizing a first process gas comprising at least one fluorocarbon process gas and a chlorine-containing process gas; and a second step of etching the quartz layer utilizing a second process gas comprising at least one fluorocarbon process gas.

Inventors:
KOCH RENEE (US)
ANDERSON SCOTT A (US)
Application Number:
PCT/US2007/087878
Publication Date:
August 07, 2008
Filing Date:
December 18, 2007
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
KOCH RENEE (US)
ANDERSON SCOTT A (US)
International Classes:
G03F1/00
Foreign References:
US20050164514A12005-07-28
US20060166107A12006-07-27
US20060154151A12006-07-13
Attorney, Agent or Firm:
TABOADA, Alan (1030 Broad Street 2nd FloorShrewsbury, New Jersey, US)
Download PDF:
Claims:

Attorney Docket No.: APPM10562PCT

Claims:

1. A method of etching quartz, comprising: providing a film stack on a substrate support disposed in a processing chamber, the film stack having a quartz layer partially exposed through a patterned layer; and etching the quartz layer of the film stack in a multi-step process comprising: a first step of etching the quartz layer utilizing a first process gas comprising at least one fluorocarbon process gas and a chlorine- containing process gas; and a second step of etching the quartz layer utilizing a second process gas comprising at least one fluorocarbon process gas.

2. The method of claim 1 , wherein the film stack is a photomask stack and wherein the patterned layer is a mask layer and further comprising: terminating each step of etching of the quartz layer at a predefined etch depth; and removing the mask layer.

3. The method of any of claims 1 or 2, wherein the fluorocarbon process gas comprises at least one of CF 4 , CHF 3 , C 2 F, C 4 F 6 , or C 4 F 8 and wherein the chlorine-containing process gas comprises at least one of Cl 2 or HCI.

4. The method of any of claims 1 or 2, wherein the first step of etching further comprises: flowing CF 4 and CHF 3 into the processing chamber.

5. The method of claim 2, wherein the patterned mask layer is formed by a process comprising: patterning a layer of resist on the mask layer, wherein the mask layer comprises chromium; etching the chromium mask layer to form openings therethrough; and

Attorney Docket No.: APPM10562PCT

removing the layer of resist from the chromium mask layer.

6. The method of claim 2, wherein the patterned mask layer is formed by a process comprising: patterning a first layer of resist on an opaque light-shielding layer of metal; etching the light-shielding layer of metal to form at least a first opening and a second opening therethrough; patterning a second layer of resist on an opaque light-shielding layer of metal to form the mask layer, wherein the first opening in the light-shielding layer of metal remains filled with the second layer of resist after patterning.

7. The method of claim 1 , wherein the film stack comprises a chromium layer disposed above the quartz layer, and further comprising: a) patterning a first layer of resist on the chromium layer; b) etching the chromium layer to form at least a first opening and a second opening therethrough; c) removing the first layer of resist; d) patterning a second layer of resist on the chromium layer, wherein the first opening in the chromium layer remains filled with the second layer of resist after patterning; and e) etching portions of the quartz layer exposed through the chromium layer to a depth one half a photolithography light wavelength of between about 193 to about 248 nm using the multi-step etch process.

8. The method of any of claims 1 , 2, or 7, wherein the first step of etching further comprises: flowing Cl 2 into the processing chamber.

9. The method of any of claims 1 , 2, or 7, further comprising repeating at least one step of the multi-step process.

Attorney Docket No.: APPM10562PCT

10. The method of any of claims 1 , 2, or 7, further comprising the step: biasing the quartz layer with a plurality of power pulses less than 150

Watts in at least one of the steps of the multi-step process.

11. The method of claim 10, wherein the patterned layer is not photoresist and wherein the step of biasing comprises: pulsing power at a pulse frequency between about 1 to about 10 kHz and with a duty cycle between about 10 to about 50 percent.

12. The method of claim 10, wherein the patterned layer includes photoresist and wherein the step of biasing comprises: pulsing power at a pulse frequency between about 1 to about 10 kHz and with a duty cycle between about 10 to about 90 percent.

13. The method of any of claim 1 or 7, wherein at least one of the first or the second step of etching further comprises: flowing CF 4 and CHF 3 into the processing chamber.

14. The method of claim 7, wherein one or both of the first or the second step of etching further comprises: applying bias power of less than about 150 Watts at a duty cycle between about 10 to about 90 percent; inductively coupling the less than about 600 Watts of RF power to an antenna disposed proximate the process chamber; flowing less than about 15 seem of CF 4 into the processing chamber; and flowing less than about 30 seem of CHF 3 into the processing chamber.

15. The method of claim 7, wherein the first step of etching the quartz layer further comprises: applying bias power of less than about 150 Watts at a duty cycle between about 10 to about 90 percent;

Attorney Docket No.: APPM10562PCT

inductively coupling the less than about 600 Watts of RF power to an antenna disposed proximate the process chamber; and flowing less than about 10 seem of C^ into the processing chamber.

Description:

Attorney Docket No.: APPM10562PCT

MULTI-STEP PHOTOMASK ETCHING WITH CHLORINE FOR UNIFORMITY CONTROL

BACKGROUND OF THE INVENTION

Field of the Invention

[0001] Embodiments of the present invention generally relate to a method for plasma etching quartz and, more specifically, to a method for etching quartz photomasks utilizing a multi-step etching process.

Description of the Related Art

[0002] In the manufacture of integrated circuits (IC), or chips, patterns representing different layers of the chip are created by a chip designer. A series of reusable masks, or photomasks, are created from these patterns in order to transfer the design of each chip layer onto a semiconductor substrate during the manufacturing process. Mask pattern generation systems use precision lasers or electron beams to image the design of each layer of the chip onto a respective mask. The masks are then used much like photographic negatives to transfer the circuit patterns for each layer onto a semiconductor substrate. These layers are built up using a sequence of processes and translate into the tiny transistors and electrical circuits that comprise each completed chip. Thus, any defects in the mask may be transferred to the chip, potentially adversely affecting performance. Defects that are severe enough may render the mask completely useless. Typically, a set of 15 to 30 masks is used to construct a chip and can be used repeatedly.

[0003] A mask is typically a glass or a quartz substrate that has a layer of chromium on one side. The mask may also contain a layer of silicon nitride (SiN) doped with molybdenum (Mb). The chromium layer is covered with an anti-reflective coating and a photosensitive resist. During a patterning process, the circuit design is written onto the mask by exposing portions of the resist to ultraviolet light, making the exposed portions soluble in a developing solution.

74773-1

Attorney Docket No.: APPM10562PCT

The soluble portion of the resist is then removed, allowing the exposed underlying chromium to be etched. The etch process removes the chromium and anti-reflective layers from the mask at locations where the resist was removed, i.e., the exposed chromium is removed.

[0004] Another mask utilized for patterning is known as a quartz phase shift mask. The quartz phase shift mask is similar to the mask described above, except that alternating adjacent areas of quartz regions exposed through the patterned chromium layer are etched to a depth about equal to half the wavelength of light which will be utilized to transfer the circuit patterns to a substrate during fabrication. Thus, as the light is shown through the quartz phase shift mask to expose resist disposed on the wafer substrate, the light impinging in the resist through one opening in the mask is 180 degrees out of phase relative to the light passing through the immediately adjacent opening. Therefore, light that may be scattered at the edges of the mask opening is cancelled out by the 180 degree light scattering at the edge of the adjacent opening, causing a tighter distribution of light in a predefined region of the resist. The tighter distribution of light facilitates writing of features having smaller critical dimensions. Similarly, masks used for chromeless etch lithography also utilize the phase shift of light passing through quartz portions of two masks to sequentially image the resist, thereby improving the light distribution utilized to develop the resist pattern.

[0005] In one etch process, known as dry etching, reactive ion etching (RIE), or plasma etching, a plasma is used to enhance a chemical reaction and etch the exposed quartz area of the mask. Undesirably, conventional quartz etch processes often exhibit RIE lag between features having different critical dimensions. For example, the vertical etch rates of features having large widths is different than the vertical etch rates of features having smaller widths. Other attributes of conventional quartz etch processes, such as sidewall angle of the trench etched in the quartz layer and microtrenching, have not demonstrated acceptable results for masks having critical dimensions less than about 5 μm. This results in non-uniformity of the etched features of the mask and

Attorney Docket No.: APPM10562PCT

correspondingly diminishes the ability to produce features having small critical dimensions using the mask.

[0006] As the critical dimensions of mask continue to shrink, the importance of etch uniformity increases. Thus, a quartz etch process having high etch uniformity and low RIE lag is highly desirable.

[0007] Thus, there is a need for an improved quartz etch process.

SUMMARY OF THE INVENTION

[0008] Methods for etching quartz are provided herein. In one embodiment, a method of etching quartz includes providing a film stack on a substrate support disposed in a processing chamber, the film stack having a quartz layer partially exposed through a patterned layer; and etching the quartz layer of the film stack in a multi-step process including a first step of etching the quartz layer utilizing a first process gas comprising at least one fluorocarbon process gas and a chlorine-containing process gas; and a second step of etching the quartz layer utilizing a second process gas comprising at least one fluorocarbon process gas.

BRIEF DESCRIPTION OF THE DRAWINGS

[0009] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

[0010] Figure 1 is a schematic diagram of an etch reactor suitable for etching quartz;

[0011] Figure 2 is a flow diagram of one embodiment of a method for etching quartz;

Attorney Docket No.: APPM10562PCT

[0012] Figures 3A-G are one embodiment of quartz phase shift mask fabricated utilizing one embodiment of the quartz etch method of the present invention; and

[0013] Figures 4A-E are one embodiment of quartz phase shift mask fabricated utilizing one embodiment of the quartz etch method of the present invention.

[0014] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.

DETAILED DESCRIPTION

[0015] Figure 1 depicts a schematic diagram of one embodiment of an etch reactor 100 in which a method of quartz etching of the present invention may be practiced. Suitable reactors that may be adapted for use with the teachings disclosed herein include, for example, the Decoupled Plasma Source (DPS ® ) Il reactor, or the TETRA™ I and TETRA™ Il Photomask etch systems, all of which are available from Applied Materials, Inc. of Santa Clara, California. The DPS ® Il reactor may also be used as a processing module of a CENTURA ® integrated semiconductor wafer processing system, also available from Applied Materials, Inc. The particular embodiment of the reactor 100 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.

[0016] The reactor 100 generally comprises a process chamber 102 having a substrate pedestal 124 within a conductive body (wall) 104, and a controller 146. The chamber 102 has a substantially flat dielectric ceiling 108. Other modifications of the chamber 102 may have other types of ceilings, e.g., a dome-shaped ceiling. An antenna 110 is disposed above the ceiling 108. The antenna 110 comprises one or more inductive coil elements that may be selectively controlled (two co-axial elements 110a and 110b are shown in Figure 1 ). The antenna 110 is coupled through a first matching network 114 to a plasma power source 112. The plasma power source 112 is typically capable of producing a radio frequency (RF) signal of up to about 3000 Watts (W) at a tunable frequency in a range from about 2 MHz to about 200 MHz, for example,

Attorney Docket No.: APPM10562PCT

13.56 MHz. In one embodiment, the plasma power source 112 provides about 300 to about 600 W of inductively coupled RF power.

[0017] The substrate pedestal (cathode) 124 is coupled through a second matching network 142 to a biasing power source 140. The biasing source 140 provides an RF signal of between about zero to about 600 W at a tunable frequency in a range from about 2 MHz to about 200 MHz, for example, 13.56 MHz. The biasing source 140 may be configured to provide a continuous wave output or a pulsed output having a tunable pulse frequency in the range of from about 1 to about 10 kHz. Alternatively, the biasing source 140 may produce pulsed DC power output.

[0018] In one embodiment, the biasing source 140 is configured to provide RF power less than about 600 Watts at a frequency between about 1 to about 10 kHz, with a duty cycle between about 10 to about 95 percent. In another embodiment, the biasing source 140 is configured to provide RF power between about 20 to about 150 Watts, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. [0019] In one embodiment as in a DPS ® Il reactor, the substrate support pedestal 124 may include an electrostatic chuck 160. The electrostatic chuck 160 comprises at least one clamping electrode 132 and is controlled by a chuck power supply 166. In alternative embodiments, the substrate pedestal 124 may comprise substrate retention mechanisms such as a susceptor clamp ring, a mechanical chuck, and the like.

[0020] A gas panel 120 is coupled to the process chamber 102 to provide process and/or other gases to the interior of the process chamber 102. In the embodiment depicted in Figure 1 , the gas panel 120 is coupled to one or more inlets 116 formed in a channel 118 in the sidewall 104 of the chamber 102. It is contemplated that the one or more inlets 116 may be provided in other locations, for example, in the ceiling 108 of the process chamber 102. [0021] In one embodiment, the gas panel 120 is adapted to selectively provide one or more process gases through the inlets 116 and into the interior of the process chamber 102 during processing. For example, in one embodiment, the gas panel 120 may be adapted to selectively provide a

Attorney Docket No.: APPM10562PCT

fluorine-containing and/or a chlorine-containing process gas (or gases) into the interior of the process chamber 102, as described below in connection with methods of etching a photomask. During processing, a plasma is formed from the gas and maintained through inductive coupling of power from the plasma power source 112. The plasma may alternatively be formed remotely or ignited by other methods.

[0022] The pressure in the chamber 102 is controlled using a throttle valve 162 and a vacuum pump 164. The vacuum pump 164 and throttle valve 162 are capable of maintaining chamber pressures in the range of about 1 to about 20 mTorr.

[0023] The temperature of the wall 104 may be controlled using liquid- containing conduits (not shown) that run through the wall 104. Wall temperature is generally maintained at about 65 degrees Celsius. Typically, the chamber wall 104 is formed from a metal (e.g., aluminum, stainless steel, and the like) and is coupled to an electrical ground 106. The process chamber 102 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 154.

[0024] A reticle adapter 182 is used to secure a substrate (such as a reticle or other workpiece) 122 onto the substrate support pedestal 124. The reticle adapter 182 generally includes a lower portion 184 milled to cover an upper surface of the pedestal 124 (for example, the electrostatic chuck 160) and a top portion 186 having an opening 188 that is sized and shaped to hold the substrate 122. The opening 188 is generally substantially centered with respect to the pedestal 124. The adapter 182 is generally formed from a single piece of etch resistant, high temperature resistant material such as polyimide ceramic or quartz. A suitable reticle adapter is disclosed in U.S. Patent No. 6,251 ,217, issued on June 26, 2001 , and incorporated herein by reference. An edge ring 126 may cover and/or secure the adapter 182 to the pedestal 124. [0025] A lift mechanism 138 is used to lower or raise the adapter 182, and hence, the substrate 122, onto or off of the substrate support pedestal 124.

Attorney Docket No.: APPM10562PCT

Generally, the lift mechanism 138 comprises a plurality of lift pins (one lift pin 130 is shown) that travel through respective guide holes 136. [0026] In operation, the temperature of the substrate 122 is controlled by stabilizing the temperature of the substrate pedestal 124. In one embodiment, the substrate support pedestal 124 comprises a heater 144 and an optional heat sink 128. The heater 144 may be one or more fluid conduits configured to flow a heat transfer fluid therethrough. In another embodiment, the heater 144 may include at least one heating element 134 that is regulated by a heater power supply 168. Optionally, a backside gas (e.g., helium (He)) from a gas source 156 is provided via a gas conduit 158 to channels that are formed in the pedestal surface under the substrate 122. The backside gas is used to facilitate heat transfer between the pedestal 124 and the substrate 122. During processing, the pedestal 124 may be heated by the embedded heater 144 to a steady-state temperature, which in combination with the helium backside gas, facilitates uniform heating of the substrate 122.

[0027] The controller 146 comprises a central processing unit (CPU) 150, a memory 148, and support circuits 152 for the CPU 150 and facilitates control of the components of the process chamber 102 and, as such, of the etch process, as discussed below in further detail. The controller 146 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 148 of the CPU 150 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 152 are coupled to the CPU 150 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. The inventive method is generally stored in the memory 148 or other computer-readable medium accessible to the CPU 150 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 150.

Attorney Docket No.: APPM10562PCT

[0028] Figure 2 is a flow diagram of one embodiment of a method 200 for etching quartz. Although the method 200 is described below with reference to a substrate utilized to fabricate a photomask (as described above in Figure 1 ), the method 200 may also be used in other quartz etching applications. [0029] The method 200, which may be stored in computer readable form in the memory 148 of the controller 146 or other storage medium, begins at step 201 and proceeds to step 202. At step 202, the substrate 122 is placed on a support pedestal 124 in a process chamber. The process chamber may be a TETRA™ I, TETRA™ II, DPS ® II, or other suitable etch chamber, as described above. In one embodiment, the substrate 122 rests in the opening 188 of the adapter 182. The substrate 122 depicted in Figure 1 includes an optically transparent silicon based material, such as quartz (e.g., silicon dioxide, Siθ 2 layer 192), having an opaque light-shielding layer of metal, known as a photomask material 190, forming a patterned mask on the surface of the quartz layer 192. It is contemplated that a separate mask may be utilized, or in other applications, a mask disposed on the quartz layer 192 may be comprised of different materials, and may include or be separated from the substrate by one or more intervening layers. Typical metals suitable for use as a photomask layer 190 include chromium and/or chromium oxynitride. The substrate 122 may also include a layer (not shown) of silicon nitride (SiN) doped with molybdenum (Mo) interposed between the quartz layer 192 and photomask layer 190.

[0030] Next, at step 204, the quartz layer 192 of the substrate 122 is etched using a multi-step etch process. The multi-step etch process comprises a first etch step 206, wherein the substrate is etched using a first process gas comprising one or more fluorocarbon gases and a chlorine-containing gas, and a second etch step 208, wherein the substrate is etched using a second process gas comprising one or more fluorine-containing gases. Steps 206 and 208 may be performed in either order. Moreover, one or more of steps 206 and 208 may be repeated as desired to continue the multi-step etch process until a desired endpoint is reached.

Attorney Docket No.: APPM10562PCT

[0031] At step 206, a first process gas comprising one or more fluorocarbon gases and a chlorine-containing gas is introduced into the process chamber 102 through the gas inlet 116. The first process gas may further include an inert gas, such as helium (He), argon (Ar), xenon (Xe), neon (Ne), krypton (Kr), and the like.

[0032] Exemplary chlorine-containing gases may include chlorine (Cl 2 ), hydrogen chloride (HCI), and the like. In one embodiment, the chlorine- containing gas comprises chlorine (Cl 2 ). In one embodiment, Cl 2 is provided at a rate of between about 1 - 10 standard cubic centimeters per minute (seem). In one embodiment, Cl 2 at a rate of about 5 seem is used. The pressure in the process chamber is controlled to less than about 40 mTorr, and in one embodiment, between about 1 and about 10 mTorr, for example 2 mTorr. [0033] Exemplary fluorocarbon gases may include CF 4 , CHF 3 , C 2 F, C 4 F 6 , C 4 Fe, and the like. In one embodiment, CF 4 is provided at a rate of between about 2 - 50 seem and CHF 3 is provided at a rate of between about 2 - 50 seem. In one embodiment, CF 4 at a rate of about 9 seem, and CHF 3 at a rate of about 26 seem are used. The pressure in the process chamber is controlled to less than about 40 mTorr, and in one embodiment, between about 1 and about 10 mTorr, for example 2 mTorr.

[0034] Optionally, at step 206, a substrate bias power is applied to the support pedestal 124 to bias the substrate 122. The bias power may be less than about 150 W, or in a first example, less than about 100 W, or in a second example, between 20 and about 150 W. In one embodiment, about 65 W of bias power is used for the process. The bias power may further be a 13.56 MHz RF signal provided at a continuous wave output or pulsed at a pulse frequency of between about 1 - 10 kHz, or in one embodiment, between about 2 - 5kHz.

[0035] The bias power may be pulsed in a duty cycle of between about 10 - 95 percent, or in one embodiment, between about 20 - 95 percent. In one embodiment, the biasing source 140 is configured to provide less than about 150 Watts of RF power at a pulse frequency between about 1 to about 10 kHz, with a duty cycle between about 10 to about 95 percent. In another

Attorney Docket No.: APPM10562PCT

embodiment, the biasing source 140 is configured to provide between about 20 to about 150 Watts of RF power at a pulse frequency between about 2 to about 5 kHz, with a duty cycle between about 10 to about 95 percent. [0036] In an embodiment wherein the quartz layer includes a layer of patterned photoresist thereover, the biasing source 140 may provide a pulsed RF power between about 20 to about 150 Watts, at a pulse frequency between about 2 to about 5 kHz, with a duty cycle between about 10 to about 90 percent. In an embodiment wherein the quartz layer does not have a layer of patterned photoresist thereover, the biasing source 140 may provide a pulsed RF power between about 20 to about 150 Watts, at a pulse frequency between about 2 to about 5 kHz, with a duty cycle between about 10 to about 50 percent. [0037] Plasma is formed from the first process gas by applying RF power of between about 300 to about 600 W from the plasma power source 112 to the antenna 110. It is contemplated that the plasma may be ignited by other methods. In one embodiment, RF power of about 420 W is applied to the antenna 110 at a frequency of about 13.56 MHz. During step 206, the quartz layer 192 exposed on the substrate 122 is etched for a first period of time until a desired endpoint for step 206 is reached. The endpoint may be determined by time, optical interferometry or by other suitable methods. [0038] Next, at step 208, a second process gas comprising one or more fluorocarbon gases are introduced into the process chamber 102 through the gas inlet 116. The second process gas may further include one or more inert gases, such as He, Ar, Xe, Ne, Kr, and the like.

[0039] Exemplary fluorocarbon gases may include CF 4 , CHF 3 , C 2 F, C 4 F 6 , C 4 F 8 , and the like. In one embodiment, CF 4 is provided at a rate of between about 2 - 50 seem and CHF 3 is provided at a rate of between about 2 - 50 seem. In one embodiment, CF 4 at a rate of about 9 seem, and CHF 3 at a rate of about 26 seem are used. The pressure in the process chamber is controlled to less than about 40 mTorr, and in one embodiment, between about 1 and about 10 mTorr, for example 2 mTorr.

[0040] Optionally, at step 208, a substrate bias power is applied to the support pedestal 124 to bias the substrate 122. The bias power may be less

Attorney Docket No.: APPM10562PCT

than about 150 W, or in a first example, less than about 100 W, or in a second example, between about 20 and about 150 W. In one embodiment, about 65 W of bias power is used for the process. The bias power may further be an RF signal provided at a continuous wave output or pulsed at a pulse frequency of between about 1 - 10 kHz, or in one embodiment, between about 2 - 5kHz. [0041] The bias power may be pulsed in a duty cycle of between about 10 - 95 percent, or in one embodiment, between about 20 - 95 percent. In one embodiment, the biasing source 140 is configured to provide less than about 150 Watts of RF power at a pulse frequency between about 1 to about 10 kHz, with a duty cycle between about 10 to about 95 percent. In another embodiment, the biasing source 140 is configured to provide between about 20 to about 150 Watts of RF power at a pulse frequency between about 2 to about 5 kHz, with a duty cycle between about 10 to about 95 percent. [0042] In an embodiment wherein the quartz layer includes a layer of patterned photoresist thereover, the biasing source 140 may provide a pulsed RF power between about 20 to about 150 Watts, at a pulse frequency between about 2 to about 5 kHz, with a duty cycle between about 10 to about 90 percent. In an embodiment wherein the quartz layer does not have a layer of patterned photoresist thereover, the biasing source 140 may provide a pulsed RF power between about 20 to about 150 Watts, at a pulse frequency between about 2 to about 5 kHz, with a duty cycle between about 10 to about 50 percent. [0043] Plasma is formed from the second process gas by applying RF power of between about 300 to about 600 W from the plasma power source 112 to the antenna 110. As discussed above at step 206, it is contemplated that the plasma may be ignited by other methods. In one embodiment, RF power of about 420 W is applied to the antenna 110 at a frequency of about 13.56 MHz. During step 208, the quartz layer 192 exposed on the substrate 122 is etched for a second period of time until a desired endpoint for step 208 is reached. [0044] At step 210, it is queried whether a desired endpoint for the multi-step etch process is reached. As noted above, the endpoint may be determined by time, optical interferometry, or by other suitable methods. Optionally, the substrate may be removed from the chamber to facilitate ex-situ etch depth

Attorney Docket No.: APPM10562PCT

measurement and returned to the chamber for additional processing if necessary. If the answer to the query at step 210 is yes, the process ends at step 211 and the substrate may continue with any further processing as necessary. If the answer is no, the method returns to step 204. For further iterations of step 204, it is contemplated that the multi-step process may end after only repeating one of steps 206 or 208 upon reaching the desired multi- step etch endpoint. It is further contemplated that the duration of steps 206 and/or 208 repeated during iterations of step 204 may be different than from prior iterations (e.g., the duration of any step 206 or 208 during any iteration may be longer, shorter, or the same as any other duration of any step 206 or 208 from any prior iteration).

[0045] The multi-step etching process as used in certain embodiments further provide uniformity control for the etched feature. In one embodiment, the above etch process utilized in step 206 provides a center-fast etch (Ae., the central portion of the substrate is etched at a faster rate than peripheral portions of the substrate), while the etch process utilized in step 208 provides a center- slow etch (i.e., the central portion of the substrate is etched at a slower rate than peripheral portions of the substrate). Accordingly, the method 200 advantageously combines the two steps into a multi-step etch process having greater uniformity and phase angle range as compared to conventional methods. The method 200 further advantageously provides for reduced RIE lag, microtrenching, and more vertical sidewall angle control as compared to conventional etch methods. Thus, the method 200 is highly desirable in small critical dimension applications. It is to be appreciated, as discussed above, that the inventive method can begin with either of step 206 or step 208 and can be repeated in any sequence to provide further control as needed until the desired endpoint is reached.

[0046] Figures 3A-G depict one embodiment of a film stack 30Oj fabricated into a quartz phase shift mask 318 utilizing the method 200 described above. The subscript "i" is an integer representing different fabrication stages the film stack shown in Figures 3A-G.

Attorney Docket No.: APPM10562PCT

[0047] The film stack 300i depicted in Figure 3A includes a quartz layer 302 having a photomask layer 304 disposed thereon. The photomask layer 304 is typically chromium or other suitable material such as those described above. An optional antireflection layer 306 (shown in phantom) may be disposed on the photomask layer 304. A first resist layer 308 is disposed on the photomask layer 304 or antireflection layer 306, when present.

[0048] The first resist layer 308 is patterned and utilized as an etch mask to etch the photomask layer 304 to form features 310 exposing the underlying quartz layer 302 as depicted in Figure 3B. The photomask layer 304 may be etched using a plasma formed from chlorine-containing gas (such as Cl 2 ) mixed with oxygen. One exemplary etch process is described in United States Patent Application Serial No. 10/235,223, filed September 4, 2002, which is incorporated herein by reference in its entirety. It is contemplated that other suitable metal etch processes may be utilized. After the features 310 are formed in the photomask layer 304, the remaining first resist layer 308 is removed, for example, by ashing, to leave the film stack 3OO 3 as shown in Figure 3C.

[0049] As shown in Figure 3D, a second resist layer 312 is disposed on the film stack 30O 4 , filling the features 310. The second resist layer 312 is then patterned. Typically when forming a quartz phase shift mask, the patterned second resist layer 312 exposes the quartz layer 302 at the bottom of alternating features 310, as shown in Figure 3E.

[0050] The quartz layer 302 exposed through the patterned second resist layer 312 is etched using the method 200 described above (e.g., a multi-step etching process is used as previously described and, in one embodiment, to obtained controlled uniformity for the etched feature). The endpoint of the quartz etch is selected such that a depth 314 of the etched quartz trench 316 shown in Figure 3F is about equal to the length of 180 degrees phase shift through the quartz layer 302 for a predefined wavelength of light intended for use with the quartz phase shift mask 318. Typical wavelengths are 193 and 248 nm. Thus, the depth 314 is typically about either 172 or 240 nm, although other depths may be utilized for masks intended for use with different

Attorney Docket No.: APPM10562PCT

lithographic light wavelengths and/or manufacturing process flows. After the quartz trench 316 is etched, the remaining second resist layer 312 is removed, for example, by ashing, such that the remaining film stack 30O 7 forms a quartz phase shift mask 318 as shown in Figure 3G.

[0051] Figures 4A-E depict one embodiment of a film stack 40Oj fabricated into a chromeless etch lithography mask 418 utilizing the method 300 described above. The subscript "i" is an integer representing different fabrication stages the film stack shown in Figures 4A-E.

[0052] The film stack 400i depicted in Figure 4A includes a quartz layer 402 having a mask layer 404 disposed thereon. The mask layer 404 is generally suitable for selectively etching quartz using fluorinated plasma chemistries, and in one embodiment is chromium or other photomask material. An optional antireflection layer 406 (shown in phantom) may be disposed on the mask layer 404. A resist layer 408 is disposed on the mask layer 404 or antireflection layer 406, when present.

[0053] The resist layer 408 is patterned and utilized as a etch mask to etch the mask layer 404 to form features 410 exposing the underlying quartz layer 402 as depicted in Figure 4B. The mask layer 404 may be etched using a plasma formed from chlorine-containing gases as described above. After the features 410 are formed in the mask layer 404, the remaining resist layer 408 is removed, for example, by ashing, to leave the film stack 40O 3 shown in Figure 4C. Optionally, the resist layer 408 may remain on the mask layer 404 and removed through erosion and/or stripping during subsequent processing. [0054] As shown in Figure 4D, the quartz layer 402 exposed through the mask layer 404 at the bottom of the features 410 is etched using the method 200 described above (e.g., a multi-step etching process is used as previously described, and in one embodiment, to obtained controlled uniformity for the etched feature). The endpoint of the quartz etch is selected such that a depth 414 of the etched quartz trench 416 shown in Figure 4D is about equal to the length of 180 degrees phase shift through the quartz layer 402 for a predefined wavelength of light intended for use with the chromeless etch lithography mask

Attorney Docket No.: APPM10562PCT

418, e.g., the depth 414 is selected as described with reference to the mask 318 above.

[0055] After the quartz trench 416 is etched, the remaining portions of the mask layer 404 may optionally be removed. For example, the remaining portions of the mask layer 404 may be removed by selectively etching, for example, using chemistries utilized to pattern the mask layer 404. The quartz layer 402 remaining from the film stack 40O 5 forms a chromeless etch lithography mask 418 shown in Figure 4E.

[0056] Thus, a method for etching quartz has been provided that advantageously improves trench attributes over conventional processes. Specifically, the method disclosed herein provides for greater uniformity and phase angle range as compared to conventional methods as well as providing reduced RIE lag, reduced microtrenching, and more vertical sidewall angle control as compared to conventional etch methods. Accordingly, the method of etching quartz described herein advantageously facilitates fabrication of phase shifting photomasks suitable for patterning features having sub-5 μm critical dimensions.

[0057] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.