Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
NOVEL METHODS OF ATOMIC LAYER ETCHING (ALE) USING SEQUENTIAL, SELF-LIMITING THERMAL REACTIONS
Document Type and Number:
WIPO Patent Application WO/2016/100873
Kind Code:
A1
Abstract:
The invention includes a method of promoting atomic layer etching (ALE) of a surface. In certain embodiments, the method comprises sequential reactions with a metal precursor and a halogen-containing gas. The invention provides a solid substrate obtained according t any of the methods of the invention. The invention further provides a porous substrate obtained according to any of the methods of the invention. The invention further provides a patterned solid substrate obtained according to any of the methods of the invention.

Inventors:
GEORGE STEVEN M (US)
LEE YOUNGHEE (US)
Application Number:
PCT/US2015/066789
Publication Date:
June 23, 2016
Filing Date:
December 18, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
UNIV COLORADO REGENTS (US)
International Classes:
C23F1/12
Foreign References:
US20060003145A12006-01-05
US20080023800A12008-01-31
US5389196A1995-02-14
US20140273492A12014-09-18
US7781340B22010-08-24
US7357138B22008-04-15
US5368687A1994-11-29
US5705443A1998-01-06
Attorney, Agent or Firm:
SILVA, Domingos J. et al. (1500 Market Street 38th Floo, Philadelphia Pennsylvania, US)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A method of promoting atomic layer etching (ALE) on a solid substrate comprising a first metal compound, the method comprising the steps of:

(a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

wherein the monodentate ligand comprises at least one selected from the group consisting of alkyl, hydride, carbonyl, halide, alkoxide, alkylamide, silylamide and any combinations thereof; and,

wherein the chelate comprises at least one selected from the group consisting of β- diketonate, amidinate, acetamidinate, β-diketiminate, diamino alkoxide, metallocene and any combinations thereof;

whereby ALE of the solid substrate is promoted.

2. The method of claim 1 , wherein the solid substrate is contained in a single system and is sequentially contacted with the gaseous compound of the second metal in step (a) and the halogen-containing gas in step (b).

3. The method of claim 2, wherein the solid substrate is purged with an inert gas after step (a) and/or step (b).

4. The method of claim 3, wherein the inert gas comprises N2 or Ar.

5. The method of claim 1 , wherein step (a) and/or step (b) is/are run at a temperature that is equal to or greater than a value ranging from about 100°C to about 450°C.

6. The method of claim 1 , wherein the gaseous compound of the second metal in step (a) and the halogen-containing gas in step (b) are contained in separate systems, and the solid substrate is physically moved from one system to the other.

7. The method of claim 1, wherein the first metal compound comprises at least one selected from the group consisting of metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, hybrid organic-inorganic material, and any combinations thereof.

8. The method of claim 7, wherein, before step (a) takes place, the elemental metal is converted to the corresponding metal halide.

9. The method of claim 1, wherein the solid substrate is first submitted to a chemical treatment that results in the formation, on at least a portion of the surface of the solid substrate, of a metal compound selected from the group consisting of a metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, hybrid organic-inorganic material, and any combinations thereof.

10. The method of claim 1, wherein the first metal comprises at least one selected from the group consisting of Al, Hf, Zr, Fe, Ni, Co, Mn, Mg, Rh, Ru, Cr, Si, Ti, Ga, In, Zn, Pb, Ge, Ta, Cu, W, Mo, Pt, Cd, Sn and any combinations thereof.

11. The method of claim 1 , wherein the first metal compound is deposited on an inert substrate.

12. The method of claim 11, wherein the inert substrate comprises Si.

13. The method of claim 12, wherein the inert substrate comprises a Si wafer.

14. The method of claim 1, wherein the second metal comprises at least one selected from the group consisting of Sn, Ge, Al, B, Ga, In, Zn, Ni, Pb, Si, Hf, Zr, Ti and any combinations thereof.

15. The method of claim 1, wherein the β-diketonate comprises at least one selected from the group consisting of acac (acet lacetonate), hfac

(hexafluoroacetylacetonate), tfac (trifluroacetylacetonate), ttmhd (tetramethylheptanedionate) and any combinations thereof.

16. The method of claim 1, wherein the halogen-containing gas comprises a hydrogen halide.

17. The method of claim 16, wherein the hydrogen halide comprises HF.

18. The method of claim 16, wherein the hydrogen halide comprises HC1,

HBr or HI.

19. The method of claim 1, wherein the halogen-containing gas comprises at least one selected from the group consisting of F2, C1F3, NF3, SF6, SF4, XeF2, CI2, Br2, BCI3, h and any combinations thereof.

20. The method of claim 1, wherein the halogen-containing gas comprises at least one selected from the group consisting of F2, C1F3, NF3, SF6, SF4, XeF2, CI2, Br2, BCI3, 12, CF4, CF2CI2, CCI4, CF3CI, C2F6, CHF3 and any combinations thereof, and wherein the halogen-containing gas is ionized in a plasma to produce at least one halogen radical and/or ion.

21. The method of claim 1, wherein the solid substrate is pretreated by sequential contacting with a gaseous second metal precursor, and a halogen-containing gas.

22. The method of claim 1, wherein the first metal compound is formed using atomic layer deposition.

23. The method of claim 1, wherein each cycle of step (a) and step (b) is self-limiting.

24. The method of claim 1, wherein each cycle of step (a) and step (b) allows for about the same etch rate and/or mass loss of the solid substrate.

25. The method of claim 1, wherein the resulting etched solid substrate has about the same or lower surface roughness as the starting solid substrate.

26. The method of claim 1, wherein the density of the etched solid substrate is about the same as of the starting solid substrate.

27. The method of claim 1, wherein the refractive index of the etched solid substrate is about the same as of the initial solid substrate.

28. The method of claim 1, wherein the solid substrate comprises at least one additional metal compound, and ALE of the first metal compound is selective over ALE of the at least one additional metal compound.

29. The method of claim 1, wherein the ALE rate is controlled by the solid substrate temperature.

30. The method of claim 1, wherein the ALE is performed using a spatial ALE technique.

31. A method of forming and/or enlarging pores in a porous substrate, wherein the porous substrate comprises a first metal compound, the method comprising the steps of:

(a) contacting the porous substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the porous substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

whereby pores in the porous substrate are formed and/or enlarged.

32. A method of patterning a solid substrate, wherein a portion of the surface of the solid substrate has an exposed first metal compound, the method comprising the steps of:

(a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

whereby the patterned solid substrate is obtained.

33. The method of claim 32, wherein the surface of the starting solid substrate is at least partially masked, whereby only a portion of the surface of the solid substrate is exposed.

34. The method of claim 33, wherein the surface of the starting solid substrate is at least partially masked with a photoresist.

35. The method of claim 32, wherein the solid substrate is further patterned using a technique selected from the group consisting of monolayer self- assemblying, nano-imprint and stamping.

36. A method of selectively removing a portion of a solid substrate, wherein the solid substrate comprises a first metal compound, the method comprising the steps of:

(a) contacting the solid substrate with a gaseous metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

whereby a portion of the solid substrate is selectively removed.

37. The method of claim 36, wherein the solid substrate comprises a carbon composite.

38. A method of smoothing the surface of a solid substrate, wherein the solid substrate comprises a first metal compound, the method comprising the steps of:

(a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

whereby a solid substrate that has lower surface roughness than the starting solid substrate is formed.

39. A method of promoting chemical vapor etching (CVE) on a solid substrate comprising a first metal compound, the method comprising contacting the solid substrate concurrently with a gaseous second metal precursor and a halogen-containing gas, wherein the gaseous compound of the second metal comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

wherein the monodentate ligand comprises at least one selected from the group consisting of alkyl, hydride, carbonyl, halide, alkoxide, alkylamide and silylamide; and, wherein the chelate comprises at least one selected from the group consisting of β- diketonate, amidinate, acetamidinate, β-diketiminate, diamino alkoxide, and metallocene; whereby etching of the solid substrate is promoted.

40. The method of claim 39, wherein the contacting step is run at a temperature that is equal to or greater than a value ranging from about 100°C to about 450°C.

41. The method of claim 39, wherein the first metal compound comprises at least one selected from the group consisting of metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, hybrid organic-inorganic material, and any combinations thereof.

42. The method of claim 39, wherein the first metal comprises at least one selected from the group consisting of Al, Hf, Zr, Fe, Ni, Co, Mn, Mg, Rh, Ru, Cr, Si, Ti, Ga, In, Zn, Pb, Ge, Ta, Cu, W, Mo, Pt, Cd, Sn and any combinations thereof.

43. A solid substrate obtained according to the method of any of claims 1-

30 and 37-42.

44. A porous substrate obtained according to the method of claim 31.

45. A patterned solid substrate obtained according to the method of any of claims 32-35.

46. A solid substrate obtained according to the method of any of claims

36-37.

47. A smoothened solid substrate obtained according to the method of claim 38.

48. A method of removing at least a fraction of an impurity from a solid substrate, wherein a portion of the surface of the solid substrate has an exposed first metal compound, the method comprising the steps of:

(a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

whereby at least a fraction of the impurity is removed from the solid substrate.

49. A method of reducing the size of at least one 3D architecture feature on a surface of a solid substrate, wherein the surface of the solid substrate comprises a first metal compound, the method comprising the steps of:

(a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof,

whereby a first metal precursor is formed;

(b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed; and

(c) optionally repeating steps (a) and (b) one or more times;

whereby the size of at least one 3D architecture feature on the surface of the solid substrate is reduced.

50. A substrate comprising an impurity, wherein at least a fraction of an impurity is removed from the substrate according to the method of any of claims 1-30, 37-42 and 48-49.

51. A solid substrate, wherein a 3D architectural feature is located on a surface of the solid substrate, wherein the feature is reduced according to the method of any of claims 1-30, 37-42 and 48-49.

Description:
TITLE OF THE INVENTION

Novel Methods of Atomic Layer Etching (ALE) Using Sequential, Self-Limiting Thermal

Reactions

CROSS REFERENCE TO RELATED APPLICATIONS

The present application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Patent Applications No. 62/093,595, filed December 18, 2014, and No.

62/154,994, filed April 30, 2015, all of which are incorporated herein by reference in their entireties.

STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR

DEVELOPMENT

This invention was made with government support under grant number CHE1306131 awarded by the National Science Foundation. The government has certain rights in the invention.

BACKGROUND OF THE INVENTION

A thin film corresponds to a layer of material deposited on a solid support or substrate, wherein the layer ranges in thickness from fractions of a nanometer (monolayer) to several micrometers. Thin films are employed, for example, in electronics (e.g., insulators, semiconductors, or conductors for integrated circuits), optical coatings (e.g., reflective, anti- reflective coatings, or self-cleaning glass) and packaging (e.g., aluminum-coated PET film).

Thin film deposition may be accomplished using a variety of gas phase chemical and/or physical vapor deposition techniques. Many of these deposition techniques are able to control layer thickness within a few tens of nanometers. Thin film deposition is also achieved by liquid phase and electrochemical techniques where the thickness of the final film is not well controlled. Examples include copper deposition by electroplating and sol gel deposition.

Gas phase deposition techniques fall into two broad categories, depending on whether the process is primarily chemical or physical. In a chemical deposition process, a precursor undergoes a chemical change at a solid surface, leaving a solid layer on the surface. In a chemical vapor deposition (CVD) process, a gas-phase precursor, often a halide or hydride of the element to be deposited, reacts with a substrate on the surface, leading to formation of the thin film on the surface. Atomic layer deposition (ALD) is a thin film growth technique based on sequential, self-limiting surface reactions (George, 2010, Chem Revl 10: 111-131). ALD can deposit extremely conformal thin films with atomic layer control. ALD has developed rapidly over the last 10-15 years to meet many industrial needs such as the miniaturization of semiconductor devices. ALD can deposit a wide range of materials from metal oxides to metals (Miikkulainen, et al., 2013, J Appl Phys 113). ALD is typically accomplished using thermal chemistry. However, sometimes plasma ALD is employed to enhance the surface reactions.

In contrast, atomic layer etching (ALE) is a thin film removal technique based on sequential, self-limiting surface reactions (Agarwal & Kushner, 2009, J. Vacuum Sci & Tech A 27:37-50; Athavale & Economou, 1995, J Vacuum Sci & Tech A - Vacuum Surfaces and Films 13:966-971 ; Athavale & Economou, 1996, J Vacuum Sci Tech B 14:3702-3705). ALE can be viewed as the reverse of ALD. ALE should be able to remove thin films with atomic layer control. Compared with the large number of ALD processes, ALE processes have not been defined for as many materials. In addition, no thermal chemical processes have been demonstrated for ALE. ALE processes that have been reported have used excitation such as ion-enhanced or energetic noble gas atom-enhanced surface reactions. Most of the documented ALE processes have adsorbed a halogen on the surface of the material. Ion or noble gas atom bombardment is then used to desorb halogen compounds that etch the material.

Developing thermal self-limiting ALE reactions that are the reverse of ALD reactions is difficult. ALD reactions are typically exothermic reactions that are favorable thermochemical reactions. These thermal reactions are spontaneous with negative AG values (G is the Gibbs free energy). Performing ALD reactions in reverse should not be possible because of these thermodynamic considerations. The challenge for thermal ALE reactions is to find alternative, self-limiting, reactions with different reactants that are exothermic and display negative AG values to ensure a spontaneous reaction.

There is a need in the art for novel methods of performing atomic layer etching (ALE) on a surface. Such methods should be self-limiting and allow for atomic level precision. The present invention meets this need.

BRIEF SUMMARY OF THE INVENTION

The invention provides a solid substrate obtained according to any of the methods of the invention. The invention further provides a porous substrate obtained according to any of the methods of the invention. The invention further provides a patterned solid substrate obtained according to any of the methods of the invention. The invention further provides a solid substrate obtained according to any of the methods of the invention. The invention further provides a smoothened solid substrate obtained according to any of the methods of the invention. The invention further provides a substrate comprising an impurity, wherein at least a fraction of the impurity is removed from the substrate according to any of the methods of the invention. The invention further provides a solid substrate, wherein a 3D architectural feature is located on a surface of the solid substrate, wherein the feature is reduced according to any of the methods of the invention.

The invention provides a method of promoting atomic layer etching (ALE) on a solid substrate comprising a first metal compound. In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method further comprises(c) optionally repeating steps (a) and (b) one or more times. In yet other embodiments, the monodentate ligand comprises at least one selected from the group consisting of alkyl, hydride, carbonyl, halide, alkoxide, alkylamide, silylamide and any combinations thereof. In yet other embodiments, the chelate comprises at least one selected from the group consisting of β-diketonate, amidinate, acetamidinate, β- diketiminate, diamino alkoxide, metallocene and any combinations thereof.

In certain embodiments, the solid substrate is contained in a single system and is sequentially contacted with the gaseous compound of the second metal in step (a) and the halogen-containing gas in step (b). In other embodiments, the solid substrate is purged with an inert gas after step (a) and/or step (b). In yet other embodiments, the inert gas comprises N 2 or Ar. In yet other embodiments, step (a) and/or step (b) is/are run at a temperature that is equal to or greater than a value ranging from about 100°C to about 450°C. In yet other embodiments, the gaseous compound of the second metal in step (a) and the halogen- containing gas in step (b) are contained in separate systems, and the solid substrate is physically moved from one system to the other.

In certain embodiments, the first metal compound comprises at least one selected from the group consisting of metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, hybrid organic-inorganic material, and any combinations thereof. In other embodiments, before step (a) takes place, the elemental metal is converted to the corresponding metal halide. In yet other embodiments, the solid substrate is first submitted to a chemical treatment that results in the formation, on at least a portion of the surface of the solid substrate, of a metal compound selected from the group consisting of a metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, hybrid organic-inorganic material, and any combinations thereof.

In certain embodiments, the first metal comprises at least one selected from the group consisting of Al, Hf, Zr, Fe, Ni, Co, Mn, Mg, Rh, Ru, Cr, Si, Ti, Ga, In, Zn, Pb, Ge, Ta, Cu, W, Mo, Pt, Cd, Sn and any combinations thereof. In other embodiments, the first metal compound is deposited on an inert substrate. In yet other embodiments, the inert substrate comprises Si. In yet other embodiments, the inert substrate comprises a Si wafer.

In certain embodiments, the second metal comprises at least one selected from the group consisting of Sn, Ge, Al, B, Ga, In, Zn, Ni, Pb, Si, Hf, Zr, Ti and any combinations thereof.

In certain embodiments, the β-diketonate comprises at least one selected from the group consisting of acac (acetylacetonate), hfac (hexafluoroacetylacetonate), tfac (trifluroacetylacetonate), ttmhd (tetramethylheptanedionate) and any combinations thereof.

In certain embodiments, the halogen-containing gas comprises a hydrogen halide. In other embodiments, the hydrogen halide comprises HF. In yet other embodiments, the hydrogen halide comprises HC1, HBr or HI.

In certain embodiments, the halogen-containing gas comprises at least one selected from the group consisting of F 2 , C1F 3 , NF 3 , SF 6 , SF 4 , XeF 2 , CI2, Br 2 , BCI 3 , h and any combinations thereof. In other embodiments, the halogen-containing gas comprises at least one selected from the group consisting of F 2 , C1F 3 , NF 3 , SF 6 , SF 4 , XeF 2 , CI2, Br 2 , BCI 3 , h, CF 4 , CF2CI2, CCI4, CF 3 CI, C2F 6 , CHF 3 and any combinations thereof, and wherein the halogen-containing gas is ionized in a plasma to produce at least one halogen radical and/or ion.

In certain embodiments, the solid substrate is pretreated by sequential contacting with a gaseous second metal precursor, and a halogen-containing gas. In other embodiments, the first metal compound is formed using atomic layer deposition. In yet other embodiments, each cycle of step (a) and step (b) is self-limiting. In yet other embodiments, each cycle of step (a) and step (b) allows for about the same etch rate and/or mass loss of the solid substrate. In yet other embodiments, the resulting etched solid substrate has about the same or lower surface roughness as the starting solid substrate. In yet other embodiments, the density of the etched solid substrate is about the same as of the starting solid substrate. In yet other embodiments, the refractive index of the etched solid substrate is about the same as of the initial solid substrate.

In certain embodiments, the solid substrate comprises at least one additional metal compound, and ALE of the first metal compound is selective over ALE of the at least one additional metal compound. In other embodiments, the ALE rate is controlled by the solid substrate temperature. In yet other embodiments, the ALE is performed using a spatial ALE technique.

The invention further provides a method of forming and/or enlarging pores in a porous substrate, wherein the porous substrate comprises a first metal compound. In certain embodiments, the method comprises (a) contacting the porous substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the porous substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method comprises (c) optionally repeating steps (a) and (b) one or more times.

The invention further provides a method of patterning a solid substrate, wherein a portion of the surface of the solid substrate has an exposed first metal compound. In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method comprises (c) optionally repeating steps (a) and (b) one or more times.

In certain embodiments, the patterned solid substrate is obtained. In other embodiments, the surface of the starting solid substrate is at least partially masked, whereby only a portion of the surface of the solid substrate is exposed. In yet other embodiments, the surface of the starting solid substrate is at least partially masked with a photoresist. In yet other embodiments, the solid substrate is further patterned using a technique selected from the group consisting of monolayer self-assemblying, nano-imprint and stamping.

The invention further provides a method of selectively removing a portion of a solid substrate, wherein the solid substrate comprises a first metal compound. In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method comprises (c) optionally repeating steps (a) and (b) one or more times.

In certain embodiments, the solid substrate comprises a carbon composite. The invention further provides a method of smoothing the surface of a solid substrate, wherein the solid substrate comprises a first metal compound. In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method comprises (c) optionally repeating steps (a) and (b) one or more times.

The invention further provides a method of promoting chemical vapor etching (CVE) on a solid substrate comprising a first metal compound. In certain embodiments, the method comprises contacting the solid substrate concurrently with a gaseous second metal precursor and a halogen-containing gas, wherein the gaseous compound of the second metal comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof. In other embodiments, the monodentate ligand comprises at least one selected from the group consisting of alkyl, hydride, carbonyl, halide, alkoxide, alkylamide, silylamide and any combinations thereof. In yet other embodiments, the chelate comprises at least one selected from the group consisting of β-diketonate, amidinate, acetamidinate, β-diketiminate, diamino alkoxide, metallocene and any combinations thereof.

In certain embodiments, the contacting step is run at a temperature that is equal to or greater than a value ranging from about 100°C to about 450°C. In other embodiments, the first metal compound comprises at least one selected from the group consisting of metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, hybrid organic-inorganic material, and any combinations thereof. In yet other embodiments, the first metal comprises at least one selected from the group consisting of Al, Hf, Zr, Fe, Ni, Co, Mn, Mg, Rh, Ru, Cr, Si, Ti, Ga, In, Zn, Pb, Ge, Ta, Cu, W, Mo, Pt, Cd, Sn and any combinations thereof.

The invention further provides a method of removing at least a fraction of an impurity from a solid substrate, wherein a portion of the surface of the solid substrate has an exposed first metal compound. In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the solid substrate formed in step (a) with a halogen- containing gas, whereby first metal halide is formed. In yet other embodiments, the method comprises (c) optionally repeating steps (a) and (b) one or more times.

The invention further provides a method of reducing the size of at least one 3D architecture feature on a surface of a solid substrate, wherein the surface of the solid substrate comprises a first metal compound. In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal precursor is formed. In other embodiments, the method comprises (b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method comprises (c) optionally repeating steps (a) and (b) one or more times.

BRIEF DESCRIPTION OF THE FIGURES

For the purpose of illustrating the invention, there are depicted in the drawings certain embodiments of the invention. However, the invention is not limited to the precise arrangements and instrumentalities of the embodiments depicted in the drawings.

Fig. 1 is a schematic representation of Sn(acac)2 and HF-pyridine precursors used for A1 2 0 3 ALE.

Fig. 2 is a graph illustrating mass change versus time for AI2O 3 ALE using sequential Sn(acac)2 and HF exposures at 200°C.

Fig. 3 is a graph illustrating an expansion of the linear region of Fig. 2 to illustrate the individual mass changes during the sequential Sn(acac)2 and HF exposures at 200°C.

Figs. 4A-4B are a set of graphs illustrating mass change per cycle (MCPC) versus precursor exposure time at 200°C for (Fig. 4A) Sn(acac)2 and (Fig. 4B) HF.

Fig. 5 is a graph illustrating mass change versus time for AI2O 3 ALE using sequential Sn(acac)2 and HF exposures at 150°C.

Fig. 6 is a graph illustrating an expansion of the linear region of Fig. 5 to illustrate the individual mass changes during the sequential Sn(acac)2 and HF exposures at 150°C.

Figs. 7A-7B are a set of graphs illustrating temperature dependence of (Fig.

7A) AM Sn and AM HF and (Fig. 7B) MCPC for A1 2 0 3 ALE using Sn(acac) 2 and HF exposures.

Fig. 8 is a graph illustrating X-ray reflectivity scans showing x-ray intensity versus incident angle for AI2O 3 films on Si(100). (a) Initial AI2O 3 film grown using 150 AI2O 3 ALD cycles; and AI2O 3 films after various numbers of AI2O 3 ALE cycles using Sn(acac)2 and HF exposures: (b) 50 cycles, (c) 100 cycles, (d) 200 cycles and (e) 400 cycles.

Fig. 9 is a graph illustrating X-ray reflectivity and spectroscopic ellipsometry measurements of AI2O 3 film thickness versus number of AI2O 3 ALE cycles using Sn(acac)2 and HF for initial AI2O3 ALD films grown using (a) 150 AI2O3 ALD cycles and (b) 100 AI2O 3 ALD cycles.

Fig. 10 is a graph illustrating the absolute infrared absorbance recorded using

FTIR spectroscopy for the Al-0 vibration in an AI2O 3 film versus number of AI2O 3 ALE cycles at 200°C using Sn(acac)2 and HF.

Fig. 11 is a graph illustrating the absolute infrared absorbance recorded using FTIR spectroscopy after the first Sn(acac)2 exposure and first HF exposure on an AI2O 3 film at 200°C.

Fig. 12 is a graph illustrating the absolute infrared absorbance after the first Sn(acac)2 exposure and first HF exposure on an AI2O 3 film at 200°C.

Fig. 13 is a graph illustrating the absolute infrared absorbance FTIR spectra during AI2O 3 ALE using Sn(acac) 2 and HF at 200°C, 250°C and 300°C.

Fig. 14 is a graph illustrating the difference infrared absorbance FTIR spectra during AI2O 3 ALE using Sn(acac) 2 and HF at 200°C, 250°C and 300°C.

Fig. 15 is a schematic of a non-limiting proposed reaction mechanism for AI2O 3 ALE showing (A) Sn(acac)2 reaction and (B) HF reaction. Fig. 16 is a graph illustrating the mass change versus time for HfC>2 ALE using sequential Sn(acac)2 and HF exposures at 200°C.

Fig. 17 is a graph illustrating an expansion of the linear region of Fig. 16. This expansion highlights the individual mass changes during the sequential Sn(acac)2 and HF exposures at 200°C.

Figs. 18A-18B are graphs illustrating the MCPC versus exposure time at 200 °C for (Fig. 18A) Sn(acac) 2 with the HF exposure fixed at 1.0 s, and (Fig. 18B) HF with the Sn(acac)2 exposure fixed at 1.0 s.

Fig. 19 is a graph illustrating mass change versus time for HfC>2 ALE using sequential Sn(acac)2 and HF exposures at various temperatures from 150°C to 250°C.

Figs. 20A-20B are graphs illustrating (Fig. 20 A) AM SN and AM H F and (Fig. 20B) total mass change per cycle (MCPC) for HfC>2 ALE versus temperature using Sn(acac)2 and HF exposures.

Fig. 21 is a graph illustrating X-ray reflectivity scans showing x-ray intensity versus incident angle for HfC>2 films on Si(100). (a) Initial HfC^ film grown using 150 HfC>2 ALD cycles; and HfC>2 films after various numbers of HfC^ ALE cycles using Sn(acac)2 and HF: (b) 50 cycles, (c) 100 cycles, (d) 200 cycles and (e) 400 cycles.

Fig. 22 is a graph illustrating X-ray reflectivity and spectroscopic ellipsometry measurements of HfC>2 film thickness versus number of HfC^ ALE cycles using Sn(acac)2 and HF for initial Hf0 2 ALD films grown using (a) 150 Hf0 2 ALD cycles and (b) 100 Hf0 2 ALD cycles.

Fig. 23 is a graph illustrating the absolute infrared absorbance recorded using FTIR spectroscopy for the Hf-0 vibration in an HfC>2 film on S1O2 particles versus number of Hf0 2 ALE cycles using Sn(acac) 2 and HF at 200°C.

Fig. 24 is a schematic of the proposed reaction mechanism for HfC ALE showing (A) Sn(acac)2 reaction and (B) HF reaction.

Fig. 25 is a graph illustrating the quartz crystal microbalance results of 100 cycles of AI2O 3 ALE using TMA and HF as the reactants at 300°C. The mass change per cycle (MCPC) was -15.9 ng/(cm 2 cycle)

Fig. 26 is a graph illustrating an expansion of Fig. 25 in the steady state region showing three AI2O 3 ALE cycles. The mass change after the TMA exposure was -29.0 ng/(cm 2 cycle). The mass change after the HF exposure was +13.1 ng/(cm 2 cycle).

Fig. 27 is a schematic illustration of AI2O 3 ALE using TMA and HF. Fig. 28 is a schematic illustration of fluorination of a metal oxide surface using HF to form a metal fluoride layer and H 2 0. Subsequently, a metal precursor removes the metal fluoride layer through a ligand exchange process.

Fig. 29 is a graph showing the mass change versus time for A1F 3 ALE using sequential Sn(acac)2 and HF exposures at 200°C. The mass change per cycle (MCPC) was -6.1 ng/(cm 2 cycle).

Fig. 30 is a graph illustrating an expansion of the linear region of Fig. 29. This expansion highlights the individual mass changes during the sequential Sn(acac)2 and HF exposures at 200°C.

Fig. 31 is a schematic of proposed reaction mechanism for A1F 3 ALE showing the (A) Sn(acac)2 reaction and (B) HF reaction.

Fig. 32A-32B are a set of graphs showing (Fig. 32A) the film thickness versus number of Sn(acac)2 and HF exposures at 200 °C for a variety of materials including TiN, Si0 2 , Si 3 N 4 , Hf0 2 , Zr0 2 and A1 2 0 3 and (Fig. 32B) the etch rates for Hf0 2 , Zr0 2 and A1 2 0 3 .

Fig. 33A-33B are a set of graphs showing (Fig. 33A) the film thickness versus number of A1(CH 3 ) 3 (trimethylaluminum or TMA) and HF exposures at 300 °C for a variety of materials including TiN, S1O2, Si 3 N 4 , HfC>2, ZrC>2 and A1 2 0 3 and (Fig. 33B) the etch rates for Hf0 2 , Zr0 2 and A1 2 0 3 .

Fig. 34A-34B are a set of graphs showing (Fig. 34A) the film thickness versus number of A1C1(CH 3 ) 2 (dimethyaluminum chloride or DMAC) and HF exposures at 250 °C for a variety of materials including TiN, S1O2, Si 3 N4, HfC , ZrC and Al20 3 and (Fig. 34B) the etch rates for HfC>2, ZrC>2 and A1 2 0 3 .

Fig. 35 is a graph illustrating quartz crystal microbalance results for three cycles of GaN ALE using TMA and HF as the reactants at 250°C. The mass change per cycle (MCPC) was -35 ng/(cm 2 cycle). The mass change after the TMA exposure was -60 ng/(cm 2 cycle). The mass change after the HF exposure was +25 ng/(cm 2 cycle).

Fig. 36 is a schematic illustration of GaN ALE using TMA and HF.

DETAILED DESCRIPTION OF THE INVENTION

The present invention relates to the unexpected discovery of a novel method of promoting atomic layer etching (ALE) of a surface using sequential, self-limiting thermal reactions with a metal precursor (such as a metal monodentate complex and/or a metal chelate, such as but not limited to β-diketonate) and a halogen-containing gas. In certain embodiments, the sequential reactions are self-limiting. In other embodiments, the etch rates increase with temperature. In yet other embodiments, the etch rates are constant over several cycles. In yet other embodiments, the resulting etched surfaces are smooth.

As described herein in a non-limiting example, sequential exposures of Sn(acac)2 and HF were employed for the thermal ALE of AI2O 3 . In another non-limiting example, sequential exposures of Sn(acac)2 and HF were employed for the thermal ALE of HfC>2. In yet another non-limiting example, sequential exposures of A1(CH 3 ) 3 and HF were employed for the thermal ALE of AI2O 3 . In yet another non-limiting example, sequential exposures of Sn(acac)2 and HF were employed for the thermal ALE of A1F 3 . In yet another non-limiting example, sequential exposures of A1(CH 3 ) 3 and HF were employed for the thermal ALE of GaN. In yet another non-limiting example, sequential exposures of

A1C1(CH 3 )2 and HF were employed for the thermal ALE of ZnS. In yet another non-limiting example, sequential exposures of either A1(CH 3 ) 3 and HF or A1C1(CH 3 )2 and HF were employed for the thermal ALE of ZnO. In yet another non-limiting example, sequential exposures of Ga[N(CH 3 ) 2 ] 3 and HF were employed for the thermal ALE of Ga 2 0 3 and ZnO.

In certain embodiments, the overall etching reaction for A1 2 0 3 using Sn(acac)2 and HF is: A1 2 0 3 + 6 Sn(acac) 2 + 6HF→ 2 Al(acac) 3 + 6 SnF(acac) + 3 H 2 0. In this reaction, the Sn(acac)2 reactant donates acac to the substrate to produce Al(acac) 3 . The HF reactant allows SnF(acac) and H 2 0 to leave as reaction products. Al(acac) 3 is a stable β- diketonate with high volatility. In certain embodiments, the formation of a stable Sn-F bond facilitates the reaction. Similar reactions occur for HfC>2 ALE. Similar reactions also occur for Al20 3 ALE using TMA and HF as the reactants. Analogous reactions occur for GaN ALE using TMA and HF as the reactants. Similar reactions occur for ZnS ALE using A1C1(CH 3 ) 2 and HF as the reactants. Analogous reactions also occur for ZnO ALE using either A1(CH 3 ) 3 and HF or A1C1(CH 3 ) 2 and HF as the reactants. Analogous reactions also occur for Ga 2 0 3 and ZnO ALE using Ga[N(CH 3 ) 2 ] 3 and HF as the reactants.

The thermal A1 2 0 3 ALE reactions were examined using quartz crystal microbalance (QCM) studies. The A1 2 0 3 film thicknesses were measured using x-ray reflectivity (XRR) and spectroscopic ellipsometry (SE) analysis. The vibrational spectra during the A1 2 0 3 ALE reactions were obtained using Fourier transform infrared (FTIR) spectroscopy. These same techniques were also used to study the thermal Hf0 2 ALE and A1F 3 ALE reactions. In certain embodiments, the QCM, XRR and SE measurements help evaluate whether the A1 2 0 3 etching is linear versus the number of reaction cycles. In certain embodiments, the QCM measurements help evaluate whether the A1 2 0 3 ALE is self-limiting versus the reactant exposure times. In certain embodiments, the FTIR analysis helps to identify the surface species during AI2O 3 ALE. In other embodiments, similar measurements are used to analyze Hf0 2 ALE, A1F 3 ALE, GaN ALE, ZnO ALE, ZnS ALE and Ga 2 0 3 ALE. This new method for ALE based on sequential, self-limiting, thermal reactions broadens the strategies for ALE reactions beyond the previous methods of using halogen adsorption and ion or energetic atom bombardment.

Definitions

As used herein, each of the following terms has the meaning associated with it in this section.

As used herein, unless defined otherwise, all technical and scientific terms generally have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Generally, the nomenclature used herein and the laboratory procedures in surface chemistry are those well-known and commonly employed in the art.

As used herein, the articles "a" and "an" refer to one or to more than one (i.e. to at least one) of the grammatical object of the article. By way of example, "an element" means one element or more than one element.

As used herein, the term "about" will be understood by persons of ordinary skill in the art and will vary to some extent on the context in which it is used. As used herein, "about" when referring to a measurable value such as an amount, a temporal duration, and the like, is meant to encompass variations of ±20%, ±10%, ±5%, ±1%, or ±0.1% from the specified value, as such variations are appropriate to perform the disclosed methods.

As used herein, the term "acac" refers to acetylacetonate.

As used herein, the term "ALD" refers to atomic layer deposition.

As used herein, the term "ALE" refers to atomic layer etching.

As used herein, the term "CVD" refers to chemical vapor deposition.

As used herein, the term "CVE" refers to chemical vapor etching.

As used herein, the term "DMAC" refers to dimethylaluminum chloride.

As used herein, the term "instructional material" includes a publication, a recording, a diagram, or any other medium of expression that may be used to communicate the usefulness of the compositions and/or methods of the invention. In certain embodiments, the instructional material may be part of a kit useful for generating a composition and/or performing the method of the invention. The instructional material of the kit may, for example, be affixed to a container that contains the compositions of the invention or be shipped together with a container that contains the compositions. Alternatively, the instructional material may be shipped separately from the container with the intention that the recipient uses the instructional material and the compositions cooperatively. For example, the instructional material is for use of a kit; instructions for use of the compositions; or instructions for use of the compositions.

As used herein, the term "FTIR" refers to Fourier Transform Infrared

Spectroscopy.

As used herein, the term "metal chelate" refers to a compound formed between a metal and at least one chelating (or poly dentate) ligand. In certain embodiments, the chelating ligand is at least one selected from the group consisting of β-diketonate, thio-β- diketonate, amidinate, acetamidinate, β-diketiminate and (substituted or non-substituted) cyclopentadienyl. In other embodiments, all the chelating ligands in the metal chelate complex are identical (e.g. , all groups are β-diketonates). In other embodiments, at least two distinct chelating ligands are present in the chelate.

As used herein, the term "metal precursor" refers to a metal chelate, a metal monodentate complex and any combinations thereof.

As used herein, the term "metal monodentate complex" refers to a compound formed between a metal and at least one monodentate ligand. In certain embodiments, the monodentate ligand is at least one selected from the group consisting of alkyl, hydride, carbonyl (carbon monoxide), halide, alkoxide, alkylamide and silylamide. In other embodiments, all the monodentate ligands in the metal monodentate complex are identical (e.g. , all alkyl groups are methyl). In other embodiments, at least two distinct monodentate ligands are present in the monodentate complex (e.g., the alkyl groups comprise methyl and ethyl).

As used herein, the term "MCPC" refers to mass change per cycle.

As used herein, the term "PID" refers to proportional-integral-derivative.

As used herein, the term "QCM" refers to quartz crystal microbalance.

As used herein, the term "SE" refers to spectroscopic ellipsometry.

As used herein, the term "TDMAG" refers to trisdimethylamido gallium.

As used herein, the term "TDMAH" refers to tetrakisdimethylamido hafnium.

As used herein, the term "TMA" refers to trimethylaluminum.

As used herein, the term "XRR" refers to X-ray reflectivity.

Throughout this disclosure, various aspects of the invention may be presented in a range format. It should be understood that the description in range format is merely for convenience and brevity and should not be construed as an inflexible limitation on the scope of the invention. Accordingly, the description of a range should be considered to have specifically disclosed all the possible sub-ranges as well as individual numerical values within that range and, when appropriate, partial integers of the numerical values within ranges. For example, description of a range such as from 1 to 6 should be considered to have specifically disclosed sub-ranges such as from 1 to 3, from 1 to 4, from 1 to 5, from 2 to 4, from 2 to 6, from 3 to 6, and so on, as well as individual numbers within that range, for example, 1, 2, 2.7, 3, 4, 5, 5.3, and 6. This applies regardless of the breadth of the range.

Disclosure

The present invention relates to the unexpected discovery of a novel method of promoting atomic layer etching of a surface using sequential, self-limiting thermal reactions with a metal precursor (such as a metal monodentate complex or a metal chelate, such as but not limited to β-diketonate) and a gaseous halide source. In certain embodiments, the sequential reactions are self-limiting. In other embodiments, the etch rates increase with temperature. In yet other embodiments, the etch rates are constant over several cycles. In yet other embodiments, the resulting etched surfaces are smooth.

As demonstrated herein in a non-limiting example, atomic layer etching (ALE) of AI2O 3 was performed using sequential, self-limiting thermal reactions with

Sn(acac)2 and HF as the reactants. Similar demonstrations were performed for HfC^ ALE. The AI2O 3 samples were AI2O 3 atomic layer deposition (ALD) films grown using trimethylaluminum and H2O; and the HF source was HF-pyridine. AI2O3 was etched linearly with atomic level precision versus number of reactant cycles. The AI2O 3 ALE occurred at temperatures from 150-250°C.

Quartz crystal microbalance (QCM) studies revealed that the sequential Sn(acac)2 and HF reactions were self-limiting versus reactant exposure. QCM measurements also determined that the MCPCs increased with temperature from -4.1 ng/(cm 2 cycle) at 150°C to -18.3 ng/(cm 2 cycle) at 250°C. These mass changes per cycle (MCPC) correspond to etch rates of 0.14 A/cycle at 150°C to 0.61 A/cycle at 250°C based on the A1 2 0 3 ALD film density of 3.0 g/cm 3 . X-ray reflectivity (XRR) analysis confirmed the linear removal of AI2O 3 and measured A1 2 0 3 ALE etch rates of 0.27 A/cycle at 200°C. The XRR

measurements also demonstrated that the AI2O 3 films did not roughen versus AI2O 3 ALE. The Fourier transform infrared (FTIR) spectroscopy analysis identified acac and A1F 3 surface species during AI2O 3 ALE.

Without wishing to be limited by any theory, the etching mechanism may yield Al(acac) 3 , SnF(acac) and H 2 0 as the reaction products. In the proposed reaction mechanism, the Sn(acac)2 reactant undergoes ligand-exchange and accepts fluorine to form SnF(acac) and donates acac to the substrate to produce Al(acac) 3 . The hydrogen atom in the HF reactant allows H 2 0 to leave as a reaction product. The HF reactant also converts a layer of AI2O 3 to AIF 3 . Without wishing to be limited by any theory, the A1F 3 adlayer is an important reaction intermediate during AI2O 3 ALE.

The presently described thermal ALE mechanism is applicable to various metal compounds, such as but not limited to metal oxides, nitrides, phosphides, sulfides and arsenides. For example, the reaction may be run with Sn(acac)2 and HF as the reactants. Sn(acac)2 reacts with fluorine to form SnF(acac), driven at least in part by the Sn-F bond enthalpy (466.5 kJ/mole in the diatomic SnF molecule). The reaction of Sn(acac)2 with fluorine to form SnF(acac) enables Sn(acac)2 to release an acac ligand to the surface. Metals form complexes with acac ligands with comparable stabilities (Morozova, et al, 2008, J. Phys. Chem. Solids 69:673-679). The hydrogen from HF can combine with oxygen, nitrogen, phosphorous or sulfur, respectively, from the metal oxide, metal nitride, metal phosphide, sulfide or arsenide, respectively, to form H 2 0, NH 3 , PH 3 , H 2 S or AsH 3 , respectively.

In certain embodiments, the amount of etching is defined by the amount of metal fluoride formed after the HF exposure and then removed by the β-diketonate exposure. In other embodiments, the metal fluoride is not volatile during the HF exposure, and the etching is self-limiting. In yet other embodiments, the reaction to form the metal fluoride is thermodynamically favorable.

ALE reactions for various metal oxides, metal nitrides, metal phosphides, metal sulfides and metal arsenides are illustrated in Table 1. The metal fluoride adlayer is an important reaction intermediate in these ALE reactions. With the exception of the metal sulfides, Table 1 illustrates that all of the formation reactions for the metal fluorides have negative AG values and are thus spontaneous. The ALE of many other metal oxides, metal nitrides, metal phosphides, metal sulfides and metal arsenides may be performed using Sn(acac)2 and HF. In addition, the ALE of metal fluorides themselves may also be performed using Sn(acac)2 and HF. The reaction efficiency may be dependent on the volatility of the metal acetylacetonate reaction product. For example, many metal oxides, in addition to AI2O 3 , may be etched by Sn(acac)2 and HF reactants including HfC>2, ZrC>2, Fe2C> 3 , C02O 3 , Cr 2 0 3 , SC2O 3 and Ga2C> 3 . These metal oxides all produce etch products, M(acac) 3 or M(acac)4, with vapor pressures of ~1 Torr at 150°C.

The ALE of elemental metals is also possible using Sn(acac)2 and HF. The ALE of elemental metals may be performed by oxidizing or nitriding the elemental metal to form a metal oxide or metal nitride, and then employing an ALE reaction for a metal oxide or metal nitride, respectively. The ALE of elemental metals may also be performed by phosphiding, sulfiding or arseniding the elemental metal to form a metal phosphide, metal sulfide or metal arsenide and then employing an ALE reaction for a metal phosphide, metal sulfide or metal arsenide, respectively.

In certain embodiments, the metal compound which is an elemental metal is directly fiuorinated to form a metal fluoride. Subsequently, the metal fluoride can be removed using a metal precursor. In other embodiments, the metal precursor releases one of its ligands to the surface. In yet other embodiments, the ligands react with the metal of the metal fluoride to form a volatile metal compound. In a non-limiting example, Ni ALE is an example of elemental metal ALE. The surface of nickel can first be fiuorinated to form NiF 2 . This reaction is favorable based on the predicted thermochemistry:

Ni + XeF 2 → NiF 2 + Xe AG = -126 kcal (at 200°C) (1).

Subsequently, Sn(acac)2 can serve as a metal precursor to accept fluorine from the NiF 2 . The acac ligands from the Sn(acac)2 can then react with the Ni to form Ni(acac)2. The overall reaction is:

NiF 2 + 2Sn(acac) 2 → 2SnF(acac) + Ni(acac) 2 (2).

Elemental metals contemplated within the invention include, but are not limited to, Ni, Cr, Co, Cu, In, Fe, Pb, Ag, Sn, Ti and Zn. Additional elemental metals contemplated within the invention include, but are not limited to, metals that form volatile metal fluorides, such as Ir, Mo, Pt, Re, Rh, Ru, Ta and W, in which case the substrate temperature is kept at a temperature where the spontaneous desorption of the metal fluoride is prevented or minimized.

The invention further contemplates the ALE of metal silicides, metal borides and metal carbides. Like the other metal compounds discussed elsewhere herein, the metal silicides, metal borides and metal carbides can first be fiuorinated using fluorine precursors such as HF or NF 3 . The metal fluoride can then be removed by subsequent ligand-exchange reactions using a metal precursor such as Sn(acac) 2 .

The sequential reactions for Ni 2 Si ALE are similar to the reactions for Ni ALE. The fluorination reactions with either HF or NF 3 are: (A) Ni 2 Si + 8HF→ 2NiF 2 + SiF 4 + 4H 2 (3), or (Α') Ni 2 Si + 8/3NF 3 → 2NiF 2 + SiF 4 + 4/3N 2 (4).

Fluorination of Ni 2 Si by HF or NF 3 are both spontaneous with AG = -86 kcal or AG = -193 kcal, respectively, at 200°C. Sn(acac) 2 then undergoes a ligand-exchange reaction to produce Ni(acac) 2 reaction products as shown by the (B) reaction.

(B) NiF 2 + 2Sn(acac) 2 → Ni(acac) 2 + 2SnF(acac) (5).

The Ni(acac) 2 reaction product has a high volatility. Similar reactions could occur for metal borides and metal carbides. The reaction products for metal borides and metal carbides are metal fluorides and either BF 3 or CF 4 , respectively.

The invention further contemplates the ALE of metal selenides and metal tellurides. Like the other metal compounds discussed elsewhere herein, the metal selenides and metal tellurides can first be fluorinated using fluorine precursors. The metal fluoride can then be removed by subsequent ligand-exchange reactions using a metal precursor. For example, F 2 could be used as the fluorine precursor and Sn(acac) 2 could be used as the metal precursor for CdSe ALE or CdTe ALE.

Table 1. Atomic Layer Etching Reactions for Various Materials (AG at 200°C)

Metal Oxides

Overall: A1 2 0 3 + 6 Sn(acac) 2 + 6HF→ 2 Al(acac) 3 + 6 SnF(acac) + 3 H 2 0

Fluoride Formation: A1 2 0 3 + 6 HF→ 2 A1F 3 + 3 H 2 0 AG = -58 kcal

Overall: Hf0 2 + 4 Sn(acac) 2 + 4 HF→ Hf(acac) 4 + 4 SnF(acac) + 2 H 2 0

Fluoride Formation: Hf0 2 + 4 HF→ HfF 4 + 2 H 2 0 AG = -19 kcal

Metal Nitrides

Overall: GaN + 3 Sn(acac) 2 + 3HF→ Ga(acac) 3 + 3 SnF(acac) + NH 3

Fluoride Formation: GaN + 3 HF→ GaF 3 + NH 3 AG = -40 kcal

Overall: InN + 3 Sn(acac) 2 + 3HF→ In(acac) 3 + 3 SnF(acac) + NH 3

Fluoride Formation: InN +→ InF 3 + NH 3 AG = -66 kcal

Metal Phosphides

Overall: A1P+ 3 Sn(acac) 2 + 3 HF→ Al(acac) 3 + 3 SnF(acac) + PH 3

Fluoride Formation: A1P+ 3 HF→ A1F 3 + PH 3 AG = -91 kcal

Overall: InP+ 3 Sn(acac) 2 + 3HF→ In(acac) 3 + 3 SnF(acac) + PH 3 Fluoride Formation: InP+ 3HF→ InF 3 + PH 3 AG = -39 kcal

Metal Sulfides

Overall: ZnS+ 2 Sn(acac) 2 + 2HF ► Zn(acac)2 + 2 SnF(acac) + H 2 S

Fluoride Formation: ZnS+ 2 HF ► ZnF 2 + H 2 S AG = +6 kcal

Overall: PbS+ 2 Sn(acac) 2 + 2HF ► Pb(acac) 2 + 2 SnF(acac) + H 2 S

Fluoride Formation: PbS+ 2 HF - PbF 2 + H 2 S AG = +2 kcal

Metal Arsenides

Overall: GaAs+ 3 Sn(acac) 2 + 3 HF ► Ga(acac)3 + 3 SnF(acac) + AsH 3

Fluoride Formation: GaAs+ 3 HF - GaF 3 + AsH 3 AG = -21 kcal

Overall: InAs + 3 Sn(acac) 2 + 3HF - In(acac) 3 + 3 SnF(acac) + AsH 3

Fluoride Formation: InAs + 3 HF - InF 3 + AsH 3 AG = -30 kcal

In certain embodiments, other Sn β-diketonates may also serve as etching reactants besides Sn(acac) 2 . Many other β-diketone ligands on the Sn metal center are useful within the invention, such as hfac (hexafluoroacetylacetonate), tfac (trifluroacetylacetonate), and tmhd (tetramethylheptanedionate). Different β-diketone ligands can change the physical properties of the metal β-diketonate. For example, fluorine-substituted β-diketone ligands generally show higher vapor pressure.

In certain embodiments, other metal β-diketonates, in addition to Sn-based compounds, react with HF to yield β-diketone ligands that could then form the etch products. The possible advantage of using different metal β-diketonates may be their more favorable vapor pressure, thermal stability, reaction kinetics or thermochemistry. Different metals may also form β-diketonates with the metal in various oxidation states, β-diketonates with metals in higher oxidation numbers have a higher number of β-diketone ligands.

In certain embodiments, the metal precursor comprises a metal alkyl. In a non-limiting example, A1(CH 3 ) 3 (also known as trimethylaluminum or TMA - a Group III metal alkyl) is used as a metal alkyl for A1 2 0 3 ALE. In other embodiments, TMA can be used as a metal precursor for A1 2 0 3 ALD. In yet other embodiments, TMA can be used as a metal precursor for A1 2 0 3 ALE. In yet other embodiments, ALD precursors for a particular material may also be used for the ALE of the same material.

In certain embodiments, other Group III metals, such as boron, gallium and indium are used as metal alkyls, such as but not limited to B(CH 3 ) 3 , Ga(CH 3 ) 3 and In(CH 3 ) 3 . In other embodiments, non-Group III metal alkyls, such as Zn(CH 2 CH 3 ) 2 , are useful within the methods of the invention.

In certain embodiments, the halogen-containing gas comprises a hydrogen halide. In other embodiments, the hydrogen halide comprises HF. In yet other embodiments, the halogen-containing gas comprises at least one selected from the group consisting of F 2 , C1F 3 , NF 3 , SF 6 , SF 4 , XeF 2 , Cl 2 , Br 2 , BCI 3 and I 2 . In yet other embodiments, the hydrogen halide comprises HCl, HBr or HI. In yet other embodiments, the halogen-containing gas may be ionized in a plasma to produce halogen radicals or ions.

The thermochemical free energies for the reaction of non-limiting fluorine- containing gases with aluminum oxide are illustrated below:

A1 2 0 3 + 6HF →2A1F 3 + 3H 2 0 AG = -58 kcal

A1 2 0 3 + 2C1F 3 → 2A1F 3 + C1 2 0 + 0 2 AG = -223 kcal

A1 2 0 3 + 3F 2 → 2A1F 3 + 3/20 2 AG = -297 kcal

A1 2 0 3 + 2NF 3 → 2A1F 3 + NO + N0 2 AG = -230 kcal

A1 2 0 3 + SF 6 → 2A1F 3 + S0 3 AG = -130 kcal

2A1 2 0 3 + 3SF 4 → 4A1F 3 + 3S0 2 AG = -313 kcal

A1 2 0 3 + 3XeF 2 → 2A1F 3 + 2Xe + 3/20 2 AG = -258 kcal

In certain embodiments, the ALE of metal oxides utilizes HCl or HBr instead of HF as a reactant. For example, the reaction of HCl with Sn(acac) 2 produces SnCl(acac). The Sn-Cl bond energy in the diatomic SnCl molecule is 414 kJ/mole, which is only slightly less than the bond energy of 466.5 kJ/mole in the diatomic SnF molecule. In certain embodiments, metal chlorides or bromides may be less stable compared with the

corresponding metal fluorides. In other embodiments, HCl or HBr are used for the ALE of metal sulfides.

The absolute etch rate may also differ between various metal oxides during ALE with Sn(acac) 2 and HF as the reactants. The etch rate may be dependent upon the residual coverage of SnF(acac)* species on the metal oxide surface. Higher SnF(acac)* coverages may block surface sites and prevent the adsorption of Sn(acac) 2 or formation of the metal fluoride adlayer, reducing etching rates. In certain embodiments, the temperature dependence of the Al 2 0 3 ALE may be attributed to the lower residual coverage of SnF(acac)* surface species at higher temperatures. Other ligands in addition to acac in the metal β- diketonate may also alter the residual coverage of species on the metal oxide surface and change the etch rate.

The methods of the invention allow for selective ALE, wherein one material is etched preferentially in the presence of at least one other material.

In certain embodiments, selective ALE can be achieved based on the choice of the particular reactants for ALE. For example, etching selectivity may occur in the fluorination process and/or the ligand exchange process with the metal precursor. In a non- limiting example, depending on the reaction kinetics and thermochemistry, the fluorine reactants selectively fluorinate a particular material over the remaining materials. In another non-limiting example, ALE selectivity is achieved in the ligand exchange process. When the metal precursor accepts a fluorine from the metal fluoride layer, the metal precursor donates a ligand to the metal in the metal fluoride, thus forming a reaction product. Depending on the nature of the ligand, the reaction products formed after ligand exchange have distinct stabilities and volatilities. Differences in stability and volatility of the reaction products may be used to achieve selective ALE.

Table 2 provides examples of various metal precursor families and the ligand- exchange process during their reaction with metal fluorides, MF X . Selectivity could obtained depending on the stability and volatility of the metal reaction product derived from MF X . For example, one may consider metal precursors for selectivity between A1N and ZrC>2. In certain embodiments, A1(CH 3 ) 3 removes A1F 3 during A1N ALE, because A1(CH 3 ) 3 or A1F(CH 3 ) 2 are stable and volatile metal reaction products. In other embodiments, A1(CH 3 ) 3 does not remove ZrF4 to perform Zr0 2 ALE because Zr(CH 3 )4 or ZrF(CH 3 ) 3 are not stable metal reaction products. In yet other embodiments, Ni(Cp)2 removes ZrF 4 during Zr0 2 ALE because ZrF 2 (Cp)2 is a stable and volatile metal reaction product. In yet other embodiments, Ni(Cp)2 does not remove A1F 3 to perform A1N ALE because AlCp 3 or AlF(Cp)2 are not stable reaction products.

Table 2. Metal Precursors for Reaction with Metal Fluoride and Metal Reaction Products

Donate Cp or MF z (Cp) v-z

Silylamide Zn(N(SiMe 3 ) 2 ) 2 Accept Fluorine M(N(SiMe 3 ) 2 ) y or

Donate -N(SiMe 3 ) 2 MF z (N(SiMe 3 ) 2 ) v-z

Alkoxide Al(OCH 2 CH 3 ) 3 Accept Fluorine M(OCH 2 CH 3 ) y or

Donate -OCH 2 CH 3 MF z (OCH 2 CH3) v- i

Amidinate (amd) Zn(amd)2 Accept Fluorine M(amd) y

Donate amd or MF z (amd) v-z

Alkylamide Ga(N(CH 3 ) 2 ) 3 Accept Fluorine M(N(CH 3 ) 2 ) y or

Donate -N(CH 3 ) 2 MF Z (N(CH 3 ) 2 ) V-Z

Alkyl Chloride A1C1(CH 3 ) 2 Accept Fluorine MCCH^ or MCl x (CH 3 ) y

Donate CI or -CH 3 or MCl w F z (CH 3 ) v-z-w

In certain embodiments, selective ALE is achieved by controlling the temperature of the process of the invention. For example, for A1 2 0 3 ALE based on TMA and HF, one may grow A1F 3 by A1F 3 ALD or etch the initial A1 2 0 3 substrate by A1 2 0 3 ALE

depending on temperature.

In certain embodiments, selective ALE is achieved using molecular adsorbates that bind to one material, and not another material. These molecular adsorbates act as surface blocking groups that will prevent the adsorption of the fluorine precursor or metal precursor.

In other embodiments, these "molecular masks" allow for selective ALE. A wide range of ligands are contemplated as "molecular masks" within the invention. In certain

embodiments, these ligands adsorb to the metal center of the material, and/or bind to the surface via Lewis acid or Lewis base sites on the material. As a non-limiting example, amines, such as NH 3 or pyridine, can adsorb to Lewis acid sites on the material and act as site-blocking species. As a non-limiting example, BF 3 can adsorb to Lewis base sides on the material and act as site-blocking species.

In certain embodiments, the thermal ALE approach is advantageous as compared with ALE based on halogen adsorption and ion or energetic neutral noble atom bombardment. The thermal ALE approach avoids any damage to the underlying substrate resulting from high energy ions or energetic neutrals (ions from plasmas cause performance degradation of high-k/metal gate stacks). ALE based on ion or neutral noble atom

bombardment also requires line-of-sight to the substrate. This line-of-sight requirement is detrimental if conformality is required for the etching, and is also limited to the relatively small surface areas that are subjected to ion or neutral noble atom bombardment. The

presently described thermal ALE approach is useful for etching high surface area and high aspect ratio structures. Compositions

The invention provides a solid substrate obtained according to the methods of the invention. The invention further provides a cleaned substrate (i.e., a substrate from which impurities are at least partially removed) obtained according to the methods of the invention. The invention further provides a porous substrate obtained according to the methods of the invention. The invention further provides a 3D structure with reduced feature sizes obtained according to the methods of the invention. The invention further provides a patterned solid substrate obtained according to the methods of the invention. The invention further provides a smoothened solid substrate obtained according to the methods of the invention.

Methods

The invention provides a method of promoting atomic layer etching on a solid substrate comprising a first metal compound.

The invention further provides a method of cleaning a solid substrate, wherein a portion of the surface of the solid substrate has exposed first metal compound.

The invention further provides a method of patterning a solid substrate, wherein a portion of the surface of the solid substrate has exposed first metal compound.

The invention further provides a method of selectively removing a portion of a solid substrate, wherein the solid substrate comprises a first metal compound.

The invention further provides a method of smoothing the surface of a solid substrate, wherein the solid substrate comprises a first metal compound. Smoothing of surfaces is of interest in the semiconductor industry. Smoothing may be used to obtain damage-free layers. Sputtering can be used to remove some materials, but can leave a rough, damaged surface. ALE can be used to remove the damaged layer and smooth the surface to produce a "damage-free surface." Surface smoothing can also be used to obtain very high quality ultrathin films. For example, high quality ultrathin films can be produced by a "deposit/etch back" strategy by depositing a thicker film and then etching back to a thinner film. In a non-limiting embodiment, nucleation effects can lead to roughness in the ultrathin deposited film; once a continuous and pinhole-free thicker film is formed, ALE can etch this film back and obtain a smoother surface than would have been produced by growing to this ultrathin thickness.

In certain embodiments, the method comprises (a) contacting the solid substrate with a gaseous second metal precursor, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof, whereby a first metal product is formed. In other embodiments, the method further comprises (b) contacting the solid substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed as a product on the surface. In yet other embodiments, the method further comprises optionally repeating steps (a) and (b) one or more times.

The invention further provides a method of promoting chemical vapor etching

(CVE) on a solid substrate comprising a first metal compound.

In certain embodiments, the method comprises contacting the solid substrate with a gaseous precursor of a second metal and a halogen-containing gas, wherein the precursor comprises at least one selected from the group consisting of monodentate ligands, chelates and any combinations thereof.

The invention further provides a method of forming and/or enlarging pores in a porous substrate, wherein the porous substrate comprises a first metal compound.

In certain embodiments, ALE can be used to reduce the feature size of 3D architectures. The gas phase, isotropic etching obtained using thermal ALE can reduce feature sizes and mass conformally with atomic level precision versus the number of ALE reaction cycles. Applications include reducing the width of FinFET channels in MOSFET structures and reducing the diameter and mass of nanowires and quantum dots.

In certain embodiments, the method comprises (a) contacting the porous substrate with a gaseous precursor of a second metal, whereby a first metal precursor is formed. In other embodiments, the method further comprises (b) contacting the porous substrate formed in step (a) with a halogen-containing gas, whereby first metal halide is formed. In yet other embodiments, the method further comprises optionally repeating steps (a) and (b) one or more times.

In certain embodiments, the monodentate ligand comprises at least one selected from the group consisting of alkyl, hydride, carbonyl, halide, alkoxide, alkylamide and silylamide.

In certain embodiments, the alkyl group comprises at least one selected from the group consisting of methyl, ethyl, ^-propyl, and isopropyl.

In certain embodiments, the chelate comprises at least one selected from the group consisting of β-diketonate, amidinate, acetamidinate, β-diketiminate, diamino alkoxide (such as, but not limited to, l-dimethylamino-2-methyl-2-propanolate or dmamp), and metallocene (such as, but not limited to, cyclopentadienyl or Cp).

In certain embodiments, the ligands on the metal precursor may be combinations of monodentate ligands, combinations of chelate ligands or combinations of monodentate and chelate ligands.

In certain embodiments, the solid substrate is contained in a single system and is sequentially contacted with the gaseous compound of the second metal in step (a) and the halogen-containing gas in step (b). In other embodiments, the solid substrate is purged with an inert gas after step (a) and/or step (b). In yet other embodiments, the inert gas comprises N 2 and/or Ar. In yet other embodiments, step (a) and/or step (b) is/are run at a temperature that is equal to or greater than a value ranging from about 100°C to about 450°C.

In certain embodiments, the gaseous compound of the second metal in step (a) and the halogen-containing gas in step (b) are positioned at separate locations. The two reactants are separated in space rather than time (i.e. , spatial ALE). The solid substrate is physically moved from one reactant location to another reactant location.

In certain embodiments, the first metal compound comprises at least one selected from the group consisting of metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, and hybrid organic-inorganic material (such as, but not limited to, metalcones, such as alucone, Lee et al , 2013, Adv. Funct. Mater. 23:532).

In certain embodiments, the solid substrate is first submitted to a chemical treatment that results in the formation, on at least a portion of the surface of the solid substrate, of a metal compound selected from the group consisting of a metal oxide, metal nitride, metal phosphide, metal sulfide, metal arsenide, metal fluoride, metal silicide, metal boride, metal carbide, metal selenide, metal telluride, elemental metal, metal alloy, and hybrid organic-inorganic material. In yet other embodiments, the first metal comprises at least one selected from the group consisting of Al, Hf, Zr, Fe, Ni, Co, Mn, Mg, Rh, Ru, Cr, Si, Ti, Sc, Ga, In, Zn, Pb, Ge, Ta, Cu, W, Mo, Pt, Cd and Sn.

In certain embodiments, the metal compound is an elemental metal that is directly fluorinated to form a metal fluoride.

In certain embodiments, the first metal compound is deposited on an inert substrate. In other embodiments, the inert substrate comprises Si. In yet other embodiments, the inert substrate comprises a Si wafer.

In certain embodiments, the second metal comprises at least one selected from the group consisting of Sn, Ge, Al, B, Ga, In, Zn, Ni, Pb, Si, Hf, Zr and Ti. In other embodiments, the β-diketonate comprises acac (acetylacetonate), hfac

(hexafluoroacetylacetonate), tfac (trifluroacetylacetonate), and tmhd (tetramethylheptanedionate).

In certain embodiments, the halogen-containing gas comprises a hydrogen halide. In other embodiments, the hydrogen halide comprises HF. In yet other embodiments, the halogen-containing gas comprises at least one selected from the group consisting of F 2 , C1F 3 , NF 3 , SF 6 , SF 4 , XeF 2 , CI2, Br 2 , BCI 3 and h. In yet other embodiments, the hydrogen halide comprises HCl, HBr or HI. In yet other embodiments, the halogen-containing gas may be ionized in a plasma to produce halogen radicals or ions.

In certain embodiments, the solid substrate is pretreated by sequential contacting with a gaseous compound of a second metal, and a halogen-containing gas. In other embodiments, the first metal compound is formed using atomic layer deposition. In yet other embodiments, each cycle of step (a) and step (b) is self-limiting. In yet other embodiments, each cycle of step (a) and step (b) allows for about the same etch rate and/or mass loss of the solid substrate. In yet other embodiments, the resulting etched solid substrate has about the same or lower surface roughness as the starting solid substrate. In yet other embodiments, the density of the etched solid substrate is about the same as of the starting solid substrate. In yet other embodiments, the refractive index of the etched solid substrate is about the same as of the initial solid substrate. In yet other embodiments, the solid substrate comprises a carbon composite.

In certain embodiments, the surface of the starting solid substrate is at least partially masked, whereby only a portion of the surface of the solid substrate is exposed. In other embodiments, the surface of the starting solid substrate is at least partially masked with a photoresist. In yet other embodiments, the solid substrate is further patterned using a technique selected from the group consisting of monolayer self-assemblying, nano-imprint and stamping.

A non-limiting illustration of a method of the invention is provided in Fig. 28.

Without wishing to be limited by any theory, fluorination takes place, and then a possibly wide range of metal precursors can remove the metal fluoride layer through a ligand exchange process. In this process, the metal precursor accepts fluorine from the metal fluoride. The ligands on the metal precursor bind with the metal or metals in the metal fluoride to form volatile metal species.

Every formulation or combination of components described or exemplified can be used to practice the invention, unless otherwise stated. Specific names of compounds are intended to be exemplary, as it is known that one of ordinary skill in the art can name the same compounds differently. When a compound is described herein such that a particular isomer or enantiomer of the compound is not specified, for example, in a formula or in a chemical name, that description is intended to include each isomer and/or enantiomer of the compound described individual or in any combination. Although the description herein contains many embodiments, these should not be construed as limiting the scope of the invention but as merely providing illustrations of some of the presently preferred

embodiments of the invention.

Those skilled in the art will recognize, or be able to ascertain using no more than routine experimentation, numerous equivalents to the specific procedures, embodiments, claims, and examples described herein. Such equivalents were considered to be within the scope of this invention and covered by the claims appended hereto. For example, it should be understood, that modifications in reaction conditions, including but not limited to reaction times, reaction temperature and pressure, reaction size/volume, and experimental reagents, such as solvents, catalysts, pressures, atmospheric conditions, e.g., nitrogen atmosphere, and reducing/oxidizing agents, with art-recognized alternatives and using no more than routine experimentation, are within the scope of the present application. In general the terms and phrases used herein have their art-recognized meaning, which can be found by reference to standard texts, journal references and contexts known to those skilled in the art. Any preceding definitions are provided to clarify their specific use in the context of the invention.

The following examples further illustrate aspects of the present invention. However, they are in no way a limitation of the teachings or disclosure of the present invention as set forth herein.

EXAMPLES

The invention is now described with reference to the following Examples. These Examples are provided for the purpose of illustration only, and the invention is not limited to these Examples, but rather encompasses all variations that are evident as a result of the teachings provided herein.

Materials and Methods

Viscous Flow Reactor Equipped for in situ QCM Measurements

The ALE reactions at 150-300°C were performed in a viscous flow ALD reactor (Elam, et al , 2002, Rev Sci Instrum 73:2981-2987). A proportional-integral- derivative (PID) temperature controller (2604, Eurotherm) stabilized the temperature in the reactor to within ±0.04°C. A capacitance manometer (Baratron 121 A, MKS) measured the pressure in the reactor. The ALD reactor was equipped with an in situ quartz crystal microbalance (QCM) (Elam, et al, 2002, Rev Sci Instrum 73:2981-2987). The RC-cut quartz crystal (Riha, et al , 2012, Rev Sci Instrum 83) (gold coated and polished, 6 MHz, Colnatec) was placed in a sensor head (BSH-150, Inficon) and then sealed with high temperature epoxy (Epo-Tek H21D, Epoxy technology). All in situ QCM measurements were recorded by a thin film deposition monitor (Maxtek TM-400, Inficon).

The AI2O 3 ALE reactions in Example 1 were performed using sequential exposure of tin(II) acetylacetonate (Sn(acac) 2 , 37-38% Sn, Gelest) and HF-pyridine (70 wt% HF, Sigma- Aldrich). These precursors are illustrated in Fig. 1. HF-pyridine is a liquid at room temperature and has an equilibrium with gaseous HF (Olah, et al , 1973, Synthesis- Stuttgart 779-780). Use of gaseous HF from HF-pyridine enables the safe handling of anhydrous HF.

Sn(acac) 2 and HF-pyridine were transferred to stainless steel bubblers in a dry N 2 -filled glove bag. The stainless steel bubbler containing Sn(acac) 2 was held at 100°C to produce a vapor pressure of 15-20 mTorr during dosing. The AI2O 3 films were grown with AI2O 3 ALD using TMA (97 %, Sigma-Aldrich) and H 2 0 (Chromasolv for HPLC, Sigma- Aldrich). The Hf0 2 films were grown with Hf0 2 ALD using tetrakisdimethylamido hafnium (TDMAH) (>99.99%, Sigma-Aldrich) and H 2 0. TDMAH was transferred to a stainless steel bubbler and maintained at 67°C to produce a vapor pressure of 20 mTorr during dosing.

The GaN films were grown with GaN ALD using trisdimethylamido gallium

(TDMAG) (98 %, Sigma-Aldrich) and NH 3 (Airgas 99.99+%). TDMAG was transferred to a stainless steel bubbler and maintained at 115 °C to produce a vapor pressure of 20 mTorr during dosing. TMA was also used for ALE reactant. Dimethylaluminum chloride (DMAC) (97%, Sigma-Aldrich) was also used for ALE reactant. TDMAG was also used for ALE reactant. The HF-pyridine, TMA, H 2 0, and NH 3 precursors were maintained at room temperature.

The reactor was pumped using a mechanical pump (Pascal 2015SD, Alcatel). Three separate mass flow controllers (Type 1179A, MKS) delivered a constant total flow of 150 seem of ultra-high purity (UHP) N 2 carrier gas into the reactor. Additional N 2 gas flow of 20 seem using a metering bellows-sealed valve (SS-4BMG, Swagelok) prevented deposition on the backside of the QCM crystal (Elam, et al, 2002, Rev Sci Instrum 73:2981- 2987). The total N 2 gas flow of 170 seem produced a base pressure of ~1 Torr in the reactor.

Si Wafers, X-ray Reflectivity and Spectroscopic Ellipsometry Boron-doped Si (100) wafers (p-type, Silicon Valley Microelectronics) were cut into samples with dimensions of 2.5 cm by 2.5 cm. These substrates were used for AI2O 3 ALD deposition. The Si wafers were first rinsed with acetone, isopropanol, and deionized water. Subsequently, the Si wafers were dried with UHP N 2 gas.

The ex situ x-ray reflectivity (XRR) scans were recorded by a high resolution x-ray diffractometer (Bede Dl, Jordan Valley Semiconductors) using Cu Κα (λ = 1.540 A) radiation. The filament voltage and current in the x-ray tube were 40 kV and 35 mA, respectively. A 10 arcsec step size and a 5 s acquisition time were used for recording all XRR scans with a range of 300 to 6000 arcsec. The analysis software (Bede REFS, Jordan Valley Semiconductors) fitted the XRR scans to determine film thickness, film density and surface roughness.

Spectroscopic ellipsometry (SE) determined the film thicknesses and refractive index (Shannon, et al, 2002, J Phys Chem Ref Data 31 :931-970). The

measurement of Ψ and Δ were recorded using a spectroscopic ellipsometer (M-2000, J. A. Woollam) with a spectral range of 240 to 1700 nm and an incidence angle of 75°. The analysis software (CompleteEASE, J. A. Woollam) fitted Ψ and Δ based on a Sellmeier model to determine the thicknesses and refractive index of the film (Shannon, et al, 2002, J Phys Chem Ref Data 31 :931-970). Transmission Fourier Transform Infrared (FTIR) Spectroscopy

The FTIR spectrometer used was a Nicolet 6700 FTIR from Thermo

Scientific, which was equipped with a high-sensitivity liquid-N 2 -cooled mercury cadmium telluride (MCT-B) detector. The spectrometer, mirror, and detector setup were purged with dry, C0 2 -free air. A total of 100 scans at 4 cm "1 resolution from 400 to 4,000 cm "1 were recorded for each collected spectrum.

The transmission FTIR measurements were conducted in a custom vacuum cahmber. High surface area Zr0 2 nanoparticles with an average diameter of 20 nm were used to improve the signal-to-noise ratio. Some of the transmission FTIR measurements on AI2O 3 ALE also utilized S1O2 nanoparticles. The transmission FTIR measurements on HfC>2 ALE also utilized S1O2 nanoparticles. Sample preparation involved pressing the ZrC>2 or S1O2 nanoparticles into a tungsten grid support. The tungsten grids were 2 x 3 cm 2 . Each grid was 50 μιτι thick with 100 grid lines per inch. The tungsten grid could be resistively heated using a DC power supply.

A consistent cleaning procedure of the ZrC>2 nanoparticles/grid support was used to produce a reproducible starting surface. Prior to film deposition, the temperature of the sample support was increased to 500°C for about 2 minutes to clear adventitious carbon from the ZrC>2 nanoparticles. A H 2 0 dose was then used to rehydroxylate the Zr0 2 surface. The S1O2 nanoparticles were not subjected to the heating pretreatment because the S1O2 nanoparticles are much more difficult to rehydroxylate after annealing.

Example 1:

In certain aspects, this example illustrates AI2O 3 ALE using Sn(acac)2 and HF as the reactants. Fig. 2 illustrates the mass change during 100 ALE cycles of Sn(acac)2 and HF reactions on an A1 2 0 3 surface at 200°C. The initial A1 2 0 3 ALD film on the QCM surface was prepared by 100 cycles of A1 2 0 3 ALD using TMA and H 2 0 at 200°C. One ALE cycle consisted of a Sn(acac)2 dose of 1 s, an N2 purge of 30 s, a HF dose of 1.0 s, and a second N2 purge of 30 s. This reaction sequence is denoted as 1-30-1-30. Pressure transients during Sn(acac)2 and HF doses were 20 mTorr and 80 mTorr, respectively.

The etching of the A1 2 0 3 film in Fig. 2 is very linear and displays a mass change per cycle (MCPC) of -8.4 ng/(cm 2 cycle). This MCPC corresponds to an etch rate of 0.28 A/cycle based on the A1 2 0 3 ALD film density of 3.0 g/cm 3 measured by XRR. All ALE cycles showed mass loss resulting from the etching of the A1 2 0 3 film except during the first ALE cycle. The first cycle displays mass gains of AMs n = 57 ng/cm 2 and AM H F = 13 ng/cm 2 .

Without wishing to be limited by any theory, the mass gain for AMs n on the first cycle may be attributed to Sn(acac)2 adsorption on the hydroxylated Al20 3 surface. Sn(acac)2 could adsorb either associatively as Sn(acac)2* or dissociatively as Sn(acac)* and (acac)*, where the asterisks designate a surface species. This adsorption would lead to a mass increase. In addition, the mass gain for AMHF on the first cycle may be attributed to the formation of A1F 3 by the reaction of HF with the underlying A1 2 0 3 surface. The reaction A1 2 0 3 + 6HF→ 2A1F 3 + 3H 2 0 is spontaneous with AG= -58 kcal at 200°C. This first cycle establishes the initial Sn(acac)2 and A1F 3 species on the A1 2 0 3 substrate.

Fig. 3 corresponds to an enlargement of the mass losses versus time at 200°C for three cycles in the steady state linear etching regime in Fig. 2. There was a gradual mass decrease after a short mass gain coinciding with the Sn(acac)2 exposure. This behavior suggests Sn(acac)2 adsorption, followed by either Sn(acac)2 desorption and/or the removal of reaction products. A mass loss of AMs n of -8.1 ng/cm 2 was observed after 1.0 s of Sn(acac)2 exposure. In contrast, the HF exposure led to little mass loss. A mass loss of AMHF of -0.28 ng/cm 2 was observed after 1.0 s of HF exposure.

Figs. 4A-4B illustrate the self-limiting nature of the AI2O 3 ALE reactions at 200°C. These MCPCs were measured at different reactant exposure times.

Fig. 4A illustrates the self-limiting behavior of the Sn(acac)2 reaction using different Sn(acac)2 exposure times with a single 1.0 s exposure of HF. A constant N 2 purge of 30 s was used after each exposure. This reaction sequence can be denoted as x-30-1-30. The MCPC versus Sn(acac)2 exposure time decreased quickly and leveled off at MCPC of -8 ng/(cm 2 cycle).

Fig. 4B illustrates the self-limiting behavior of the HF reaction using different HF exposure times with a single 1.0 s exposure of Sn(acac)2. This reaction sequence can be denoted as 1-30-X-30. The MCPC versus HF exposure time decreased and then leveled off at MCPC of -8 ng/(cm 2 cycle). Figs. 4A-4B together show that the Sn(acac)2 and HF reactions display self-limiting behavior. The MCPC of -8 ng/(cm 2 cycle) was independent of purge time for purge times between 20 s and 120 s.

Fig. 5 illustrates the mass change during 100 ALE cycles of Sn(acac)2 and HF reactions on an AI2O 3 surface at 150°C using a reaction sequence of 1-30-1-30. The initial AI2O 3 film was prepared by 100 cycles of A1 2 0 3 ALD using TMA and H 2 0 at 150°C. The etching of the AI2O 3 film was very linear and displayed a mass change per cycle (MCPC) of - 4.1 ng/(cm 2 cycle). This MCPC corresponds to an etch rate of 0.14 A/cycle based on the AI2O 3 ALD film density of 3.0 g/cm 3 measured by XRR.

Fig. 6 illustrates an enlargement of the mass losses versus time at 150°C for three cycles in the steady state linear etching regime in Fig. 5. There are distinct differences between the mass changes during the etching reactions at 200°C and 150°C. A mass gain of AMs n of +0.19 ng/cm 2 was observed after the Sn(acac)2 exposure for 1.0 s at 150°C. In contrast, a mass loss of AMs n of -8.1 ng/cm 2 was obtained at 200°C. This difference may be attributed to more stable Sn(acac)2 reaction products on the surface at 150°C.

A mass decrease of AMHF of -4.3 ng/cm 2 was observed after the HF exposure for 1.0 s at 150°C. This mass decrease was much larger than the mass decrease of AMHF of - 0.28 ng/cm 2 at 200°C. Without wishing to be limited by any theory, if more Sn(acac)2 reaction products remain on the surface following the Sn(acac)2 exposure at 150°C, then there are more Sn(acac)2 reaction products that can be lost during the HF reaction. This behavior would explain the mass gain after the Sn(acac)2 exposure and larger mass loss after the HF exposure at 150°C.

The AMsn, AMHF, and MCPC values at all the reaction temperatures are illustrated in Figs. 7A-7B. All ALE reactions were performed using a reaction sequence of 1- 30-1-30 on initial AI2O 3 surfaces. Fig. 7A illustrates the AMs n and AMHF values obtained at different reaction temperatures. AMs n displayed a slight mass gain at 150°C and

progressively larger mass losses at higher temperatures. In contrast, AMHF displayed a mass loss at temperatures between 150-200°C and mass gains at higher temperatures.

Fig. 7B illustrates the MCPC where MCPC = AM SN + AMHF- All the temperatures displayed a mass loss and the mass loss was larger at higher temperatures. The MCPC in Fig. 7B correlated with AMs n in Fig. 7A. This correspondence shows that the mass change during the Sn(acac)2 reaction is primarily responsible for the temperature dependence of the mass loss during AI2O 3 ALE. AMs n , AMHF, and MCPC at the different reaction temperatures are summarized in Table 3.

Table 3. AM S „, AM H F and MCPC for A1 2 0 3 ALE at different temperatures.

AI2O3 ALE was also examined using ex situ XRR studies. For these experiments, AI2O 3 ALD films with a thickness of 172 A were grown on Si(100) wafers at 200°C. These A1 2 0 3 ALD films were deposited using 150 cycles of TMA and H 2 0 with a reaction sequence of 1-20-1-20. Fig. 8 illustrates XRR scans of the AI2O 3 ALD films on the Si wafers versus number of Sn(acac)2 and HF reaction cycles at 200°C. The XRR scans are consistent with very uniform and smooth AI2O 3 films.

Fig. 8, curve (a) shows the XRR scan of the initial AI2O 3 ALD film grown on Si(100). The A1 2 0 3 ALD film thickness of 172 A can be obtained by fitting the reflected x- ray intensity versus incident angle. Fig. 8, curves (b)-(e) illustrate XRR scans of the etched AI2O 3 film after 50, 100, 200, and 400 ALE cycles at 200°C, respectively. The A1 2 0 3 thicknesses decrease with increasing number of ALE cycles. This decreasing film thickness is in agreement with the decrease in the modulation of the x-ray intensity with higher numbers of ALE cycles.

The position of the critical angle of all the etched AI2O 3 films is constant. This constant critical angle indicates that there was no change of the film density during the ALE reactions. The etched AI2O 3 films were also very smooth and did not roughen versus AI2O 3 ALE. The XRR measurements yielded a roughness of the initial AI2O 3 ALD film of 5 A. The surface roughness decreased slightly to 2-3 A after 50, 100, 200, and 400 ALE cycles. The error in these XRR surface roughness measurements is <1 A.

Fig. 9 illustrates the XRR measurements of the initial AI2O 3 film thickness and the AI2O 3 film thickness after 50, 100, 200, and 400 ALE cycles at 200°C. For the A1 2 0 3 films with an initial thickness of 172 A in Fig. 9, curve (a), the film thickness versus number of ALE cycles was very linear and yielded an etch rate of 0.27 A/cycle. The spectroscopic ellipsometry (SE) measurements on these same samples yielded an etch rate of 0.27 A/cycle with an initial AI2O 3 ALD film thickness of 166 A. The initial thickness of the AI2O 3 film was not used to obtain the etch rate because of the mass gain that occurs on the first ALE cycle.

The y-intercepts for the linear least squares fitting in Fig. 9, curve (a), were 176 A and 169 A by XRR and SE, respectively. These thicknesses were slightly higher than initial thicknesses of 172 A and 166 A measured by XRR and SE, respectively. These larger thicknesses originate from the mass gain that occurs during nucleation of the ALE process on the first ALE cycle. The SE analysis also determined a refractive index of n=1.70 for the AI2O 3 film at a wavelength of 589 nm. This refractive index for the AI2O 3 film remained at n=l .69-1.70 after 50, 100 and 200 ALE cycles.

XRR measurements were also performed on AI2O3 ALD films with a thickness of 113 A that were grown on Si(100) wafers. These AI2O 3 ALD films were deposited at 200°C using 100 cycles of TMA and H 2 0 with a reaction sequence of 1-20-1-20. Fig. 9, curve (b), displays the film thickness versus number of Sn(acac)2 and HF reaction cycles at 200°C. The XRR measurements yielded an A1 2 0 3 ALE etch rate of 0.26 A/cycle. The SE measurements also yielded an etch rate of 0.25 A/cycle with an initial AI2O 3 ALD film thickness of 109 A. The initial thickness of the AI2O 3 film was again not employed to determine the etch rate because of the mass gain that occurs on the first ALE cycle.

The y-intercepts for the linear least squares fitting in Fig. 9, curve (b), were 116 A and 110 A by XRR and SE, respectively. These thicknesses were again slightly higher than the initial thicknesses of 113 A and 109 A measured by XRR and SE, respectively. These larger thicknesses originated from the mass gain that occurs on the first ALE cycle. After 400 ALE cycles for this thinner AI2O 3 film, the XRR and SE measurements in Fig. 9, curve (b), indicate that the AI2O3 film is completely removed from the Si(100) wafer. Fig. 10 illustrates the absolute infrared absorbance recorded using FTIR spectroscopy for the Al-0 vibration in an AI2O 3 film versus number of AI2O 3 ALE cycles at 200°C. These spectra were referenced to the ZrC>2 particles that were used as a high surface area support to enhance the FTIR measurements. The initial AI2O 3 film was grown using AI2O 3 atomic layer deposition (ALD). During AI2O 3 ALE, absorbance is progressively lost from the peak at 800-1000 cm "1 corresponding to the Al-0 vibration in AI2O 3 . In addition, the absorbance was observed from acac surface species at 1,350-1,650 cm "1 .

Fig. 11 illustrates the absolute infrared absorbance recorded using FTIR spectroscopy after the first Sn(acac)2 exposure and first HF exposure on an AI2O 3 film at 200°C. These spectra were referenced to the Zr0 2 particles that were used as a high surface area support to enhance the FTIR measurements. The absorbance feature from acac surface species at 1,350-1,650 cm "1 was present after the first Sn(acac)2 and first HF exposures. The absorbance for the Al-0 vibration in AI2O 3 was reduced after the first Sn(acac)2 exposure and the first HF exposure. This reduction occurs because of the acac species perturbing the AI2O 3 and the HF exposure converting AI2O 3 to A1F 3 .

Fig. 12 again illustrates the absolute infrared absorbance recorded using FTIR spectroscopy after the first Sn(acac)2 exposure and first HF exposure on an AI2O 3 film at 200°C. However, these spectra were referenced to the S1O2 particles and the AI2O 3 ALD film that coated the S1O2 particles. The acac features were again observed at 1,350-1,650 cm "1 . In addition, an absorbance feature at 500-800 cm "1 appeared after the 1 st HF exposure. This feature originated from the Al-F vibration in the AIF3 layer that forms from AI2O3 during the HF exposure. There was also an absorbance loss observed at -1000 cm "1 that corresponds with the removal of some absorbance from the Al-0 vibration in AI2O 3 upon AIF 3 formation.

Fig. 13 illustrates the absolute infrared absorbance FTIR spectra during AI2O 3 ALE at 200°C, 250°C and 300°C. These spectra were again referenced to the Si0 2 particles coated with the AI2O 3 ALD film that were used as a high surface area support to enhance the FTIR measurements. The blue curves are after the Sn(acac)2 exposures and the red curves were after the HF exposures. The absorbance feature from acac surface species at 1,350- 1,650 cm "1 were present after all the Sn(acac)2 exposures. The acac surface species only decreased slightly at higher temperatures after the Sn(acac)2 exposures. In contrast, the acac surface species were distinctly less following the HF exposures. The acac surface species were nearly completely removed from the surface by the HF exposure at 300°C.

Figure 13 also indicates that the absorbance feature at 500-800 cm "1 from the Al-F vibration in the A1F 3 adlayer was present at 200°C, 250°C and 300°C. A loss was also observed from the broader absorbance feature assigned to the Al-0 vibration in AI2O 3 . This loss appeared at 825-1,050 cm "1 because the absorbance from the Al-F vibration from A1F 3 at 500-800 cm "1 obscured the loss from the Al-0 vibration in AI2O 3 at lower frequencies. The spectra after the Sn(acac)2 and HF exposures were similar at 200°C and 250°C.

In contrast, the spectra were distinctly different at 300°C where the absorbance from the Al-F vibration was nearly completely removed after the Sn(acac)2 exposure and then reappeared after the HF exposure. The absorbance feature for the Al-F vibration was also narrower at 300°C. This narrow absorbance peak was attributed to the removal of absorbance from the Al-0 vibration in AI2O 3 that overlaps with the absorbance from the Al-F vibration in the A1F 3 molecules comprising the A1F 3 adlayer.

Fig. 14 illustrates the difference infrared absorbance FTIR spectra during AI2O3 ALE at 200°C, 250°C and 300°C. The blue curves are after the Sn(acac) 2 exposures and the red curves are after the HF exposures. These difference FTIR spectra correspond to the absolute FTIR spectra shown in Fig. 13. These difference FTIR spectra are referenced with respect to the sample after the previous reactant exposure. The absolute FTIR spectra are referenced with respect to the initial sample prior to AI2O 3 ALE.

The difference spectra in Fig. 14 highlight the absorbance changes that occur during the sequential ALE reactions. The absorbance changes for the acac surface species are nearly mirror images of each other after the Sn(acac)2 and HF exposures at 200°C, 250°C and 300°C. In contrast, the Al-F vibration from the AIF 3 moleucles in the AIF 3 adlayer shows little change at 200°C and nearly mirror image changes after the Sn(acac)2 and HF exposures at 250°C. At 300°C, the absorbance for the Al-F vibration dramatically appears after the HF exposure and is lost after the Sn(acac)2 exposure.

Fig. 15 shows the schematic for a non-limiting illustration of the ALE reaction mechanism. This mechanism is based on the mass changes during the Sn(acac)2 and HF exposures as determined by the QCM measurements and the analysis of the surface species by the FTIR studies. During the Sn(acac)2 reaction (A), the Sn(acac)2 reacts with the A1F 3 layer on the AI2O 3 substrate. This A1F 3 layer is formed from the reaction of AI2O 3 with Sn(acac)2 and HF after several ALE reactions on the initial AI2O 3 surface. The Sn(acac)2 reacts with the A1F 3 layer to form volatile SnF(acac) and Al(acac)3 reaction products and SnF(acac)* surface species. After the A1F 3 layer is lost resulting from Al(acac)3 and

SnF(acac) product formation, there may be a strong interaction between SnF(acac)* surface species and the underlying AI2O 3 substrate. This interaction may lead to SnF(acac)* species adsorbed to the AI2O3 substrate. During the HF reaction (B), HF reacts with the underlying AI 2 O 3 surface to form a new layer of AIF 3 molecules comprising the AIF 3 adlayer. The formation of the AIF 3 layer also leads to the removal of the SnF(acac)* species. In addition, HF also provides hydrogen to form H 2 0 as a reaction product. This reaction removes the oxygen in AI 2 O 3 . The AIF 3 layer is then ready for the next Sn(acac) 2 reaction.

This overall proposed reaction can be expressed as:

(A) AI2O3I2AIF3* + 6Sn(acac) 2 → Al 2 0 3 |xSnF(acac)* + 2Al(acac) 3 + (6-χ)· SnF(acac) (6)

(B) Al 2 0 3 |xSnF(acac)* + 6HF → 2A1F 3 * + xSnF(acac) + 3H 2 0 (7)

The A1 2 C>3 shown in Equations 6 and 7 is the amount of A1 2 C>3 that is etched during the ALE reactions. The asterisks indicate the surface species and the vertical lines are used to separate the various surface species, x is a parameter determined by the relative AMs n and AMHF mass changes. To obtain agreement with the relative AMs n and AMHF mass changes in Table 2, x = 0.74, 0.46, 0.29, 0.19, and 0.15 at 150°C, 175°C, 200°C, 225°C and 250°C, respectively. A1F 3 is an important reaction intermediate. The A1F 3 adlayer is formed during the HF exposure and then removed during the Sn(acac) 2 exposure.

Equations 6 and 7 show the species that change during A1 2 C>3 ALE. Without wishing to be limited by any theory, there may be other surface species that reside on the surface and do not change during A1 2 C>3 ALE; these species were not detected by the QCM measurements. However, these species were observed by FTIR spectroscopy. The production of all the Al(acac)3 is assumed to occur during reaction (A). Al(acac)3 is a stable metal β-diketonate with a vapor pressure of -3-4 Torr at 150 °C (Eisentra & Sievers, 1967, J. Inorg. Nucl. Chem. 29: 1931; Fahlman & Barron, 2000, Adv. Mater. Opt. Electr. 10:223-232; Berg & Truemper, 1965, Anal. Chim. Acta 32:245).

Without wishing to be limited by any theory, the temperature dependence of AMsn and AMHF may be dependent on the amount of SnF(acac)* surface species remaining after the Sn(acac) 2 exposure. The mass gain after Sn(acac) 2 exposure and larger mass loss after HF exposure at 150°C can be explained by the SnF(acac)* surface species. More SnF(acac)* surface species remain after reaction A at 150°C. Subsequently, more

SnF(acac)* surface species are lost in reaction B at 150°C.

Example 2:

In certain aspects, this example illustrates Hf0 2 ALE using Sn(acac) 2 and HF as the reactants. Fig. 16 illustrates the mass change during 100 ALE cycles of Sn(acac) 2 and HF reactions on an Hf0 2 surface at 200°C. The initial Hf0 2 ALD film on the QCM surface was prepared by 100 cycles of Hf0 2 ALD using TDMAH and H 2 0 at 200°C. One ALE cycle consisted of a Sn(acac) 2 dose of 1 s, an N 2 purge of 30 s, a HF dose of 1.0 s, and a second N 2 purge of 30 s. This reaction sequence is denoted as 1 -30-1 -30. Pressure transients during Sn(acac) 2 and HF doses were 20 mTorr and 80 mTorr, respectively.

The etching of the Hf0 2 film in Fig. 16 was very linear and displayed a mass change per cycle (MCPC) of -1 1.1 ng/(cm 2 cycle). This MCPC corresponds to an etch rate of 0.11 A/cycle based on the Hf0 2 ALD film density of 9.7 g/cm 3 measured by XRR. All ALE cycles showed mass loss resulting from the etching of the Hf0 2 film except during the first ALE cycle. The first cycle displays mass gains of AMs n = 71 ng/cm 2 and AM H F = 6 ng/cm 2 .

Without wishing to be limited by any theory, the mass gain for AMs n on the first cycle may be attributed to Sn(acac) 2 adsorption on the hydroxylated Hf0 2 surface.

Sn(acac) 2 could adsorb either associatively as Sn(acac) 2 * or dissociatively as Sn(acac)* and (acac)*, where the asterisks designate a surface species. This adsorption would lead to a mass increase. In addition, the mass gain for AMHF on the first cycle may be attributed to the formation of HfF 4 by the reaction of HF with the underlying Hf0 2 surface. The reaction Hf0 2 + 4HF→ HfF 4 + 2H 2 0 is spontaneous with AG= -19 kcal at 200°C. This first cycle establishes the initial Sn(acac) 2 and HfF4 species on the Hf0 2 substrate.

Fig. 17 corresponds to an enlargement of the mass losses versus time at 200°C for three cycles in the steady state linear etching regime in Fig. 16. There was a gradual mass decrease after a little mass gain coinciding with the Sn(acac) 2 exposure. Without wishing to be limited by any theory, this behavior suggests Sn(acac) 2 adsorption, followed by either Sn(acac) 2 desorption and/or the removal of reaction products. A mass loss of AMs n of -4.8 ng/cm 2 was observed after 1.0 s of Sn(acac) 2 exposure. In contrast, the HF exposure led to a mass loss of AMHF of -6.3 ng/cm 2 after 1.0 s of HF exposure.

Figs. 18A and 18B are graphs illustrating the MCPC versus exposure time for Sn(acac) 2 with the HF exposure fixed at 1.0 s (Fig. 18 A) and HF with the Sn(acac) 2 exposure fixed at 1.0 s (Fig. 18B) at 200°C. Fig. 18A illustrates the self-limiting behavior of the Sn(acac) 2 reaction using different Sn(acac) 2 exposure times with a single 1.0 s exposure of HF. A constant N 2 purge of 30 s was used after each exposure. This reaction sequence can be denoted as x-30-1 -30. The MCPC versus Sn(acac) 2 exposure time decreased quickly and leveled off at MCPC of -11 ng/(cm 2 cycle).

Fig. 18B illustrates behavior of the HF reaction using different HF exposure times with a single 1.0 s exposure of Sn(acac)2. This reaction sequence can be denoted as 1 - 30-X-30. The MCPC decreased versus HF exposure time and showed only slight signs of reaching a limiting value. Without wishing to be limited by any theory, this behavior may be due to an inadequate HF purge time that allows HF to be present during the Sn(acac)2 exposure and leads to chemical vapor etching (CVE).

Fig. 19 is a graph illustrating mass change versus time for HfC>2 ALE using sequential Sn(acac)2 and HF exposures at various temperatures from 150°C to 250°C. The etchings of the HfC>2 films at various temperatures were very linear. The mass change per cycle (MCPC) was -6.7 ng/(cm 2 cycle) at 150°C and increased to -1 1 ng/(cm 2 cycle) at 250°C. These MCPCs corresponds to etch rates of 0.07 A/cycle at 150°C and 0.1 1 A/cycle at 250°C based on the Hf0 2 ALD film density of 9.7 g/cm 3 measured by XRR. All ALE cycles showed mass loss resulting from the etching of the HfC film except during the first ALE cycle. The first cycle displayed mass gains that may be due to Sn(acac)2 adsorption and the formation of an HfF4 layer.

The AMsn, AMHF, and MCPC values at all the reaction temperatures for HfC^

ALE are illustrated in Figs. 20A-20B. All ALE reactions were performed using a reaction sequence of 1-30-1-30 on initial HfC>2 surfaces. Fig. 20A illustrates the AMs n and AMHF values obtained at different reaction temperatures. AMs n displayed a slight mass gain at 150°C and progressively larger mass losses at higher temperatures. In contrast, AMHF displayed a mass loss at temperatures between 150-200°C and mass gains at higher temperatures.

Fig. 20B illustrates the MCPC where MCPC = AM SN + AMHF. All the temperatures displayed a mass loss and the mass loss was larger at higher temperatures. The MCPC in Fig. 20B correlated with AMs n in Fig. 20A. This correspondence shows that the mass change during the Sn(acac)2 reaction is primarily responsible for the temperature dependence of the mass loss during Hf02 ALE. AMs n , AMHF, and MCPC at the different reaction temperatures for HfC^ ALE are summarized in Table 4.

Table 4. AM S „, AM HF and MCPC for Hf0 2 ALE at different temperatures. 250 -5.6 -5.6 -11.2

Fig. 21 is a graph illustrating X-ray reflectivity scans showing x-ray intensity versus incident angle for HfC> 2 films on Si(100). Initial HfC> 2 films were grown using 150 Hf0 2 ALD cycles. Fig. 21, curve (a), illustrates the XRR scan of the initial Hf0 2 ALD film grown on Si(100). The HfC ALD film thickness of 144 A can be obtained by fitting the reflected x-ray intensity versus incident angle. Fig. 21, curves (b)-(e), illustrates XRR scans of the etched Hf0 2 film after 50, 100, 200, and 400 ALE cycles at 200°C, respectively. The Hf0 2 thicknesses decreased with increasing number of ALE cycles. This decreasing film thickness was in agreement with the decrease in the modulation of the x-ray intensity versus incident angle with higher numbers of ALE cycles.

The position of the critical angle of all the etched HfCh films was constant. This constant critical angle indicates that there was no change of the film density during the ALE reactions. The etched HfC films were also very smooth and did not roughen versus Hf0 2 ALE. The XRR measurements yielded a roughness of the initial HfCh ALD film of 6 A. The surface roughness decreased slightly to 3-4 A after 50, 100, 200, and 400 ALE cycles. The error in these XRR surface roughness measurements is <1 A.

Fig. 22 is a graph illustrating X-ray reflectivity and spectroscopic ellipsometry measurements of HfC> 2 film thickness versus number of HfC^ ALE cycles for initial HfC> 2 ALD films grown using (a) 150 Hf0 2 ALD cycles and (b) 100 Hf0 2 ALD cycles. For the HfC films with an initial thickness of 144 A grown using 150 HfC ALD cycles in Fig. 22, curve (a), the film thickness versus number of ALE cycles was very linear and yielded an etch rate of 0.11 A/cycle. The spectroscopic ellipsometry (SE) measurements on these same samples yielded an etch rate of 0.11 A/cycle with an initial HfCh ALD film thickness of 143 A. The initial thickness of the HfC> 2 film was not used to obtain the etch rate because of the mass gain that occurs on the first ALE cycle.

XRR measurements were also performed on HfC> 2 ALD films with a thickness of 87 A that were grown on Si(100) wafers. These HfC ALD films were deposited at 200°C using 100 cycles of TDMAH and H 2 0 with a reaction sequence of 1-20-1-20. Fig. 20, curve (b), displays the film thickness versus number of Sn(acac) 2 and HF reaction cycles at 200°C. The XRR measurements yielded an HfC^ ALE etch rate of 0.11 A/cycle. The SE measurements also yielded an etch rate of 0.12 A/cycle with an initial HfC> 2 ALD film thickness of 87 A. The initial thickness of the HfC^ film was again not employed to determine the etch rate because of the mass gain that occurs on the first ALE cycle. Fig. 23 is a graph illustrating the absolute infrared absorbance recorded using FTIR spectroscopy for the Hf-0 vibration at -625 cm "1 in an HfC>2 film on S1O2 particles versus number of HfC>2 ALE cycles at 200°C. Vibrational features for hafnium silicate (Si- O-Hf) also appeared at -1000 cm "1 . The initial HfC>2 film was grown using HfC>2 atomic layer deposition (ALD). These spectra are referenced to the S1O2 particles used as the substrate. During HfC>2 ALE, absorbance is progressively lost from the peak at -625 cm "1 corresponding to the Hf-0 vibration in HfC>2. In addition, the absorbance was observed from acac surface species at 1,350-1,650 cm "1 . This absorbance decrease was consistent with the progressive loss of HfC>2 resulting from HfC>2 ALE.

Fig. 24 is a schematic of the non-limiting proposed reaction mechanism for

HfC>2 ALE showing (A) Sn(acac)2 reaction and (B) HF reaction. This mechanism is based on the mass changes during the Sn(acac)2 and HF exposures as determined by the QCM measurements and the analysis of the surface species by the FTIR studies. During the Sn(acac)2 reaction (A), the Sn(acac)2 reacts with the HfF 4 layer on the HfC>2 substrate. This HfF 4 layer is formed from the reaction of HfF 4 with Sn(acac)2 and HF after several ALE reactions on the initial HfF 4 surface. The Sn(acac)2 reacts with the HfF layer to form volatile SnF(acac) and Hf(acac) 4 reaction products and SnF(acac)* surface species. After the HfF layer is lost resulting from Hf(acac) 4 and SnF(acac) product formation, there may be a strong interaction between SnF(acac)* surface species and the underlying HfC>2 substrate. This interaction may lead to SnF(acac)* species adsorbed to the HfC>2 substrate.

During the HF reaction (B), HF reacts with the underlying HfC>2 surface to form a new layer of HfF 4 molecules comprising the HfF 4 adlayer. The formation of the HfF 4 layer also leads to the removal of the SnF(acac)* species. In addition, HF also provides hydrogen to form H 2 0 as a reaction product. This reaction removes the oxygen in HfC>2. The HfF layer is then ready for the next Sn(acac)2 reaction.

This overall proposed reaction can be expressed as:

(A) Hf0 2 |2 HfF * + 4 Sn(acac) 2 → Hf0 2 |xSnF(acac)* + Hf(acac) 4 + (4-χ)· SnF(acac) (8)

(B) Hf0 2 |x SnF(acac)* + 4HF → HfF 4 * + x SnF(acac) + 2 H 2 0 (9)

The HfC>2 shown in Equations 8 and 9 is the amount of HfC>2 that is etched during the ALE reactions. The asterisks indicate the surface species and the vertical lines are used to separate the various surface species, x is a parameter determined by the relative AMs n and AMHF mass changes. To obtain agreement with the relative AMs n and AMHF mass changes in Table 3, x = 1.3, 1.0, 0.69, 0.70, and 0.71 at 150°C, 175°C, 200°C, 225°C and 250°C, respectively.

Without wishing to be limited by any theory, the temperature dependence of AMsn and AM H F may be dependent on the amount of SnF(acac)* surface species remaining after the Sn(acac)2 exposure. The mass gain after Sn(acac)2 exposure and larger mass loss after HF exposure at 150°C can be explained by the SnF(acac)* surface species. More SnF(acac)* surface species remain after reaction A at 150°C. Subsequently, more

SnF(acac)* surface species are lost in reaction B at 150°C.

Example 3:

In certain aspects, this example illustrates AI2O 3 ALE using A1(CH 3 ) 3 and HF as the reactants. Fig. 25 illustrates the mass change during 100 ALE cycles of A1(CH 3 ) 3 and HF reactions on an Al20 3 surface at 300°C. The initial Al20 3 ALD film on the QCM surface was prepared by 200 cycles of A1 2 0 3 ALD using A1(CH 3 ) 3 and H 2 0 at 300°C. One ALE cycle consisted of a A1(CH 3 ) 3 dose of 2 s, a N 2 purge of 30 s, a HF dose of 1.0 s, and a second N 2 purge of 30 s. This reaction sequence is denoted as 2-30-1-30. Pressure transients during A1(CH 3 ) 3 and HF doses were 40 mTorr and 80 mTorr, respectively.

The etching of the A1 2 0 3 film in Fig. 25 was linear and displayed a mass change per cycle (MCPC) of -15.9 ng/(cm 2 cycle). This MCPC corresponds to an etch rate of 0.51 A/cycle based on the A1 2 0 3 ALD film density of 3.1 g/cm 3 grown at 300°C measured by XRR. All ALE cycles showed mass loss resulting from the etching of the A1 2 0 3 film except during the first ALE cycle. The first cycle displays mass gains of ΔΜΑΙ(ΟΒ)3 = +32 ng/cm 2 and AMHF = +33 ng/cm 2 .

Without wishing to be limited by any theory, the mass gain for ΔΜΤΜΑ on the first cycle may be attributed to A1(CH 3 ) 3 adsorption on the hydroxylated A1 2 0 3 surface. A1(CH 3 ) 3 could adsorb by reacting with a hydroxyl group according to A1(CH 3 ) 3 + Al-OH* → A10-A1(CH 3 ) 2 * + CH 4 , where the asterisks designate a surface species. This adsorption would lead to a mass increase. In addition, the mass gain for AMHF on the first cycle may be attributed to the fluorination of the A1(CH 3 ) 2 * surface species and the underlying A1 2 0 3 surface. The reaction A1 2 0 3 + 6HF→ 2A1F 3 + 3H 2 0 is spontaneous with AG= -49 kcal at 300°C. This first cycle establishes the initial A1F 3 species on the Al20 3 substrate.

Fig. 26 corresponds to an enlargement of the mass losses versus time at 300°C for three cycles in the steady state linear etching regime in Fig. 25. There was a pronounced mass decrease of ΔΜΤΜΑ = -29.0 ng/cm 2 coinciding with the A1(CH 3 ) 3 exposure. Without wishing to be limited by any theory, this behavior suggests A1(CH 3 ) 3 leads to significant mass loss from removal of reaction products. A possible etching reaction is A1F 3 + 2A1(CH 3 ) 3 → 3A1F(CH 3 )2 where A1F(CH 3 )2 is a volatile reaction product. Fig. 26 also shows that a mass gain of AMHF = +13.1 ng/cm 2 was observed after 1.0 s of HF exposure. This HF exposure reforms the A1F 3 surface layer on the A1 2 0 3 substrate through the reaction A1 2 0 3 + 6HF→ 2A1F 3 + 3H 2 0.

Fig. 27 displays a schematic of the non-limiting proposed reaction mechanism for Al 2 0 3 ALE showing (A) HF reaction and (B) A1(CH 3 ) 3 reaction. During the HF reaction

(A) , HF reacts with the underlying A1 2 0 3 surface to form a new layer of A1F 3 molecules comprising the A1F 3 adlayer. HF also reacts with the A1CH 3 * surface species to form additional A1F 3 and the CH 4 reaction product. In addition, HF also provides hydrogen to form H 2 0 as a reaction product. This reaction removes the oxygen in A1 2 0 3 . The A1F 3 layer is then ready for the next A1(CH 3 ) 3 reaction.

During the A1(CH 3 ) 3 reaction (B), the A1(CH 3 ) 3 reacts with the A1F 3 layer on the Al20 3 substrate to form volatile A1F(CH 3 )2 reaction products and A1CH 3 * surface species. A1F(CH 3 ) 2 has a vapor pressure of 80 Torr at 100°C. After the A1F 3 layer is removed by A1F(CH 3 ) 2 product formation, there may be a strong interaction between A1F 2 (CH 3 ) and the underlying A1 2 0 3 substrate. This interaction may lead to A1F 2 (CH 3 )* species adsorbed to the underlying A1 2 0 3 substrate. Additional A1(CH 3 ) 3 exposure can lead to removal of the A1F 2 (CH 3 )* species by the reaction of A1(CH 3 ) 3 + A1F 2 (CH 3 )*→2A1F(CH 3 ) 2 . A1(CH 3 ) 3 can also react with the underlying A1 2 0 3 surface to form A1(CH 3 )*.

The simplest overall proposed reaction for Al20 3 ALE using TMA and HF is: A1 2 0 3 + 6HF + 4A1(CH 3 ) 3 → 6A1F(CH 3 ) 2 + 3H 2 0 (10) This overall reaction can be divided into the HF and TMA reactions:

(A) A1 2 0 3 |A1 2 0 3 * + 6HF → A1 2 0 3 |2A1F 3 * + 3H 2 0 (11)

(B) A1 2 0 3 |2A1F 3 * + 4A1(CH 3 ) 3 → A1 2 0 3 * + 6A1F(CH 3 ) 2 (12) The asterisks indicate the surface species and the vertical lines are used to separate the various surface species. The A1 2 0 3 shown in Equations 11 and 12 is the amount of A1 2 0 3 that is etched during the ALE reactions.

The reaction described by Equations 10-12 is incomplete because A1 2 0 3 is also reactive to A1(CH 3 ) 3 . The reaction of TMA with A1 2 0 3 is known to form A1CH 3 * surface species. This reaction of A1(CH 3 ) 3 with Al-O-Al bonds on the A1 2 0 3 surface can be expressed as: Al-O-Al* + A1(CH 3 ) 3 →Al-0-Al(CH 3 ) 2 * + A1(CH 3 )* (13) The formation of A1(CH 3 ) 2 * and A1(CH 3 )* can simply be regarded as A1(CH 3 ) 3 * surface species.

To incorporate the formation of A1CH 3 * surface species during TMA exposure and additional A1F 3 formation as well as CH 4 formation, the HF and TMA reactions need be modified:

(A) Al 2 0 3 |xAl(CH 3 ) 3 * + (6+3x)HF → 2A1F 3 |XA1F 3 * + 3H 2 0 + 3xCH 4 (14)

(B) 2A1F 3 |XA1F 3 * + (4+3x)Al(CH 3 ) 3 → xAl(CH 3 ) 3 * + (6+3x)AlF(CH 3 ) 2 (15) The overall proposed reaction can then be expressed as:

A1 2 0 3 + (6+3x)HF + (4+3x)Al(CH 3 ) 3 → (6+3x)AlF(CH 3 ) 2 + 3H 2 0 + 3xCH 4 (16) The asterisks indicate the surface species and the vertical lines are used to separate the various surface species.

Fig. 28 shows the general fiuorination and ligand exchange processes occurring during metal oxide ALE. In one step, fluorination of a metal oxide surface is performed using fluorine precursors, such as HF, to form a metal fluoride layer and H 2 0. In the second step, a metal precursor, such as Sn(acac) 2 or A1(CH 3 ) 3 , accepts fluorine and donates a ligand to the metal in the metal fluoride. This ligand exchange process forms volatile reaction products that remove the metal fluoride layer. Example 4:

In certain aspects, this example illustrates A1F 3 ALE using Sn(acac) 2 and HF as the reactants. Fig. 29 illustrates the mass change during 100 ALE cycles of Sn(acac) 2 and HF reactions on an A1F 3 surface at 200°C. The initial A1F 3 ALD film on the QCM surface was prepared by 100 cycles of A1F 3 ALD using A1(CH 3 ) 3 and HF. One ALE cycle consisted of a Sn(acac) 2 dose of 1 s, a N 2 purge of 30 s, a HF dose of 1.0 s, and a second N 2 purge of 30 s. This reaction sequence is denoted as 1-30-1-30. Pressure transients during Sn(acac) 2 and HF doses were 20 mTorr and 80 mTorr, respectively.

The etching of the A1F 3 film in Fig. 29 was linear and displayed a mass change per cycle (MCPC) of -6.1 ng/(cm 2 cycle). This MCPC corresponds to an etch rate of 0.21 A/cycle based on the A1F 3 ALD film density of 2.9 g/cm 3 measured by XRR. All ALE cycles showed mass loss resulting from the etching of the A1F 3 film except during the first ALE cycle. The first cycle displays a mass gain of AMs n = +28 ng/cm 2 and a mass loss of AMHF = -8 ng/cm 2 .

Fig. 30 corresponds to an enlargement of the mass losses versus time at 200°C for three cycles in the steady state linear etching regime in Fig. 29. There was a slight mass increase of AMs n = +0.8 ng/cm 2 coinciding with the Sn(acac)2 exposure. Fig. 30 also shows that a mass loss of AMHF = -6.9 ng/cm 2 was observed after 1.0 s of HF exposure. Because the AIF 3 film is already a metal fluoride, HF is not needed to form a metal fluoride. However, HF does lead to the mass loss during the Sn(acac)2 and HF exposures. This behavior can be explained by the buildup of heavy acac-containing species on the A1F 3 surface during the Sn(acac)2 exposure. The HF then is able to remove these acac-containing species and produce an overall mass loss.

To illustrate the proposed mechanism for A1F 3 ALE, Fig. 31 displays a schematic of the non-limiting proposed reaction mechanism for AIF 3 ALE showing (A) Sn(acac)2 reaction and (B) HF reaction. During the Sn(acac)2 reaction (A), the Sn(acac)2 reacts with an AIF3 layer on the AIF3 substrate to form volatile SnF(acac) and AlF(acac)2 reaction products and AlF(acac)2* surface species. During the HF reaction (B), HF reacts with the AlF(acac)2* species on the A1F 3 substrate to remove the acac-containing species. The AIF 3 layer is then ready for the next Sn(acac)2 reaction.

Additional comparative experiments were performed using TMA and HF exposures on the A1F 3 film at temperatures >250°C. These experiments revealed that TMA continuously etched the AIF 3 film. The etching of the AIF 3 film by TMA was not self- limiting.

Example 5:

Thermal ALE consists of (A) fluorination and (B) ligand-exchange reactions with metal precursors. In certain aspects, this example illustrates the selectivity of ALE using different metal precursors for the ligand-exchange reaction. Hydrogen fluoride (HF) was used for fluorination source. The metal precursors for the ligand-exchange reaction were tin(II) acetylacetonate (Sn(acac)2), trimethylaluminum (TMA), and dimethylaluminum chloride (DM AC). Various thin films including A1 2 0 3 , Hf0 2 , Zr0 2 , Si0 2 , Si 3 N 4 , and TiN on Si wafers were tested to observe the selectivity of the ALE.

The thermal ALE was examined using ex situ spectroscopic ellipsometry (SE) studies. The initial films had a thickness of -50 A (39-68 A). Fig. 32A illustrates the SE measurements after 50, 100, 200 and 400 ALE cycles using Sn(acac) 2 and HF at 200 °C. There were negligible thickness changes for the S1O2, S1 3 N4, and TiN films. In contrast, the AI2O 3 , HfC>2, and ZrC>2 films were etched linearly versus number of ALE cycles. Fig. 32B illustrates the film thickness versus number of ALE cycles for the AI2O3, HfC , and ZrC films. The slopes of linear least squares fittings in Fig. 32B yield the etch rates of 0.23 A/cycle, 0.06 A/cycle, and 0.14 A/cycle for AI2O 3 and HfC^, and ZrC>2 films, respectively.

Fig. 33 A illustrates the SE measurements after 25, 50, 100, and 200 ALE cycles using TMA and HF at 300 °C. There are negligible thickness changes during 200 ALE cycles for the ZrC>2, S1O2, S1 3 N4, and TiN films. In contrast, the AI2O 3 and HfC^ films were etched linearly versus number of ALE cycles. Fig. 33B illustrates the film thickness versus number of ALE cycles for AI2O 3 , HfC>2, and ZrC>2 films. The slopes of linear least squares fittings in Fig. 33B yield etch rates of 0.45 A/cycle and 0.10 A/cycle for AI2O 3 and Hf0 2 films respectively. A negligible etch rate of 0.01 A/cycle was determined for ZrC>2 film.

Fig. 34A illustrates the SE measurements after 10, 25, 50, and 100 ALE cycles using DMAC and HF at 250 °C. There are negligible thickness changes during 100 ALE cycles for the Si0 2 , S1 3 N4, and TiN films. AI2O 3 , HfC>2, and ZrC>2 films were etched linearly versus the number of ALE cycles. Fig. 34B illustrates the film thickness versus number of ALE cycles for AI2O 3 , HfC>2, and ZrC>2. The slopes of linear least squares fittings in Fig. 34B yield the etch rates of 0.32 A/cycle, 0.77 A/cycle, and 0.96 A/cycle for A1 2 0 3 and Hf0 2 , and ZrC>2 films, respectively.

Additional control experiments on AI2O 3 , HfC>2, and ZrC>2 films were performed to determine if both the fluorination and metal precursors are necessary for the thermal ALE. Experiments using 200 cycles of HF exposures observed negligible thickness changes for AI2O3, HfC , and ZrC films. In addition, sequential exposures of HF and acetylacetone (acacH, Sigma Aldrich >99%) did not lead to the etching of A1 2 0 3 at 200°C. Acac-containing species alone without the metal are not sufficient for thermal ALE. Example 6:

In certain aspects, this example ilustrates GaN ALE using TMA and HF as the reactants. Fig. 35 illustrates the mass change during three ALE cycles using TMA and HF exposures on GaN at 250°C. The initial GaN ALD film on the QCM surface was prepared using 200 cycles of GaN ALD with TDMAG and NH 3 as the reactants at 200°C. One ALE cycle consisted of a TMA dose of 2 s, a N 2 purge of 30 s, a HF dose of 1.0 s, and a second N 2 purge of 30 s. This reaction sequence is denoted as 2-30-1-30. Pressure transients during the TMA and HF doses were 40 mTorr and 80 mTorr, respectively.

The etching of the GaN film in Fig. 35 displays a MCPC= -35 ng/(cm 2 cycle). This MCPC corresponds to an etch rate of 0.9 A/cycle based on the GaN ALD film density of 3.9 g/cm 3 grown at 200° C measured by XRR. The HF exposure led to a mass gain of +25 ng/cm 2 . There was a pronounced mass decrease of ΔΜΤΜΑ = -60 ng/cm 2 coinciding with the A1(CH 3 ) 3 exposure.

Without wishing to be limited by any theory, this behavior suggests the HF exposure fluorinates the GaN substrate by GaN + 3HF → GaF 3 + NH 3 . The A1(CH 3 ) 3 exposure then leads to significant mass loss from removal of reaction products. A possible etching reaction is GaF 3 + 2A1(CH 3 ) 3 → GaF(CH 3 ) 2 + 2A1F(CH 3 ) 2 where GaF(CH 3 ) 2 and A1F(CH 3 ) 2 are volatile reaction products.

Fig. 36 illustrates a schematic of the non-limiting proposed reaction mechanism for GaN ALE showing (A) HF reaction and (B) A1(CH 3 ) 3 reaction. During the HF reaction (A), HF reacts with the underlying GaN surface to form a GaF 3 adlayer. HF also reacts with the GaCH 3 * and/or A1CH 3 * surface species to form additional GaF 3 * and/or A1F 3 * and the CH 4 reaction product. In addition, HF also provides hydrogen to form NH 3 as a reaction product. This reaction removes the nitrogen in GaN. The GaF 3 layer and possibly some additional A1F 3 species are then ready for the next A1(CH 3 ) 3 reaction.

During the A1(CH 3 ) 3 reaction (B), the A1(CH 3 ) 3 reacts with the GaF 3 layer and possibly some additional A1F 3 species on the GaN substrate to form volatile GaF(CH 3 ) 2 and A1F(CH 3 ) 2 reaction products and GaCH 3 * and/or A1CH 3 * surface species. A1F(CH 3 ) 2 has a vapor pressure of 80 Torr at 100 °C. GaF(CH 3 ) 2 is believed to have a similar vapor pressure as A1F(CH 3 ) 2 . After the GaF 3 layer and the possibly some additional A1F 3 layer are removed by GaF(CH 3 ) 2 and A1F(CH 3 ) 2 products formation, there may be a strong interaction between the GaF 2 (CH 3 )*and A1F 2 (CH 3 )* products and the underlying GaN substrate. This interaction may lead to GaF 2 (CH 3 )* and A1F 2 (CH 3 )* species adsorbed to the underlying GaN substrate.

Additional A1(CH 3 ) 3 exposure can lead to removal of the GaF 2 (CH 3 )* and A1F 2 (CH 3 )* surface species. GaF 2 (CH 3 )* can be removed by the reaction of A1(CH 3 ) 3 +

GaF 2 (CH 3 )*→ A1F(CH 3 ) 2 + GaF(CH 3 ) 2 . A1F 2 (CH 3 )* can be also removed by the reaction of A1(CH 3 ) 3 + A1F 2 (CH 3 )*→2A1F(CH 3 ) 3 . A1(CH 3 ) 3 can also react with the underlying GaN surface to form Ga(CH 3 )* and/or A1(CH 3 )*. Example 7:

In certain aspects, this example illustrates ZnS ALE using DMAC and HF as the reactants. ZnS ALE was examined using ex situ spectroscopic ellipsometry (SE) studies. These SE experiments revealed that the thickness of a ZnS film was reduced from 505 A to 477 A after 50 ALE using DMAC and HF at 250°C. These results are consistent with a ZnS etch rate of 0.56 A/cycle.

Without wishing to be limited by any theory, these results suggest that fluorination of ZnS occurs through the reaction ZnS + 2HF → ZnF 2 + H 2 S. This reaction has a favorable reaction enthalpy of ΔΗ = -8.5 kcal at 250 °C. However, the Gibbs free energy is slightly positive at AG = +7.4 kcal. After the formation of the ZnF 2 layer,

A1C1(CH 3 ) 2 then may etch the ZnF 2 layer by the reaction ZnF 2 + 2A1C1(CH 3 ) 2 → Zn(CH 3 ) 2 + 2A1FC1(CH 3 ). ZnF(CH 3 ) or ZnCl(CH 3 ) can be an additional possible etch product depending on the volatility of ZnF(CH 3 ) or ZnCl(CH 3 ).

Additional comparative experiments were performed using 50 cycles of TMA and HF exposures on the ZnS film at 250°C. The SE measurements monitored an initial ZnS film thickness of 505 A. However, with the TMA metal precursor, there was a negligible change of the ZnS film thicknesses after 50 ALE cycles. These results reveal selective ALE because DMAC can etch ZnS, but TMA cannot etch ZnS.

Example 8:

In certain aspects, this example illustrates ZnO ALE using either DMAC and HF or TMA and HF as the reactants. ZnO ALE was examined using ex situ SE studies. The SE measurements monitored the initial thickness and the change of thicknesses after 50 and 100 ALE cycles using DMAC and HF at 250°C. These experiments employed ZnO films with initial thicknesses of 207 A and 408 A. The ZnO thickness of 207 A was reduced to 128 A after 50 ALE using DMAC and HF at 250°C. The ZnO thickness of 408 A was reduced to 345 A after 50 ALE using DMAC and HF at 250°C. These results are consistent with an etch rate of 1.3-1.6 A/cycle. In addition, the roughness of the ZnO film was increased slightly after ALE using DMAC and HF.

As a comparative study, ZnO ALE was also examined by SE studies using TMA and HF as the reactants at 250 °C. In this case, the thickness of the ZnO film was reduced from 207 A to 150 A after 50 ALE cycles. The thickness of the ZnO film was also reduced from 408 A to 360 A after 50 ALE using TMA and HF at 250°C. These results are consistent with an etching rate of 1.0-1.1 A/cycle. The roughness of ZnO film was decreased after ZnO ALE using TMA and HF.

Without wishing to be limited by any theory, these results suggest that ZnO can be fluorinated by the reaction ZnO + 2HF → ZnF 2 + H 2 0. This reaction has favorable thermochemistry with AG = -10.1 kcal at 250°C. DMAC can then etch ZnF 2 by the reaction ZnF 2 + A1C1(CH 3 ) 2 → Zn(CH 3 ) 2 + A1C1F 2 . ZnF(CH 3 ) can be an additional possible etch product if ZnF(CH 3 ) is volatile enough. Likewise, A1(CH 3 ) 3 can also etch ZnF 2 by the reaction ZnF 2 + A1(CH 3 ) 3 → Zn(CH 3 ) 2 + A1F 2 (CH 3 ). ZnF(CH 3 ) can be an additional possible etch product if ZnF(CH 3 ) has high enough volatility. These results indicate that both DMAC and TMA are effective metal precursors for ZnO ALE.

Example 9:

In certain aspects, this example illustrates Ga 2 0 3 ALE and ZnO ALE using TDMAG and HF as the reactants. Ga 2 0 3 ALE was examined using in situ QCM and ex situ spectroscopic ellipsometry (SE) studies. The QCM studies measured a MCPC= -10 ng/(cm 2 cycle) at 250 °C. This MCPC corresponds to an etch rate of 0.2 A/cycle based on the Ga 2 0 3 ALD film density of 4.8 g/cm 3 grown at 200°C measured by XRR. The SE measurements monitored the initial film thickness and the change of film thicknesses after 100 ALE cycles using TDMAG and HF at 250°C. The thickness of the Ga 2 0 3 film was reduced from 185 A to 165 A after 100 ALE using TDMAG & HF at 250 °C. In agreement with the QCM results, the Ga 2 0 3 ALE etch rate was 0.2 A/cycle.

Without wishing to be limited by any theory, this behavior suggests that the Ga 2 0 3 substrate is fluorinated through the reaction Ga 2 0 3 + 6HF → 2GaF 3 + 3H 2 0. This reaction has favorable thermochemistry with AG = -36.4 kcal at 250 °C. The Ga[N(CH 3 ) 2 ] 3 metal precursor then may etch the GaF 3 layer by the reaction: GaF 3 + 2Ga[N(CH 3 ) 2 ] 3 → 3GaF[N(CH 3 ) 2 ] 2 where GaF[N(CH 3 ) 2 ] 2 is the volatile reaction product.

Additional comparative experiments using 100 cycles of TDMAG and HF exposures observed negligible thickness changes for A1 2 0 3 , Hf0 2 , Zr0 2 , Si0 2 , Si 3 N 4 , and TiN films. These results illustrate the selectivity of Ga[N(CH 3 ) 2 ] 3 (TDMAG) for the etching of Ga 2 0 3 . Another experiment used 100 cycles of TDMAG and HF exposures and demonstrated the etching of two ZnO films at 250°C. The thickness of one of the ZnO films was reduced from 1231 A to 1213 A. The thickness of the other ZnO film was reduced from 207 A to 189 A. The etch rate was determined as 0.2-0.3 A/cycle. These results indicate that the TDMAG metal precursor could selectively etch either Ga 2 0 3 or ZnO in the presence of A1 2 0 3 , Hf0 2 , Zr0 2 , Si0 2 , Si 3 N 4 , or TiN.

The disclosures of each and every patent, patent application, and publication cited herein are hereby incorporated herein by reference in their entirety. While the invention has been disclosed with reference to specific embodiments, it is apparent that other embodiments and variations of this invention may be devised by others skilled in the art without departing from the true spirit and scope of the invention. The appended claims are intended to be construed to include all such embodiments and equivalent variations.