Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SYSTEMS AND METHODS FOR DETERMINING SPECIFICATION LIMITS IN A SEMICONDUCTOR DEVICE VIRTUAL FABRICATION ENVIRONMENT
Document Type and Number:
WIPO Patent Application WO/2022/015897
Kind Code:
A1
Abstract:
A virtual fabrication environment for semiconductor device fabrication that includes an analytics module for determining specification limits using a fitting algorithm for non-normally distributed virtual metrology data is discussed.

Inventors:
EGAN WILLIAM (US)
KUNWAR ANSHUMAN (US)
GREINER KENNETH (US)
FRIED DAVID (US)
Application Number:
PCT/US2021/041700
Publication Date:
January 20, 2022
Filing Date:
July 14, 2021
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
COVENTOR INC (US)
International Classes:
G06F30/367; G06F30/373; G06F30/392; H01L23/00; G06F119/18
Foreign References:
US20180365370A12018-12-20
US20100180241A12010-07-15
US20020037596A12002-03-28
US20080104562A12008-05-01
JPH08107050A1996-04-23
Attorney, Agent or Firm:
CURRAN, John, S. et al. (US)
Download PDF:
Claims:
We claim:

1. A non-transitory computer-readable medium holding computing device-executable instructions, the instructions when executed causing at least one computing device equipped with one or more processors to: perform a Design of Experiments (DOE) simulation for a semiconductor device structure, the simulation including a plurality of virtual fabrication runs, the plurality of virtual fabrication runs building a plurality of 3D models of the semiconductor device structure; receive a selection of one or more virtual metrology target parameters; determine whether virtual metrology data for the selected virtual metrology target parameters is normally distributed; compute an empirical distribution based on the virtual metrology data for one or more of the selected virtual metrology target parameters; determine an upper and lower specification limit for one or more of the selected virtual metrology target parameters; and output the upper and lower specification limits.

2. The medium of claim 1, wherein the instructions when executed further cause the at least one computing device to: determine confidence bounds for points in the empirical distribution for one or more of the selected virtual metrology target parameters, wherein the upper and lower specification limits for the one or more virtual metrology target parameters are determined based on the respective confidence bounds.

3. The medium of claim 2, wherein the instructions when executed further cause the at least one computing device to: extrapolate, using one or more regression models, from the confidence bounds, the upper and lower specification limits.

4. The medium of claim 3 wherein the one or more regression models include a linear regression model.

5. The medium of claim 3 wherein the one or more regression models include a spline regression model.

6. The medium of claim 1, wherein the instructions when executed further cause the at least one computing device to: output the upper and lower specification limits as export data.

7. The medium of claim 1, wherein the instructions when executed further cause the at least one computing device to: output the upper and lower specification limits on a graphical user interface.

8. The medium of claim 1, wherein the instructions when executed further cause the at least one computing device to: identify a first set of confidence bounds that contain a selected positive sigma probability; identify a second set of confidence bounds that contain a selected negative sigma probability; perform a first regression using the first set of confidence bounds to determine an upper specification limit for the target parameter; and perform a second regression using the second set of confidence bounds to determine a lower specification limit for the target parameter;

9. The medium of claim 8 wherein the first regression uses the lower confidence bound in the first set of confidence bounds as an independent variable and the second regression uses the upper confidence bound in the second set of confidence bounds as an independent variable.

10. The medium of claim 1 wherein the instructions when executed further cause the at least one computing device to: output analysis of the virtual metrology data for the selected virtual metrology target parameters; and receive user input indicating that the virtual metrology data for the selected virtual metrology target parameters fails to follow a normal distribution.

11. The medium of claim 1, wherein the upper or lower specification limit is at least one of a standard deviation value or an extremum.

12. The medium of claim 1, wherein at least one set of confidence bounds is computed with an alpha value of at least 0.99.

13. The medium of claim 1 wherein the instructions when executed further cause the at least one computing device to: plot the empirical distribution and confidence bounds for the one or more selected virtual metrology target parameters; and display the plots on a graphical user interface.

14. A computing device-implemented method, the computing device including one or more processors, the method comprising: performing a Design of Experiments (DOE) simulation for a semiconductor device structure, the simulation including a plurality of virtual fabrication runs, the plurality of virtual fabrication runs building a plurality of 3D models of the semiconductor device structure; receiving a selection of one or more virtual metrology target parameters; determining whether virtual metrology data for the selected virtual metrology target parameters is normally distributed; computing an empirical distribution based on the virtual metrology data for one or more of the selected virtual metrology target parameters; determining an upper and lower specification limit for one or more of the selected virtual metrology target parameters; and outputting the upper and lower specification limits.

15. The method of claim 14, further comprising: determining confidence bounds for points in the empirical distribution for one or more of the selected virtual metrology target parameters, wherein the upper and lower specification limits for the one or more virtual metrology target parameters are determined based on the respective confidence bounds.

16. The method of claim 15, further comprising: extrapolating, using one or more regression models, from the confidence bounds, the upper and lower specification limits.

17. The method of claim 16 wherein the one or more regression models include a linear regression model.

18. The method of claim 16 wherein the one or more regression models include a spline regression model.

19. The method of claim 14, further comprising: outputting the upper and lower specification limits as export data.

20. The method of claim 14, further comprising: outputting the upper and lower specification limits on a graphical user interface.

21. The method of claim 14, further comprising: identifying a first set of confidence bounds that contain a selected positive sigma probability; identifying a second set of confidence bounds that contain a selected negative sigma probability; performing a first regression using the first set of confidence bounds to determine an upper specification limit for the target parameter; and performing a second regression using the second set of confidence bounds to determine a lower specification limit for the target parameter;

22. The method of claim 21 wherein the first regression uses the lower confidence bound in the first set of confidence bounds as an independent variable and the second regression uses the upper confidence bound in the second set of confidence bounds as an independent variable.

23. The method of claim 14, further comprising: outputting analysis of the virtual metrology data for the selected virtual metrology target parameters; and receiving user input indicating that the virtual metrology data for the selected virtual metrology target parameters fails to follow a normal distribution.

24. The method of claim 14, wherein the upper or lower specification limit is at least one of a standard deviation value or an extremum.

25. The method of claim 14, wherein at least one set of confidence bounds is computed with an alpha value of at least 0.99.

26. The method of claim 14 further comprising: plotting the empirical distribution and confidence bounds for the one or more selected virtual metrology target parameters; and displaying the plots on a graphical user interface.

27. A system, comprising: at least one computing device equipped with one or more processors and configured to generate a virtual fabrication environment that is configured to: perform a Design of Experiments (DOE) simulation for a semiconductor device structure, the simulation including a plurality of virtual fabrication runs, the plurality of virtual fabrication runs building a plurality of 3D models of the semiconductor device structure, receive a selection of one or more virtual metrology target parameters, determine whether virtual metrology data for the selected virtual metrology target parameters is normally distributed, compute an empirical distribution based on the virtual metrology data for one or more of the selected virtual metrology target parameters, determine an upper and lower specification limit for one or more of the selected virtual metrology target parameters, and output the upper and lower specification limits; and a display surface in communication with the at least one computing device, the display surface configured to display data generated in the virtual fabrication environment.

Description:
SYSTEMS AND METHODS FOR DETERMINING SPECIFICATION LIMITS IN A SEMICONDUCTOR DEVICE VIRTUAL FABRICATION ENVIRONMENT

Related Application

[001] This application claims priority to United States Provisional Patent Application No. 63/053,052, filed July 17, 2020, the entire content of which is incorporated herein by reference in its entirety.

Background

[002] Integrated circuits (ICs) implement a myriad of capabilities of modem electronic devices. To make the development of ICs more efficient, a semiconductor manufacturer will periodically develop a common fabrication process or “technology” to be used for production of its integrated circuits (for ease of explanation the term “technology” may be used herein to refer to a fabrication process for a semiconductor device structure that is being developed).

[003] Semiconductor development organizations at integrated device manufacturers (IDMs) and independent foundries spend significant resources developing the integrated sequence of process operations used to fabricate the chips (ICs) they sell from wafers ("wafers" are thin slices of semiconductor material, frequently, but not always, composed of silicon crystal). A large portion of the resources is spent on fabricating experimental wafers and associated measurement, metrology ("metrology" refers to specialized types of measurements conducted in the semiconductor industry) and characterization structures, all for the purpose of ensuring that the integrated process produces the desired semiconductor device structures. These experimental wafers are used in a trial-and-error scheme to develop individual processes for the fabrication of a device structure and also to develop the total, integrated process flow. Due to the increasing complexity of advanced technology node process flows, a large portion of the experimental fabrication runs result in negative or null characterization results. These experimental runs are long in duration, weeks to months in the "fab" (fabrication environment), and expensive. Semiconductor technology advances, including FinFET, TriGate, High-K/Metal-Gate, embedded memories and advanced patterning, have dramatically increased the complexity of integrated semiconductor fabrication processes. The cost and duration of technology development using this trial- and-error experimental methodology has concurrently increased.

[004] Attempts have been made to use conventional mechanical computer- aided design (CAD) tools and specialized technology CAD (TCAD) tools to model semiconductor device structures, with the goal of reducing the efforts spent on fabricating experimental wafers. General-purpose mechanical CAD tools have been found inadequate because they do not automatically mimic the material addition, removal, and modification processes that occur in an actual fab. TCAD tools, on the other hand, are physics-based modeling platforms that simulate material composition changes that occur during diffusion and implant processes, but not all of the material addition and removal effects that occur during other processes that comprise an integrated process flow. Typically, the 3D device structure is an input to TCAD, not an output. Furthermore because of the amount of data and computations required for physics-based simulations of processes, TCAD simulations are practically restricted to very small regions on a chip, most often encompassing just a single transistor. In state-of-the-art semiconductor fabrication technologies, most of the integration challenge concerns the interaction between processes that may be widely separated in the integrated process flow and the multiple different devices and circuits that comprise a full technology suite (transistors, resistors, capacitors, memories, etc.). Structural failures, stemming from both systematic and random effects, are typically the limiter in time-to-market for a new process technology node. As such, a different modeling platform and approach than mechanical CAD or TCAD is required to cover the larger scope of concern, and to model the entire integrated process flow in a structurally predictive fashion. Brief Summary

[005] Embodiments of the present invention provide a virtual fabrication environment for semiconductor device fabrication that includes an analytics module that enables the fitting of non-normally distributed virtual metrology data. More particularly, the analytics module allows a user accessing a virtual fabrication environment to use an algorithm to estimate extremes and sigma equivalent cutoffs for virtual metrology data that is not normally distributed. With this information the engineer or other user of the virtual fabrication environment can better understand the distribution of the virtual metrology data and set correct specification limits.

[006] In one embodiment, a computing device-implemented method includes performing a Design of Experiments (DOE) simulation for a semiconductor device structure. The simulation includes multiple virtual fabrication runs that build multiple 3D models of the semiconductor device structure. The method further includes receiving a selection of one or more virtual metrology target parameters and determining whether the virtual metrology data for the selected virtual metrology target parameters is normally distributed. The method additionally includes computing an empirical distribution based on the virtual metrology data for one or more of the selected virtual metrology target parameters and determining an upper and lower specification limit for one or more of the selected virtual metrology target parameters. The method further includes outputting the upper and lower specification limits.

[007] In another embodiment, a system includes at least one computing device and a display device. The at least one computing device is configured to generate a virtual fabrication environment. The virtual fabrication environment is configured to perform a Design of Experiments (DOE) simulation for a semiconductor device structure. The simulation includes multiple virtual fabrication runs that build multiple 3D models of the semiconductor device structure. The virtual fabrication environment is further configured to receive a selection of one or more virtual metrology target parameters and to determine whether the virtual metrology data for the selected virtual metrology target parameters is normally distributed. Additionally the virtual fabrication environment is configured to compute an empirical distribution based on the virtual metrology data for one or more of the selected virtual metrology target parameters and to determine an upper and lower specification limit for one or more of the selected virtual metrology target parameters. The virtual fabrication environment is also configured to output the upper and lower specification limits. The display surface is in communication with the at least one computing device and is configured to display data generated in the virtual fabrication environment.

Brief Description of the Drawings

[008] The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate one or more embodiments of the invention and, together with the description, help to explain the invention. In the drawings:

[009] Figure 1 depicts an exemplary virtual fabrication environment suitable for practicing an embodiment of the present invention;

[010] Figure 2 depicts an exemplary virtual fabrication console in the virtual fabrication environment;

[Oil] Figure 3 depicts an exemplary layout editor in the virtual fabrication environment;

[012] Figure 4 depicts an exemplary process editor in the virtual fabrication environment;

[013] Figure 5 depicts an exemplary sequence of steps performed in the virtual fabrication environment to generate virtual metrology measurement data;

[014] Figure 6 depicts an exemplary 3D viewer in the virtual fabrication environment;

[015] Figure 7 depicts an exemplary display of virtual metrology measurement data in the virtual fabrication environment;

[016] Figure 8 depicts an exemplary sequence of steps performed in the virtual fabrication environment to calibrate a process sequence in a virtual fabrication environment; [017] Figure 9 depicts an exemplary sequence of steps to set up and perform a virtual experiment generating virtual metrology measurement data for multiple semiconductor device structure models in the virtual fabrication environment;

[018] Figure 10 depicts an exemplary parameter explorer view used to provide process parameters for a virtual experiment in the virtual fabrication environment;

[019] Figure 11 depicts an exemplary tabular-formatted display of virtual metrology data generated in a virtual experiment in the virtual fabrication environment;

[020] Figure 12 depicts an exemplary graphical display of virtual metrology data generated in a virtual experiment in the virtual fabrication environment;

[021] Figure 13 depicts exemplary output from tests in a virtual fabrication environment to determine whether virtual metrology data follows a Gaussian (normal) distribution;

[022] Figure 14 depicts a histogram of a dataset that is non-Gaussian is distribution;

[023] Figure 15 depicts a histogram of the sidewall data of Figure 14 with an encompassing outline of the data;

[024] Figure 16 depicts a plot of an ECDF for the sidewall data of Figure 14 with 99% confidence bounds;

[025] Figure 17 depicts an extrapolation of confidence bounds using linear regression in an exemplary embodiment;

[026] Figure 18 depicts an example result from application of an NGF algorithm for the sidewall data set of Figure 14 in an exemplary embodiment;

[027] Figure 19 depicts a sequence of steps used to determine specification limits using a non-Gaussian fitting algorithm in an exemplary embodiment;

[028] Figure 20 depicts a graphical user interface provided by a virtual fabrication environment in an exemplary embodiment that enables the selection of virtual metrology targets; and [029] Figure 21 depicts a graphical user interface in an exemplary embodiment that enables a user to select multiple target parameters in order to display plots of the associated ECDFs at the same time.

Detailed Description

[030] Embodiments of the present invention provide a virtual fabrication environment for semiconductor device fabrication that includes an analytics module enabling the determination of specification limits using a fitting algorithm for virtual metrology data. However, prior to discussing the determination of specification limits and other features provided by embodiments, an exemplary 3D design environment/virtual fabrication environment into which an analytics module of the present invention may be integrated is first described.

Exemplary Virtual Fabrication Environment

[031] A virtual fabrication environment for semiconductor device structures offers a platform for performing semiconductor process development at a lower cost and higher speed than is possible with conventional trial-and-error physical experimentation. In contrast to conventional CAD and TCAD environments, a virtual fabrication environment is capable of virtually modeling an integrated process flow and predicting the complete 3D structures of all devices and circuits that comprise a full technology suite. Virtual fabrication can be described in its most simple form as combining a description of an integrated process sequence with a subject design, in the form of 2D design data (masks or layout), and producing a 3D structural model that is predictive of the result expected from a real/physical fabrication run. A 3D structural model includes the geometrically accurate 3D shapes of multiple layers of materials, implants, diffusions, etc. that comprise a chip or a portion of a chip. Virtual fabrication is done in a way that is primarily geometric, however the geometry involved is instructed by the physics of the fabrication processes. By performing the modeling at the structural level of abstraction (rather than physics-based simulations), construction of the structural models can be dramatically accelerated, enabling full technology modeling, at a circuit-level area scale. The use of a virtual fabrication environment thus provides fast verification of process assumptions, and visualization of the complex interrelationship between the integrated process sequence and the 2D design data.

[032] Figure 1 depicts an exemplary virtual fabrication environment 1 suitable for practicing an embodiment of the present invention. Virtual fabrication environment 1 includes a computing device 10 accessed by a user 2. Computing device 10 is in communication with a display 120. Display 120 may be a display screen that is part of computing device 10 or may be a separate display device or display surface in communication with computing device 10. Computing device 10 may be a PC, laptop computer, tablet computing device, server, or some other type of computing device equipped with one or more processors 11 and able to support the operations of virtual fabrication application, 70, 3D modeling engine 75 and analytics module 79 (described further below). The processor(s) may have one or more cores. The computing device 10 may also include volatile and non-volatile storage such as, but not limited to, Random Access Memory (RAM) 12, Read Only Memory (ROM) 13 and hard drive 14. In some instances, the computing device 10 may be additionally or alternatively be configured to access data that are stored remotely, such as in a distributed system in a datacenter. Computing device 10 may also be equipped with a network interface 15 so as to enable communication with other computing devices. It will be appreciated that computing device 10 rather than being a solitary computing device may also be implemented as a computing system with multiple computing devices working in parallel or other combination, and/or may include one or more virtual devices, such as one or more virtual machines hosted by a cloud service.

[033] Computing device 10 may store and execute virtual fabrication application 70 including 3D modeling engine 75. 3D modeling engine 75 may include one or more algorithms such as algorithm 1 (76), algorithm 2 (77), and algorithm 3 (78) used in virtually fabricating semiconductor device structures. 3D modeling engine 75 may accept input data 20 in order to perform virtual fabrication "runs" that produce semiconductor device structural model data 90. Virtual fabrication application 70 and 3D modeling engine 75 may generate a number of user interfaces and views used to create and display the results of virtual fabrication runs. For example, virtual fabrication application 70 and 3D modeling engine 75 may display layout editor 121, process editor 122 and virtual fabrication console 123 used to create virtual fabrication runs. Virtual fabrication application 70 and 3D modeling engine 75 may also display a tabular and graphical metrology results view 124 and 3D view 125 for respectively displaying results of virtual fabrication runs and 3D structural models generated by the 3D modeling engine 75 during virtual fabrication of semiconductor device structures. Virtual fabrication application 70 may also include analytics module 79 and an NGF algorithm for performing analysis of 3D models to determine specification limits as discussed further below.

[034] Input data 20 includes both 2D design data 30 and process sequence 40. Process sequence 40 may be composed of multiple process steps 43, 44, 47, 48 and 49. As described further herein, process sequence 40 may also include one or more virtual metrology measurement process steps 45. Process sequence 40 may further include one or more subsequences which include one or more of the process steps or virtual metrology measurement process steps. 2D design data 30 includes of one or more layers such as layer 1 (32), layer 2 (34) and layer 3 (36), typically provided in an industry-standard layout format such as GDS II (Graphical Design System version 2) or OASIS (Open Artwork System Interchange Standard).

[035] Input data 20 may also include a materials database 60 including records of material types such as material type 1 (62) and material type 2 (64) and specific materials for each material type. Many of the process steps in a process sequence may refer to one or more materials in the materials database. Each material has a name and some attributes such as a rendering color. The materials database may be stored in a separate data structure. The materials database may have hierarchy, where materials may be grouped by types and sub-types. Individual steps in the process sequence may refer to an individual material or a parent material type. The hierarchy in the materials database enables a process sequence referencing the materials database to be modified more easily. For example, in virtual fabrication of a semiconductor device structure, multiple types of oxide material may be added to the structural model during the course of a process sequence. After a particular oxide is added, subsequent steps may alter that material. If there is no hierarchy in the materials database and a step that adds a new type of oxide material is inserted in an existing process sequence, all subsequent steps that may affect oxide materials must also be modified to include the new type of oxide material. With a materials database that supports hierarchy, steps that operate on a certain class of materials such as oxides may refer only to the parent type rather than a list of materials of the same type. Then, if a step that adds a new type of oxide material is inserted in a process sequence, there is no need to modify subsequent steps that refer only to the oxide parent type. Thus hierarchical materials make the process sequence more resilient to modifications. A further benefit of hierarchical materials is that stock process steps and sequences that refer only to parent material types can be created and re-used.

[036] 3D Modeling Engine 75 uses input data 20 to perform the sequence of operations/steps specified by process sequence 40. As explained further below, process sequence 40 may include one or more virtual metrology steps 45, 49 that indicate a point in the process sequence during a virtual fabrication run at which a measurement of a structural component should be taken. The measurement may be taken using a locator shape previously added to a layer in the 2D design data 30. Alternatively, the measurement location may be specified by alternate means such as (x, y) coordinates in the 2D design data or some other means of specifying a location in the 2D design data 30 instead of through the use of a locator shape. The performance of the process sequence 40 during a virtual fabrication run generates virtual metrology data 80 and 3D structural model data 90. 3D structural model data 90 may be used to generate a 3D view of the structural model of the semiconductor device structure which may be displayed in the 3D viewer 125. Virtual metrology data 80 may be processed and presented to a user 2 in the tabular and graphical metrology results view 124.

[037] Because of the large number of structural dimensions that are critical to the success of an integrated technology such as semiconductor devices, finding the relationship between the many inter-related process steps used to fabricate a device structure and the created structure is critical. As structural modifications produced by a step in the process sequence may be affected by previous and subsequent steps in the sequence, a particular step may affect a structural dimension in ways that are not obvious. A virtual fabrication environment enables automatic extraction of structural measurements from the device being created. The automatic extraction of a measurement is accomplished by specifying a virtual metrology measurement step in the process sequence at a point in the process when the measurement is critical. A locator shape for this virtual metrology measurement can be added to a layer in the design data and specified by the virtual metrology measurement step. The output data from this virtual metrology measurement can be used to provide quantitative comparison to other modeling results or to physical metrology measurements. This virtual metrology measurement capability is provided by during the processing sequence to extract a critical physical dimension at the correct point in the integrated process flow.

[038] The ability to provide virtual metrology measurement data at specified locations in the device structure provides a significant improvement over conventional physical fab measuring techniques. Typically, physical in-fab measurements are done on specific characterization structures fabricated in the scribe lines or saw kerfs, adjacent to the product dice. In most cases, these characterization structures need to be designed to accommodate limitations of the measurement technique, such as optical spot size. Therefore, the characterization structures are not entirely representative of the actual structures on the product dice. Because of these differences, users of in-fab measurements usually face the challenge of inferring the result on the product structure from a measurement on a characterization structure. In the virtual fabrication environment, measurements can be added to any design layout at specified points in the process sequence thus providing greater insight into the effect of the inter-related process steps on the virtual structural model being constructed. As such, the in-fab challenge of measuring a characterization structure and inferring the result on a product structure is eliminated. [039] Figure 2 depicts an exemplary virtual fabrication console 123 to set up a virtual fabrication run in the virtual fabrication environment. The virtual fabrication console 123 allows the user to specify a process sequence 202 and the layout (2D design data) 204 for the semiconductor device structure that is being virtually fabricated. It should be appreciated however that the virtual fabrication console can also be a text-based scripting console that provides the user with a means of entering scripting commands that specify the required input and initiate building of a structural model, or building a set of structural models corresponding to a range of parameter values for specific steps in the process sequence. The latter case is considered a virtual experiment (discussed further below).

[040] Figure 3 depicts an exemplary layout editor in the virtual fabrication environment. The layout editor 121 displays the 2D design layout specified by the user in the virtual fabrication console 123. In the layout editor, color may be used to depict different layers in the design data. The areas enclosed by shapes or polygons on each layer represent regions where a photoresist coating on a wafer may be either exposed to light or protected from light during a photolithography step in the integrated process flow. The shapes on one or more layers may be combined (booleaned) to form a mask that is used in a photolithography step. The layout editor 121 provides a means of inserting, deleting and modifying a polygon on any layer, and of inserting, deleting or modifying layers within the 2D design data. A layer can be inserted for the sole purpose of containing shapes or polygons that indicate the locations of virtual metrology measurements. The rectangular shapes 302, 304, 306 have been added to an inserted layer (indicated by a different color) and mark the locations of virtual metrology measurements. As noted above, other approaches to specifying the locations for the virtual metrology measurements besides the use of locator shapes may also be employed in the virtual fabrication environment. The design data is used in combination with the process data and materials database to build a 3D structural model.

[041] Inserted layers in the design data displayed in the layout editor 121 may include inserted locator shapes. For example, a locator shape may be a rectangle, the longer sides of which indicate the direction of the measurement in the 3D structural model. For example, in Figure 3, a first locator shape 302 may mark a double patterning mandrel for virtual metrology measurement, a second locator shape 304 may mark a gate stack for virtual metrology measurement and a third locator shape 306 may mark a transistor source or drain contact for virtual metrology measurement

[042] Figure 4 depicts an exemplary process editor 122 in the virtual fabrication environment. The user defines a process sequence in the process editor. The process sequence is an ordered list of process steps conducted in order to virtually fabricate the user's selected structure. The process editor may be a text editor, such that each line or group of lines corresponds to a process step, or a specialized graphical user interface such as is depicted in Figure 4. The process sequence may be hierarchical, meaning process steps may be grouped into sub-sequences and sub sequences of sub- sequences, etc. Generally, each step in the process sequence corresponds to an actual step in the fab. For instance, a sub-sequence for a reactive ion etch operation might include the steps of spinning on photo resist, patterning the resist, and performing the etch operation. The user specifies parameters for each step or sub-step that are appropriate to the operation type. Some of the parameters are references to materials in the materials database and layers in the 2D design data. For example, the parameters for a deposit operation primitive are the material being deposited, the nominal thickness of the deposit and the anisotropy or ratio of growth in the lateral direction versus the vertical direction. This deposit operation primitive can be used to model actual processes such as chemical vapor deposition (CVD). Similarly, the parameters for an etch operation primitive are a mask name (from the design data), a list of materials affected by the operation, and the anisotropy.

[043] There may be hundreds of steps in the process sequence and the process sequence may include sub- sequences. For example, as depicted in Figure 4, a process sequence 410 may include a subsequence 412 made up of multiple process steps such as selected step 413. The process steps may be selected from a library of available process steps 402. For the selected step 413, the process editor 122 enables a user to specify all required parameters 420. For example, a user may be able to select a material from a list of materials in the material database 404 and specify a process parameter 406 for the material's use in the process step 413.

[044] One or more steps in the process sequence may be virtual metrology steps inserted by a user. For example, the insertion of step 4.17 "Measure CD" (414), where CD denotes a critical dimension, in process sequence 412 would cause a virtual metrology measurement to be taken at that point in the virtual fabrication run using one or more locator shapes that had been previously inserted on one or more layers in the 2D design data. Inserting the virtual metrology steps directly in the fabrication sequence allows virtual metrology measurements to be taken at critical points of interest during the fabrication process. As the many steps in the virtual fabrication interact in the creation of the final structure, the ability to determine geometric properties of a structure, such as cross-section dimensions and surface area, at different points in the integrated process flow is of great interest to the process developer and structure designer.

[045] Figure 5 depicts an exemplary sequence of steps in the virtual fabrication environment to generate virtual metrology measurement data. The sequence begins with a user selecting a semiconductor device structure to be fabricated (step 502). The user may select from among multiple available sets of design data files and then select a rectangular region within the design data. For example the user may choose a FinFET or a passive resistor or a memory cell. Following the determination/selection of the structure to be fabricated, the user enters a process sequence in the process editor 122 (step 504a) and selects 2D design data that is expected to result in the desired structure (step 504b). Optionally, the user may create or modify design data in the layout editor 121. In the process editor, the user may insert one or more virtual metrology steps in the process sequence that specify a point during the virtual fabrication that the user would like virtual metrology measurements to be taken at specified locations in the evolving structure (step 506a). The user may insert locator shapes in the 2D design data displayed in the layout editor 121 that may be used by the virtual metrology step to perform its measurements (step 506b). The significance of a locator shape depends on the type of measurement requested. For example, the longer axis of a rectangular shape may indicate the direction and extent of a length measurement to be taken on a cross section of the structure, or the rectangle itself may designate a region where the contact area between two materials is to be measured. It will be appreciated that both above- described steps in the process editor may be performed before the steps in the layout editor or vice-versa in the virtual fabrication environment.

[046] After the one or more locator shapes have been added to one or more layers in the 2D design data (step 506b) and the virtual metrology step(s) have been added to the process sequence (506a) the user sets up a virtual fabrication run using the virtual fabrication console 123 (step (508). During the virtual fabrication run, the process steps in the process sequence 40 are performed in the order specified by the 3D modeling engine 75. When the virtual fabrication reaches the virtual metrology step, a virtual "measurement" of the specified component in the structure being fabricated is performed. The computations done by the modeling engine depend on the nature of the measurement being requested, and are generally consistent with the analogous physical measurement technique in the fab. For example, critical dimension scanning electron microscope (CD-SEM) measurements in the fab locate sidewalls by detecting rapid changes in the orientation of the top surface of a structure. Similarly in a virtual metrology operation, the 3D modeling engine extracts the top surface of the structure in the region specified by a locator rectangle, interrogates the surface along its intersection with a plane defined by the intersection of the longer axis of the rectangle and the vertical axis for changes in slope that exceed a threshold (5 degrees, for example). Large changes in slope define faces of a feature, such as the bottom, top and sides of a ridge in the structure. Having established the locations of bottom, top and sides of a feature, the distance between the sides of the feature is computed at a vertical location (bottom, middle, or top) specified by the metrology step. The 3D modeling engine generates one or more types of output as it builds structural models. One type of output is the structural model itself, and may include its state at one or more points in the process sequence. The 3D model may be displayed to a user in the 3D viewer 125 (step 512a). The 3D modeling engine also exports the virtual metrology data (step 510). The virtual metrology data 80 may be exported to an automatic data analysis tool for further processing or may be displayed to a user through a user interface such as the tabular and graphical metrology results view 124 or other view (step 512b). If the structure when viewed or analyzed is satisfactory (step 513), the virtual fabrication run ends (step 514). If the structure created by the 3D modeling engine is unsatisfactory, the user modifies the process sequence and/or the 2D design data (step 516) and a new virtual fabrication run is set up (step 508).

[047] Figure 6 depicts an exemplary 3D viewer 125 in the virtual fabrication environment. The 3D viewer 75 may include a 3D view canvas 602 for displaying 3D models generated by the 3D modeling engine 75. The 3D viewer 75 may display saved states 604 in the process sequence and allow a particular state to be selected 606 and appear in the 3D view canvas. The 3D Viewer provides functionality such as zoom in/out, rotation, translation, cross section, etc. Optionally, the user may activate a cross section view in the 3D view canvas 602 and manipulate the location of the cross section using a miniature top view 608.

[048] Another type of output from the 3D modeling engine 75 is the data produced by virtual metrology steps that are included in the process sequence. Figure 7 depicts an exemplary display of virtual metrology measurement data 80 generated by multiple virtual metrology measurement steps in the virtual fabrication environment. The virtual metrology measurement result data 80 may be displayed in a tabular or graphical form including 2D X-Y plots and multi-dimensional graphics.

[049] The techniques employed in the exemplary virtual fabrication environment are geometry-based. Calibration of the process step input parameters with actual experimental results from a physical fabrication to make virtual experiments more predictive is therefore advisable. Such calibration of the process steps results in improved modeling accuracy for all structures that comprise the full technology suite. Calibration can be executed on individual process steps from measurements, metrology or other physical characterization methods on characterization structures or product structures. Calibration may be conducted by comparing modeling results, including virtual metrology measurement data, to corresponding measurements or metrology conducted in the physical fab (on corresponding characterization or product structures), and subsequently adjusting modeling parameters such that the resulting virtually fabricated structures better match the physically fabricated structures. With proper calibration of modeling process parameters, the virtual fabrication environment becomes more predictive of the structures that result from physical fabrication throughout the entire allowed design space.

[050] Figure 8 depicts an exemplary sequence of steps to calibrate a process sequence in a virtual fabrication environment. The sequence includes steps taken in both a virtual fabrication environment and a corresponding physical fab environment. In the virtual fabrication environment, the user selects a process sequence (for a structure to be virtually fabricated) to be calibrated and identifies related process parameters (step 802a). In the physical fab the user identifies a set of characterization or product structures for measurement during a fabrication run (step 802b). Back in the virtual fabrication environment the user enters the process sequence in the process editor (step 804a) and the 2D design data (layout) that defines the characterization structures is selected from available 2D design data or created for the purpose in the layout editor 121 (step 804b) The same design data is used for virtual fabrication and actual characterization. As discussed above, the user inserts one or more virtual metrology steps in the process sequence (step 806a) and adds measurement locator shapes to the 2D design data (step 806b). The user sets up a virtual fab run in the virtual fabrication console (step 808) and the 3D modeling engine builds the 3D model, and generates and exports virtual metrology data (step 812a). In parallel or offset with the virtual fabrication run, the physical fabrication environment creates the characterization or product structures (step 810) and in-fab images and measurements are taken on these structures (step 812b). The user may then compare the 3D views of the generated virtual model in the 3D viewer 75 to the in-fab images of the physical device structure (step 814a). Further, the set of characterization structure measurements may be compared to the virtual metrology measurements taken as a result of the virtual metrology step being inserted into the process sequence (step 814b). In most cases, this comparison is made by the user, but alternatively the comparison may be made by an automated data analysis tool based on pre-defined or interactively solicited criteria. If there is satisfactory agreement between the views and images and the virtual and actual measurements (step 815), the process sequence is considered calibrated (step 816). However, if there is not satisfactory agreement (step 815), the user modifies the values of the process parameters in the process editor (step 818) and a new virtual fabrication run is set up in the virtual fabrication console (step 808). The sequence then iterates until a satisfactory agreement is reached and calibration is achieved.

[051] It should be appreciated that there may be a number of different parameters that may be calibrated within the sequence. Although the above description notes the use of the insertion of virtual metrology steps in the process sequence and the related use of the 2D locator shape or shapes to conduct the virtual metrology measurements, other techniques could be employed in the in a virtual fabrication environment. For example, the virtual measurements could be conducted on a virtual device structure after fabrication is completed and then compared to the physical measurements taken of the characterization structures during/after the physical fabrication run.

[052] While building a single structural model can be valuable, there is increased value in virtual fabrication that builds a large number of models. A virtual fabrication environment may enable a user to create and run a virtual experiment. In a virtual experiment, a range of values of process parameters can be explored. A virtual experiment may be set up by specifying a set of parameter values to be applied to individual processes (rather than a single value per parameter) in the full process sequence. A single process sequence or multiple process sequences can be specified this way. The 3D modeling engine 75, executing in virtual experiment mode, then builds multiple models spanning the process parameter set, all the while utilizing the virtual metrology measurement operations described above to extract metrology measurement data for each variation. This capability may be used to mimic two fundamental types of experiments that are typically performed in the physical fab environment. Firstly, fabrication processes vary naturally in a stochastic (non- deterministic) fashion. As explained herein, a fundamentally deterministic approach used for each virtual fabrication run nevertheless can predict non-deterministic results by conducting multiple runs. A virtual experiment mode allows the virtual fabrication environment to model through the entire statistical range of variation for each process parameter, and the combination of variations in many/all process parameters. Secondly, experiments run in the physical fab may specify a set of parameters to be intentionally varied when fabricating different wafers. The virtual experiment mode enables the Virtual Fabrication Environment to mimic this type of experiment as well, by performing multiple virtual fabrication runs on the specific variations of a parameter set.

[053] Each process in the fabrication sequence has its own inherent variation. To understand the effect of all the aggregated process variations in a complex flow is quite difficult, especially when factoring in the statistical probabilities of the combinations of variations. Once a virtual experiment is created, the process sequence is essentially described by the combination of numerical process parameters included in the process description. Each of these parameters can be characterized by its total variation (in terms of standard deviation or sigma values), and therefore by multiple points on a Gaussian distribution or other appropriate probability distribution. If the virtual experiment is designed and executed to examine all of the combinations of the process variations (multiple points on each Gaussian, for example the ±3 sigma, ±2 sigma, ±1 sigma, and nominal values of each parameter), then the resulting graphical and numerical outputs from virtual metrology steps in the sequence cover the total variation space of the technology. Even though each case in this experimental study is modeled deterministically by the virtual fabrication system, the aggregation of the virtual metrology results contains a statistical distribution. Simple statistical analysis, such as Root Sum Squares (RSS) calculation of the statistically uncorrelated parameters, can be used to attribute a total variation metric to each case of the experiment. Then, all of the virtual metrology output, both numerical and graphical, can be analyzed relative to the total variation metric.

[054] In typical trial-and-error experimental practice in a physical fab, a structural measurement resulting from the nominal process is targeted, and process variations are accounted for by specifying an overly large (conservative) margin for the total variation in the structural measurement (total structural margin) which must be anticipated in subsequent processes. In contrast, the virtual experiment in the virtual fabrication environment can provide quantitative predictions of the total variation envelope for a structural measurement at any point in the integrated process flow. The total variation envelope, rather than the nominal value, of the structural measurement may then become the development target. This approach can ensure acceptable total structural margin throughout the integrated process flow, without sacrificing critical structural design goals. This approach, of targeting total variation may result in a nominal intermediate or final structure that is less optimal (or less aesthetically pleasing) than the nominal structure that would have been produced by targeting the nominal process. However, this sub-optimal nominal process is not critical, since the envelope of total process variation has been accounted for and is more important in determining the robustness and yield of the integrated process flow. This approach is a paradigm shift in semiconductor technology development, from an emphasis on the nominal process to an emphasis on the envelope of total process variation.

[055] Figure 9 depicts an exemplary sequence of steps in the virtual fabrication environment to set up and perform a virtual experiment generating virtual metrology measurement data for multiple semiconductor device structural models.

The sequence begins with a user selecting a process sequence (which may have been previously calibrated to make the results more structurally predictive (step 902a) and identifying/creating 2D design data (step 902b). The user may select process parameter variations to analyze (step 904a) and/or design parameter variations to analyze (step 904b). The user inserts one or more virtual metrology steps in the process sequence as set forth above (step 906a) and adds measurement locator shapes to the 2D design data (step 906b). The user may set up the virtual experiment with the aid of a specialized user interface, an automatic parameter explorer 126 (step 908). An exemplary automatic parameter explorer is depicted in Figure 10 and may display, and allow the user to vary, the process parameters to be varied 1002, 1004, 1006 and the list of 3D models to be built with their corresponding different parameter values 1008. The parameter ranges for a virtual experiment can be specified in a tabular format. The 3D modeling engine 75 builds the 3D models and exports the virtual metrology measurement data for review (step 910). The virtual experiment mode provides output data handling from all Virtual Measurement/Metrology operations. The output data from the virtual metrology measurements may be parsed and assembled into a useful form (step 912).

[056] With this parsing and assembling, subsequent quantitative and statistical analysis can be conducted. A separate output data collector module 110 may be used to collect 3D model data and virtual metrology measurement results from the sequence of virtual fabrication runs that comprise the virtual experiment and present them in graphical and tabular formats. Figure 11 depicts an exemplary tabular-formatted display of virtual metrology data generated by a virtual experiment in the virtual fabrication environment. In the tabular formatted display, the virtual metrology data collected during the virtual experiment 1102 and the list of virtual fabrication runs 1104 may be displayed.

[057] Figure 12 depicts an exemplary 2D X-Y graphical plot display of virtual metrology data generated by a virtual experiment in the virtual fabrication environment. In the example depicted in Figure 10, the total variation in shallow trench isolation (STI) step height due to varying 3 parameters in preceding steps of the process sequence is shown. Each diamond 1202 represents a virtual fabrication run. The variation envelope 1204 is also displayed as is the depicted conclusion 1206 that the downstream process modules must support approximately 10.5 nm of total variation in STI step height to achieve robustness through 6 sigma of incoming variation. The virtual experiment results can also be displayed in multi-dimensional graphic formats.

[058] Once the results of the virtual experiment have been assembled, the user can review 3D models that have been generated in the 3D viewer (step 914a) and review the virtual metrology measurement data and metrics presented for each virtual fabrication run (step 914b). Depending on the purpose of the virtual experiment, the user can analyze the output from the 3D modeling engine for purposes of developing a process sequence that achieves a desired nominal structural model, for further calibrating process step input parameters, for optimizing a process sequence to achieve a desired process window or to determine specification limits for selected virtual metrology parameter targets.

[059] The 3D modeling engine’s 75 task of constructing multiple structural models for a range of parameter values (comprising a virtual experiment) is very compute intensive and therefore could require a very long time (many days or weeks) if performed on a single computing device. To provide the intended value of virtual fabrication, model building for a virtual experiment must occur many times faster than a physical experiment. Achieving this goal with present day computers requires exploiting any and all opportunities for parallelism. The 3D modeling engine 75 uses multiple cores and/or processors to perform individual modeling steps. In addition, the structural models for different parameter values in a set are completely independent and can therefore be built in parallel using multiple cores, multiple processors, or multiple systems.

[060] The 3D modeling engine 75 in the virtual fabrication environment may represent the underlying structural model in the form of voxels. Voxels are essentially 3D pixels. Each voxel is a cube of the same size, and may contain one or more materials, or no materials. Those skilled in the art will recognize that the 3D modeling engine 75 may also represent the structural model in other formats. For instance, the 3D modeling engine could use a conventional NURBS-based solid modeling kernel such as is used in 3D mechanical CAD tools, although modeling operations based on a digital voxel representation are far more robust than the corresponding operations in a conventional analog solid modeling kernel. Such solid modeling kernels generally rely on a large number of heuristic rules to deal with various geometric situations, and modeling operations may fail when the heuristic rules do not properly anticipate a situation. Aspects of semiconductor structural modeling that cause problems for NURBS-based solid modeling kernels include the very thin layers produced by deposition processes and propagation of etch fronts that results in merging faces and/or fragmentation of geometry.

[061] The virtual fabrication environment may enable the performance of a multi-etch process that is included in the process sequence which allows the 3D modeling engine 75 to model a wide-range of process and material- specific etch behavior. Patterning operations in process flows for highly scaled semiconductor devices are frequently performed using plasma etches. Plasma etches are known by many different names: dry etch, reactive ion etch (RIE), inductively coupled plasma (ICP) etch, etc. A wide variety of operating conditions and chemistry allows process engineers to fine-tune plasma etch behavior to selectively achieve diverse etch physics in multiple different classes of materials. This behavioral flexibility is key to achieving a desired 3D structure when patterning through several layers of material. Several different types of physics are typically involved, including but not limited to: chemical etching, sputtering, deposition or re-deposition of polymeric material, electrostatic charging, electrostatic focusing, and shadowing. This diverse spectrum of physics produces a commensurate range of etch behavior and hence structural shapes.

[062] Directly simulating the physics involved in plasma etches with sufficient accuracy is extremely difficult and slow. The multi-etch process step avoids the difficulties of physics-based simulations by simulating plasma etches using a reduced set of behavioral parameters that are specific to the type of etch and the material being etched. This allows the capture of a wide range of physical etch behavior without the need to directly simulate the physics of the etch process. For example, three main types of etch behavior may be simulated: isotropic, taper, and sputtering. A fourth type of etch behavior, shadowing, can optionally also be simulated.

[063] Basic (isotropic) behavior is caused (physically) by chemical etching and results in material being removed at a similar rate in all directions from the point on the etchable surface, regardless of the local orientation of the etchable surface. Basic behavior may be modeled with a single input parameter, “lateral ratio”, that controls the ratio between the lateral and vertical etch rates. For example, a lateral ratio value of one (1.0) indicates that the etch rate is uniform in all directions. A lateral ratio value less than one indicates that the etch rate in the lateral direction (on vertical surfaces) is slower than the etch rate in the vertical direction (on horizontal surfaces). [064] Taper behavior is caused (physically) by a combination of directional etch behavior and polymer deposition. The polymer deposition occurs as a side effect of a directional etch process. During a directional etch process that etches horizontal surfaces much faster than vertical surfaces, polymer may accumulate on near- vertical surfaces. This competition between etching and deposition results in tapered sidewall profiles. Taper behavior may be modeled with a single input parameter, the taper angle. A taper angle describes the critical angle at which deposition and etch rates are balanced. An optional second parameter, the lateral ratio, has the same meaning as defined above for basic behavior.

[065] Sputter behavior refers to direct physical removal of material through bombardment by energetic ions and results in preferential removal of protruding edges (convex edges) and in some cases comers. Sputtering may be modeled with two parameters: the angle of maximum sputter yield, and the rate of sputter relative to the rate of vertical etching.

[066] Shadowing refers to a reduction in directional ion flux caused by a local elevation change, effectively reducing etch rates for some structures. This effect can be significant in some cases, resulting in differing etch rates across a cell. Shadowing may be modeled using a single parameter to describe angle of incidence of the energetic ions relative to a vertical axis.

[067] To model a multi-material, multi-physics etch, the input parameters described above must be formed into a suitable numerical modeling algorithm in the virtual fabrication environment. The numerical modeling algorithm includes single material and multi-material speed functions and a surface evolution technique. A single-material speed function defines the etch speed as a function of local surface orientation (i.e., surface normal direction) and is determined empirically in order to produce the desired etch behavior. Note also that a single-material speed function may combine multiple types of etch behavior; for example, both taper and sputter etching include the parameters associated with basic (isotropic) etching. A multi material speed function is a combination of single-material speed functions, and calculates the local etch speed as a function of both local surface orientation and local material type. The Etch Ratio parameter defines the relative etch rates of etchable materials and is a multiplication factor on the single-material speed.

[068] With the speed function defined, a suitable surface evolution technique may be used to locate and evolve the position of the etchable surface in three dimensions. The etchable surface is advected or moved in its local normal direction according to the local scalar speed determined by evaluating the speed function. The scalar speed must be calculated at points of interest on the etchable surface and must be periodically re-calculated as the geometry of the etchable surface evolves.

[069] A number of different types of surface evolution techniques may be utilized by the numerical algorithm for simulating the multi-etch process in the virtual fabrication environment. The moving surface may be represented using any suitable numerical spatial discretization. Explicit front tracking methods may be used: examples include string methods, point- and-line methods (2D) and polygon surfaces (3D). An alternate implicit surface representation, such as distance fields, volume of fluid or voxels, may also be used. Any suitable time-dependent numerical technique may be used to advance the moving surface in time.

[070] A selective epitaxy process may be included in a process sequence used to virtually fabricate a semiconductor device structure. The selective epitaxy process virtually models epitaxial growth of a crystalline material layer on top of a crystalline substrate surface of a semiconductor device structure. Selective epitaxy is widely used in contemporary semiconductor process flows, often for the purpose of imparting mechanical stress on the transistor channel to improve performance. A key characteristic of epitaxial growth is its dependence on crystal directions. Semiconductor devices are normally fabricated on single crystal silicon wafers; i.e., silicon material with atoms arranged in a repetitive crystal lattice structure that is continuous over the majority of the wafer. Silicon crystal structure is anisotropic (i.e., not symmetric in all directions), and silicon surfaces are more stable in several particular crystal directions. These directions are defined by the major crystal plane families, identified as <100>, <110> and <111> using their Miller indices, and have the strongest impact on growth characteristics. By varying the pressure, temperature and chemical precursors in the epitaxy process, engineers can control the relative growth rates of the three major planes. Growth rates on minor planes, for example <211>, <311 >, <411>, also vary but often are not influential in determining the final shape of an epitaxially grown structure.

[071] The virtual fabrication environment may use a surface evolution algorithm to model epitaxial growth. The surface upon which epitaxial growth is occurring (the growing surface) is advected or moved according to a scalar advection speed. The growth rate is calculated at selected points based on the local surface normal direction and fixed input parameters, is local in both distance and time, and moves the surface in its normal direction. The growing surface may be represented using any suitable numerical spatial discretization. Explicit front tracking methods may be used: examples include string methods, point-and-line methods (2D) and polygon surfaces (3D). An alternate implicit surface representation, such as distance functions, volume of fluid or voxels, may also be used. Any suitable time-dependent numerical technique may be used to advance the growing surface in time.

[072] The selective epitaxy process in the virtual fabrication environment utilizes the growth rates of the three major plane families, < 100>, < 110> and < 111 > as fixed input parameters. These input parameters define the growth rate for surfaces that are aligned with any one of their associated planes. Further input parameters may include growth rates on neighboring non-crystalline materials. The relationship between the 3D modeling coordinate system and the crystal lattice of the wafer may also be considered when calculating the epitaxial growth rate. The 3D modeling coordinate system normally uses the same X and Y axes as the 2D design data and the Z axis is normally perpendicular to the surface of the wafer. Alternate coordinate systems may also be employed. On a real wafer, the orientation of the crystal lattice is indicated by a “flat” or “notch” on the edge of the otherwise circular wafer. The notch may be used as a reference to orient the 2D design data in the desired direction relative to the crystal lattice. Input parameters specifying the notch (or flat) type and direction may define the orientation of the crystal lattice and associated crystal planes of the wafer relative to the 2D design data. It should be noted that this relationship can be described as a coordinate transformation between the 3D model coordinate system and the coordinate system of the crystal lattice.

[073] Using the growth rates for the major plane families and knowing the orientation of the crystal lattice, the epitaxial growth rate may be calculated everywhere on the growing surface. Areas of the growing surface with a normal direction that is aligned with a major plane direction are assigned the speed of that major plane. For areas of the growing surface that are not aligned with a major plane direction, an appropriate speed must be found by interpolating between neighboring major plane directions. Further, the behavior of the epitaxial growth at the boundaries of the crystalline material can also be important. Epitaxial growth is often performed after several prior processing steps in which non-crystalline materials have been deposited and patterned. These non-crystalline materials may be adjacent to crystalline material and hence in close proximity to epitaxial growth. Examples of non-crystalline neighboring materials are silicon dioxide, silicon nitride, or any other materials common in semiconductor processing. In some cases, epitaxial growth slowly creeps along adjacent non-crystalline material (overgrowth) but in other cases it does not. Overgrowth behavior may be modeled with fixed input parameters defining the set of neighboring materials on which overgrowth occurs (overgrowth materials), as well as the speed at which the growing surface creeps along the overgrowth materials. The overgrowth speed modifies the epitaxial growth rate at the surface of the overgrowth materials such that the growing surface moves along the overgrowth material at the specified speed. In addition, the speed at which the growing surface moves along the overgrowth material may depend on the angle between the overgrowth material surface and the growing surface. The overgrowth speed may be ignored if the angle between the two surfaces is greater than a threshold angle.

[074] Design Rule Checks (DRCs) or Optical Rule Checks (ORCs) may be performed in the virtual fabrication environment. DRCs and ORCs have typically been performed by specialized software on 2D design data as part of the process of preparing 2D design data for conversion into photolithography masks. Such checks are performed for purposes of identifying errors in the layout that would result in non functional or poorly functioning chips. The checks are also performed after adding compensations for optical effects such as optical proximity correction (OPC). Typical design rules (as published in design manuals and coded in DRC decks) are simple 2D criteria intended to prevent problems that are fundamentally 3D in nature. However, with the growing complexity of semiconductor process technology, design manuals have blossomed into thousand-page documents with thousands of 2D design rules to codify and explain. In many cases, a single 3D failure mechanism/concern can drive hundreds of 2D design rules. The development of those 2D design rules requires significant assumptions about the 3D nature of the integrated process flow and resulting structures.

[075] 2D DRCs are developed from relatively simple calculations that may result in overly conservative designs. For example, consider the 2D design rules required to assure a minimum contact area between a line on a metal interconnect layer and an underlying via. A via is a vertical, electrically conductive connector between two interconnect layers, also called metal layers, or a vertical connector between an interconnect layer and a device such as a transistor, resistor or capacitor.

[076] Many additional 2D DRCs are required to satisfy a criterion that is very simple to state in 3D: that the contact area between metal lines and vias must exceed a specified threshold value. The 2D DRC situation becomes even more complex when one considers that multiple manufacturing variations can affect the contact area, including over or under-exposure during lithography steps, mis registration of the masks, planarization (via chemical mechanical polishing (CMP)) of the via layer, and the sidewall tapers produced by plasma etching. It is infeasible to include all of these statistical variations in the simple formulae that drive 2D DRCs, so the DRCs are stricter than necessary to guard against manufacturing variations. These overly strict 2D DRCs may result in sub-optimal designs with wasted area on the die. [077] In contrast to a 2D DRC environment, a virtual fabrication environment may perform checks, such as minimum line width, minimum space between features, and minimum area of contacts, directly in 3D without making assumptions about the translation from 2D to 3D. Checks performed directly in 3D are referred to herein as "3D DRCs". One benefit of 3D DRC is that the required number of checks is significantly smaller than the number required in 2D environments. As a result, the checks are more robust and easier to develop than 2D checks. Furthermore, with a much smaller set of 3D rules, the virtual fabrication environment can perform the checks for a range of statistical variations in process parameters.

[078] It should be appreciated that 3D-DRCs are distinct from virtual measurement/metrology operations that may also be performed in the virtual fabrication environment. The virtual measurement metrology operations mimic actual measurement and metrology operations in the fab, whereby a measurement location is specified and a metric such as a distance value or area is output. For 3D DRCs, on the other hand, a geometric criterion is specified and the location and value of the criterion are desired. That is, the location is an output of the 3D DRC operation rather than an input. For example, a virtual metrology operation may specify an oxide film thickness measurement at a specific location indicated by a locator in the 2D design data, whereas a 3D DRC for minimum layer thickness may request the location(s) anywhere in the 3D model where the oxide film thickness is less than a specified threshold value. The 3D structural model may then be searched for locations where the specified minimum dimensional criteria are satisfied. Similarly, a 3D DRC may also cause the structural model to be searched to see if a maximum dimensional criterion is satisfied. 3D DRCs of this type thus provide benefits unavailable with virtual measurement/metrology operations for identifying unexpected causes of failures.

[079] Examples of 3D-DRCs include:

• Electrical Net Isolation : finds the shortest distance between selected conductors. A conductor is a lump that may be comprised of one or more conducting materials (a "lump" is a discrete volumetric region (technically, a 3-manifold) within a 3D structural model. A lump may be composed of a single material or multiple materials);

• Minimum Separation : finds the shortest distance between any pair in a group of selected lumps;

• Minimum Line Width, finds the shortest distance through any lump in a group of selected lumps;

• Minimum Layer Thickness, finds the shortest distance through any lump in the collection of lumps that comprise a layer of material;

• Minimum Contact Area: finds the smallest contact area between all pairs of selected lumps.

[080] Lumps may be selected on the basis of constituent material(s), electrical conductivity or other properties. Each of the 3D DRC checks can be extended by specifying a threshold value. For example, specifying a threshold value for a Minimum Line Width check produces a list of locations where the minimum line width is less than the threshold value. Those skilled in the art will recognize that other checks of this nature may be defined.

Analytics Module

[081] In one embodiment, the virtual fabrication environment includes an analytics module. The analytics module is designed to mimic the workflows in use cases encountered by semiconductor process integrators. Exemplary use cases encountered by semiconductor process integrators and addressed by the analytics module may include but are not limited to, key parameter identification, process model calibration, variability analysis, process window optimization and the determination of specification limits from virtual metrology data. In key parameter identification, the analytics module may find process steps/parameters that most strongly influence an outcome (calibration, defect mode, etc.). In process model calibration, the process parameters may be adjusted to make the 3D model match measurements from a physical fab, such as, but not limited to, Transmission Electron Microscopy (TEM) data or a process target. In variability analysis, the analytics module may assist the user in analyzing and understanding the variability in metrology data obtained for a set of virtual 3D models such as by, but not limited to, estimating variability in structural or electrical parameters for specification limit setting. In process window optimization, the analytics module may analyze and display information in the virtual fabrication environment to help a user understand the sensitivity of the yield of the POR to parameter nominal values and window sizes and to assist the user in assessing yield improvement scenarios when adjusting parameter nominals and allowed ranges, starting from the POR. The determination of specification limits from virtual metrology data is discussed further below.

[082] In one embodiment, the analytics module is integrated into the virtual fabrication environment resulting in improved and new functionality not available via third party statistical solutions. In an embodiment, the UI and algorithms may be organized by use cases and follow a left-side UI, step-wise flow for each use case.

This design may strongly guide the user (who may lack statistical training) to perform correct analysis steps so that they avoid mistakes in the analysis. The analytics module may also include a statistical analysis engine that employs a set of analysis algorithms to correctly analyze each specific use case. Results of the analysis may be provided and/or displayed to a user or to third party software in a number of formats.

[083] Inputs to the analytics module may include, but are not limited to, selection of the type of analysis, which may be organized by use case (e.g., identifying key parameters, optimization, calibration, variability analysis, process window optimization, etc.). Additional exemplary inputs may include process parameters of interest (e.g., specified as nominal values and/or ranges) and targets of interest (e.g., metrology values, structure searches, DTC checks, electrical analysis values). In one embodiment, an input value may be a reference to a 3D model file. In some embodiments, the analytics module may perform run list generation to set up an experimental Design of Experiments (DOE) (e.g., a screening D.O.E., a full factorial D.O.E., a Monte Carlo simulation) followed by run list execution and may utilize cluster computing to increase efficiency during execution. Outputs from execution may include outlier detection and statistical analysis results such as determining parameter significance/ranking. Outputs may also include exploratory graphs (e.g., bivariate plots, response surface) and indirect optimization. In one embodiment results may also be exported to third party tools for further analysis.

[084] D.O.E. is a methodology for calculating the number of experiments at specific combinations of parameters settings such that more information is gained for less experimental effort. Monte Carlo simulation is a D.O.E. option that allows for random generation of parameter settings using normal or uniform distributions.

In an embodiment, the UI allows the user to input means and standard deviations for normally distributed parameters, or minima and maxima for uniformly distributed parameters, and random values are generated accordingly. As a parameter for the D.O.E., the user may also enter the number of virtual fabrication runs desired.

Specification Limit Determination Using NGF Algorithm

[085] Virtual metrology data generated from virtual fabrication runs in some virtual fabrication environments may be Gaussian or non-Gaussian in distribution because of the voxel-based representations used in representing the 3D models produced in the virtual fabrication environment. However, the non-Gaussian data is not correctly described by the customary Gaussian mean and sigma limits and therefore specification limits (the allowable ranges of measurements for virtual metrology targets) estimated from virtual metrology data using Gaussian fitting methods are frequently incorrect in those cases. Embodiments of the present invention provide a Non-Gaussian Fitting (NGF) algorithm that enables an engineer or other user using analytics software in the virtual fabrication environment to estimate extremes and sigma equivalent cutoffs for data that is not normally distributed. Thus, the engineer or other user of the virtual fabrication environment can better understand the distribution of the virtual metrology data and set correct specification limits for target parameters.

[086] Virtual fabrication environments that use internal data representations based on voxels produce virtual metrology data having different types of distributions. These distributions can range from a Gaussian distribution (visualized as a traditional bell curve) to highly non-Gaussian distributions (very discretized, asymmetric, and clumped). A 3D model resolution selected for the virtual fabrication of a semiconductor device in a virtual fabrication environment affects, but does not fully control, the extent of the non-Gaussian behavior.

[087] Some virtual fabrication environments currently implement tests to determine whether virtual metrology data follows a Gaussian (normal) distribution. Exemplary tests include a Gaussian probability density function (pdf) overlay on a data histogram, a quantile-quantile plot, and a Lilliefors Test. For example, the data depicted in Figure 13, which relates to a FinCD_mid metrology target, is (mostly) Gaussian distributed according to the three tests. Figure 13 depicts a Gaussian pdf overlay on a data histogram 1302. The data is symmetric and hill-shaped like a Gaussian distribution, and contains a small amount of discretization (186 values are unique out of 200). Also shown is a quantile-quantile plot 1304 which shows the extent of normality and a chart 1306 showing other relevant information. The table depicted in chart 1306 summarizes important information regarding the statistical behavior of the selected metrology (in this case: FinCD_Mid). For example, it begins with the sample data size (n), then estimated mean and standard deviation along with their confidence intervals and also shows how well the metrology data can be described as normal distribution by giving p-value of a normality test (the higher the value the more strongly the data can be described as a normal distribution). Subsequently, chart 1306 also makes note of metrology values at certain specific sigma values corresponding to percentages starting from 0.5% to 99.5%. When the distribution tests indicate that the virtual metrology data distribution is Gaussian, then the mean and standard deviation can be used to describe the data and to set ±1, ±2, ±3, ±4 sigma specification limits.

[088] However, if the virtual metrology data produced by the virtual fabrication environment is distributed in a non-Gaussian fashion according to the results of the applied tests, the engineer or other user of the virtual fabrication environment is conventionally faced with a problem. While some virtual fabrication environments may produce a table of percentiles of the non-Gaussian distributed data that is somewhat helpful, such a table cannot be used to make accurate estimates of the outer limits of the data (±3 or ±4 sigma, maximum/minimum).

[089] To more fully explain this problem, Figure 14 depicts an example of histograms of a sidewall data set 1402 of virtual metrology measurements produced by a virtual fabrication environment that is highly non-Gaussian in distribution. The data set has some discretization (only 154 unique values out of 197), is asymmetric (with a long tail at lower values and a short tail at higher values), and has clear clumps of data values. Conventional algorithms that assume a normal distribution and attempt to analyze this type of data provide inaccurate results. For example, some algorithms that are used conventionally for data analysis include a Gaussian Mixture Model that fits multiple weighted Gaussian densities to the data, Kernel Smoothing that fits a kernel density other than Gaussian to the data, and Pareto Tails that fits an empirical cumulative distribution function (ECDF) to only the middle of the data and uses the long-tailed Pareto distribution to fit the extremes of the data. These conventional algorithms either produce poor fits to the sidewall or fail to converge because of their underlying assumptions. More particularly, the algorithms assume the data is continuous (not discretized) and that the data distribution is effectively the result of adding together values from multiple, continuous, known distributions. For example, GMM adds together multiple weighted Gaussians, KS adds together multiple weighted non-Gaussian kernels, and the Pareto Tail method assumes the tails of the data distribution follow a Pareto distribution.

[090] Unfortunately, virtual metrology data for 3D models based on a voxel- based representation do not necessarily follow any known distribution. Most importantly, because of their assumptions, all these methods do not give good estimates of the behavior of the outer limits of the data (±3 or ±4 sigma, maximum/minimum) which are of the greatest interest to an engineer. It should be appreciated that being able to determine additional information at the outer limits of the metrology data gives a user an opportunity to relax process parameter ranges that are of concern. The additional information allows a user to achieve robust recipes (the specific combination and values of parameters for pressure, power, current frequency, etc. that must be adjusted to achieve certain desired structures on the wafer) more precisely where control over a tool’s precision is difficult to achieve or process parameter ranges are very tight.

[091] Embodiments of the present invention provide a non-parametric approach that utilizes a non-Gaussian fitting (NGF) algorithm within a virtual fabrication environment that handles non-Gaussian data without making any assumptions about the distribution of the data. For instance, such algorithms may use empirical distributions and use analytics of the empirical distributions to estimate the extremes.

[092] The histograms of non-Gaussian distributed data can provide a suggestion of population extremes. Figure 15 shows a histogram of the sidewall data of Figure 14 with an encompassing outline 1502 of the data. The ends of the outline 1502 suggest reasonable estimates for population extremes. More particularly, the right tail/upper limit shows a steep drop while the left tail/lower limit suggests a more gradual decline. The NGF algorithm described in this example uses an empirical distribution called an Empirical Cumulative Distribution Function (ECDF) for the virtual metrology data. For instance, it is observed that analytics such as confidence bounds can be computed for such an ECDF, and that those confidence bounds exhibit similar behavior to encompassing outlines whose extensions suggest reasonable estimates of target parameter extremes.

[093] In an embodiment, the confidence intervals for each ECDF may be calculated according to the following expression (also known as the Greenwood Formula):

C/(Z(t))=Confidence interval at Z(t )

Z(t) = Each point on the Empirical Cumulative Density Function (ECDF)

Var(Z(t))= Estimated Variance at Z(t) calculated with a confidence rate (%) n = Total observations (total unique values in ecdf) r = Number of occurrences of each value (for non-unique values more than one)

S(t) = Kaplan-Meier Estimator

[095] For example, Figure 16 shows a plot of the ECDF 1602 for the sidewall data of Figure 14 with 99% confidence bounds 1604. On the right tail, the lower confidence bound moves upward steeply. On the left tail, the upper confidence bound gradually moves downward. This is similar to the pattern that occurs in the outlined histogram depicted in Figure 15 where the right tail has a steep drop, while the left tail extends some. In one embodiment, the confidence bounds 1604 at the tails of the plotted ECDF 1602 are extrapolated 1702 using linear regression, as shown notionally in Figure 17. The ±3 or ±4 sigma points and maximal/minimal extremes (or another value in the tails) can be estimated using the linear regression model. It should be noted that for the median, ±1 sigma, and ±2 sigma points on a non-Gaussian distribution, linear interpolation that uses the raw data or the ECDF may still provide useful answers, provided the number of data points is reasonably large (200+) . However, there is generally more interest in the behavior of the outer limits of the data (+3 or +4 sigma and maximal/minimal extremes). In further embodiments, other forms of a predictive model could be used for the extension, e.g., quadratic or spline regressions instead of the linear regression model.

[096] In one embodiment the NGF algorithm performs the following sequence to predict +3 sigma specification limits:

[097] 1. Compute 99% confidence bounds for each data point on the

ECDF for the target parameter.

[098] 2. For the right tail: [099] a. Determine which sets of confidence bounds on the right tail contain the upper +3 sigma probability (0.99865).

[0100] b. Take the lower confidence bounds in those sets and use them as the independent variable (ignoring NaNs). The actual data values in that set become the dependent variable.

[0101] c. Calculate a linear regression with bias value.

[0102] d. Predict data values corresponding to chosen input probabilities, e.g., the estimated +3 sigma is predicted from an input of 0.99865 as the independent variable. The estimated population maxima is calculated from an input of 1.

[0103] 3. For the left tail

[0104] a. Determine which sets of confidence bounds on the left tail contain the lower -3 sigma probability (0.0013499).

[0105] b. Take the upper confidence bounds in those sets and use them as the independent variable (ignoring NaNs). The actual data values in that set become the dependent variable.

[0106] c. Calculate a linear regression with bias value.

[0107] d. Predict data values corresponding to chosen input probabilities, e.g., the estimated population -3 sigma is predicted from an input of 0.0013499 as the independent variable. The estimated population minima is calculated from an input of 0.

[0108] An example result from application of the NGF algorithm for the sidewall data set of Figure 14 is shown in Figure 18 in an exemplary embodiment. The minimum and maximum 1807 values in the measured data samples are 4.24 and 7.48, respectively. The encompassing outline suggests the left tail 1804 should extend further, and the right tail 1806 should extend only slightly. The estimated extremes 1808 from NGF are 4.01 and 7.55, which match the visual expectation when plotted. Figure 18 also depicts a table 1810 with all the points on the distribution (median, ±1, ±2, ±3, estimated extremes) computed by the analytics module in the virtual fabrication environment. [0109] In another embodiment, different alpha levels (see Greenwood Formula, above) for the confidence bounds may be chosen either as a programmatic default or through a user supplied input via a provided user interface in the virtual fabrication environment. Alpha values control the acceptable percentage of failing to predict the certain quantity correctly. For example, if an alpha value is kept at 5% percent, that would mean that out of 100 predictions it is acceptable to predict 5 incorrectly.

[0110] In exemplary embodiments, use of the NGF algorithm thus provides a non-parametric approach in the way the algorithm fits the tails of the data regardless of whether the tail distribution follows a known, defined distribution. Instead of attempting to force a fixed distribution to a tail as is the case with conventional approaches, the NGF algorithm uses the confidence bounds on an ECDF as a way to fit the tail shape of a data distribution.

[0111] Figure 19 depicts a sequence of steps used to determine specification limits using an NGF algorithm in an exemplary embodiment. The sequence begins with a D.O.E. simulation being performed in the virtual fabrication environment to create 3D models of a semiconductor device structure (step 1902). The virtual fabrication environment receives a selection of virtual metrology target parameters (step 1904). A determination is made whether virtual metrology data for the selected virtual metrology target parameters follows a normal distribution (i.e., whether the virtual metrology data is distributed in a normal or non-normal fashion) (step 1906).

In one embodiment, the determination of the normality of the distribution of the virtual metrology data for the selected virtual metrology target parameters is programmatically made by the analytics module. In another embodiment, the analytics module provides the data to the user via a graphical user interface and the user determines and indicates the lack of a normal distribution via the graphical user interface after analyzing the data. When the virtual metrology data is not distributed in a normal fashion, the analytics module computes an empirical distribution based on virtual metrology data for one or more of the selected virtual metrology target parameters (step 1908). The NGF algorithm may be used to determine an upper and lower specification limit for one or more selected virtual metrology target parameters (step 1910). The determined upper and lower specification limits may then be output (step 1912). For example, the determined upper and lower specification limits may be displayed to the user via a provided graphical user interface or exported for storage or further analysis.

[0112] In one embodiment, the virtual fabrication environment provides a graphical user interface that enables the user to enter options for a DOE. After running the DOE, the virtual fabrication environment may provide a graphical user interface that enables a user to select target parameters for the virtual metrology data produced by the virtual fabrication runs in the DOE. For example, Figure 20 depicts a graphical user interface 2002 provided by a virtual fabrication environment in an exemplary embodiment that enables the selection of virtual metrology targets associated with respective process steps in the process sequence being executed during the virtual fabrication runs. As depicted, target parameters sidewall angle 2010, Trench_CD 2012, GapCD_Top 2014, FinCD_Top 2016, FinCD_Mid 2018 and FinCD_Bot 2020 have been selected for analysis. The graphical user interface may enable a normality test for the selected parameters to be conducted (see exemplary results in Figure 13). If the results of the normality test indicate that the data does not fit a normal distribution, the analytics module may then use the NGF algorithm to estimate extremes from confidence bounds for computed ECDFs of the virtual metrology data for the selected target parameter.

[0113] Figure 21 depicts a graphical user interface in an exemplary embodiment that enables a user to select multiple target parameters in order to display plots of the associated ECDFs at the same time. For example, a selectable list 2102 may be provided by the virtual fabrication environment that enables a user to select multiple target parameters. As depicted, plots of ECDFs for FinCD_Top 2104 and sidewall_angle 2106 are displayed. As previously discussed confidence bounds for each ECDF may be calculated and used by the NGF algorithm to estimate min/max extremes as depicted in Figure 17.

[0114] Portions or all of the embodiments of the present invention may be provided as one or more computer-readable programs or code embodied on or in one or more non-transitory mediums. The mediums may be, but are not limited to a hard disk, a compact disc, a digital versatile disc, a flash memory, a PROM, a RAM, a ROM, or a magnetic tape. In general, the computer-readable programs or code may be implemented in any computing language.

[0115] Since certain changes may be made without departing from the scope of the present invention, it is intended that all matter contained in the above description or shown in the accompanying drawings be interpreted as illustrative and not in a literal sense. Practitioners of the art will realize that the sequence of steps and architectures depicted in the figures may be altered without departing from the scope of the present invention and that the illustrations contained herein are singular examples of a multitude of possible depictions of the present invention.

[0116] The foregoing description of example embodiments of the invention provides illustration and description, but is not intended to be exhaustive or to limit the invention to the precise form disclosed. Modifications and variations are possible in light of the above teachings or may be acquired from practice of the invention. For example, while a series of acts has been described, the order of the acts may be modified in other implementations consistent with the principles of the invention. Further, non-dependent acts may be performed in parallel.