Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
THREE-DIMENSIONAL NOR MEMORY STRING ARRAYS OF THIN-FILM FERROELECTRIC TRANSISTORS
Document Type and Number:
WIPO Patent Application WO/2023/033987
Kind Code:
A1
Abstract:
A memory structure includes storage transistors organized as horizontal NOR memory strings where the storage transistors are thin-film ferroelectric field-effect transistors (FeFETs) having a ferroelectric gate dielectric layer formed adjacent a semiconductor channel. In some embodiments, the semiconductor channel is formed by an oxide semiconductor material and the ferroelectric storage transistors are junctionless transistors with no p/n junction in the channel. In some embodiments, the ferroelectric storage transistors in each NOR memory string share a first conductive layer as a common source line and a second conductive layer as a common bit line, the first and second conductive layers being in electrical contact with the semiconductor channel. The ferroelectric storage transistors in a multiplicity of NOR memory strings are arranged to form semi-autonomous three-dimensional memory arrays (tiles) with each tile individually addressed and controlled by circuitry in the semiconductor substrate underneath each tile in cooperation with a memory controller.

Inventors:
PETTI CHRISTOPHER J (US)
HARARI ELI (US)
Application Number:
PCT/US2022/039473
Publication Date:
March 09, 2023
Filing Date:
August 04, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SUNRISE MEMORY CORP (US)
International Classes:
G11C11/22
Foreign References:
US20200357822A12020-11-12
US20200365609A12020-11-19
US9281044B22016-03-08
Attorney, Agent or Firm:
COOK, Carmen C. (US)
Download PDF:
Claims:
CLAIMS

1. A three dimensional memory structure formed above a planar surface of a semiconductor substrate, the memory structure comprising: a plurality of stacks of thin- film ferroelectric field-effect transistors (FeFETs) being organized as a plurality of stacks of NOR memory strings extending along a first direction substantially parallel to the planar surface of the semiconductor substrate, the NOR memory strings of each stack being provided one on top of another along a second direction substantially normal to the planar surface, the FeFETs within each NOR memory string sharing a common source layer and a common drain layer with each layer extending along the first direction, wherein (i) each stack of NOR memory strings comprises a plurality of memory string pairs arranged one on top of another along the second direction, each memory string pair being isolated from the other memory string pairs by a first isolation layer; (ii) each memory string pair comprises a first common drain layer, a first common source layer, and a second common drain layer, each layer being arranged spaced apart from the other in the second direction by a second isolation layer; (iii) each memory string pair comprises a first NOR memory string formed by the first common drain layer and the first common source layer and a second NOR memory string formed by the second common drain layer and the first common source layer; (iv) a semiconductor layer is provided adjacent each stack of NOR memory strings and in contact with the common source layers and the common drain layers in the respective stack, wherein the semiconductor layer provided between adjacent common source layer and common drain layer in the second direction forms a channel region for the FeFETs of the respective NOR memory string; (v) a ferroelectric gate dielectric layer is provided adjacent the semiconductor layer and extending along the second direction; and (vi) a plurality of conductors are provided adjacent the ferroelectric gate dielectric layer between adjacent stacks of NOR memory strings and extending along the second direction, each conductor serving as a common gate electrode for respective FeFETs in the NOR memory strings of the adjacent stacks.

2. The memory structure of claim 1 , wherein the ferroelectric gate dielectric layer comprises a ferroelectric polarization layer provided adjacent each conductor as a continuous layer in the second direction.

3. The memory structure of claim 1, wherein the semiconductor layer comprises a doped N- type polysilicon layer and the FeFETs each comprises a depletion mode transistor, wherein the

39 memory structure further comprises an interface dielectric layer provided between the N-type polysilicon layer and the ferroelectric gate dielectric layer.

4. The memory structure of claim 3, wherein the interface dielectric layer comprises one or more of: a silicon nitride layer and an aluminum oxide layer.

5. The memory structure of claim 1, wherein the semiconductor layer comprises an oxide semiconductor layer.

6. The memory structure of claim 5, wherein the oxide semiconductor layer comprises one of an indium zinc oxide (IZO) layer and an indium gallium zinc oxide (IGZO) layer.

7. The memory structure of claim 5, wherein the ferroelectric gate dielectric layer contacts the oxide semiconductor layer directly substantially without an interface dielectric layer therebetween.

8. The memory structure of claim 1, wherein the semiconductor layer is provided as a continuous layer along the sidewall of each respective stack of NOR memory strings.

9. The memory structure of claim 8, wherein the semiconductor layer comprises a continuous layer formed on opposing sidewalls of adjacent stacks of NOR memory strings.

10. The memory structure of claim 9, wherein the semiconductor layer associated with a pair of adjacent stacks of NOR memory strings is isolated from the semiconductor layer associated with other pairs of adjacent stacks of NOR memory strings.

11. The memory structure of claim 1, wherein the semiconductor layer and the ferroelectric gate dielectric layer are formed adjacent a respective conductor between adjacent stacks and the semiconductor layer is isolated in the first direction from the semiconductor layer associated with other conductors provided between the same adjacent stacks.

12. The memory structure of claim 1, wherein the first isolation layer comprises air gap cavities.

13. The memory structure of claim 12, wherein the air gap cavities extend to the ferroelectric gate dielectric layer of the FeFETs in a stack of NOR memory strings, the semiconductor layer being provided only adjacent each memory string pair in the stack and being segmented by the air gap cavities between adjacent memory string pairs.

14. The memory structure of claim 13, wherein the air gap cavities extend to the conductor forming the common gate electrode of the FeFETs in a stack of NOR memory strings, the semiconductor layer and the ferroelectric gate dielectric layer being provided only adjacent each memory string pair in the stack and being segmented by the air gap cavities between adjacent memory string pairs.

40

15. The memory structure of claim 12 wherein the second isolation layer comprises air gap cavities.

16. The memory structure of claim 12, wherein the first isolation layer has a first dimension in the second direction and the second isolation layer has a second dimension in the second direction, the second dimension being the channel length of the FeFET.

17. The memory structure of claim 16, wherein the first dimension of the first isolation layer is less than the second dimension of the second isolation layer.

18. The memory structure of claim 16, wherein the first dimension of the first isolation layer is 30 nm and the second dimension of the second isolation layer has a value between 30 to 80 nm.

19. The memory structure of claim 1, wherein the common source layer and the common drain layer each comprises a metal layer.

20. The memory structure of claim 19, wherein the semiconductor layer associated with the FeFETs in each NOR memory string contacts the metal layers forming the common source layer and the common drain layer to provide a junction-less channel region for each FeFET.

21. The memory structure of claim 19, wherein the metal layer comprises the metal comprises one or more of: molybdenum, titanium, tungsten, lanthanum, tantalum, ruthenium, any silicide thereof, any nitride thereof, and any combination thereof.

22. The memory structure of claim 1, wherein the common drain layer of each NOR memory string functions as a common bit line for each NOR memory string.

23. The memory structure of claim 1, wherein the plurality of conductors each comprises a titanium nitride layer.

24. The memory structure of claim 23, wherein the plurality of conductors each comprises the titanium nitride layer formed adjacent the ferroelectric gate dielectric layer and a tungsten layer formed adjacent the titanium nitride layer.

25. The memory structure of claim 1, wherein the ferroelectric gate dielectric layer comprises a doped hafnium oxide layer.

26. The memory structure of claim 25, wherein the doped hafnium oxide layer comprises one or more of: zirconium-doped hafnium oxide (HZO), silicon-doped hafnium oxide (HSO), aluminum zirconium-doped Hafnium oxide (HfZrAlO), aluminum-doped hafnium oxide (HfO2:Al), lanthanum-doped hafnium oxide (HfO2:La), hafnium zirconium oxynitride (HfZrON), hafnium zirconium aluminum oxide (HfZrAlO) and any hafnium oxide that includes zirconium impurities.

41

27. The memory structure of claim 1, wherein each FeFET is electrically placed in a first polarization state by applying a first voltage to both the common source layer and the common drain layer and a second voltage to the associated common gate electrode; and each FeFET is electrically placed in a second polarization state by applying a third voltage to the common drain layer, a fourth voltage to the common source layer and a fifth voltage to the associated common gate electrode, the third voltage being different from the fourth voltage.

28. The memory structure of claim 1, wherein each FeFET is electrically placed in a first polarization state by applying a first voltage to both the common source layer and the common drain layer and at least a second voltage to the associated common gate electrode; and each FeFET is electrically placed in more than two polarization states by applying voltages to the common drain layer, the common source layer and the associated common gate electrode, the voltages having a different voltage range for each of the more than two polarization states.

29. The memory structure of claim 28, wherein the more than two polarization states comprise a continuum of analog states.

30. The memory structure of claim 27, wherein FeFETs formed in a column in the second direction in a respective stack of NOR memory strings are grouped to form a page of memory cells, the page of memory cells being placed in the first polarization state together by application of the first voltage to the respective common source layer and the common drain layer and application of the second voltage to the respective common gate electrode.

31. The memory structure of claim 27, wherein the common source layers of the NOR memory strings are electrically floating and a respective common source layer is biased to a given voltage during a precharge phase and is left floating afterwards.

32. The memory structure of claim 1, wherein each stack of NOR memory strings comprises FeFETs formed on the sidewalls on both sides of the stack.

33. The memory structure of claim 1, wherein circuitry for supporting memory operations is formed at the planar surface of the semiconductor substrate underneath the plurality of stacks of FeFETs.

34. The memory structure of claim 33, wherein the circuitry for supporting memory operations includes both analog and digital circuits.

35. The memory structure of claim 33, wherein the circuitry for supporting memory operations implements erase, program and read operations for the plurality of stacks of FeFETs in response to erase, program and read commands provided to the memory structure.

36. The memory structure of claim 33, further comprising a layer of interconnect conductors formed above and in electrically connection with the NOR memory strings and the circuitry for supporting memory operations, the layer of interconnect conductors being provided for routing control and data signals among the NOR memory strings and the circuitry for supporting memory operations.

37. The memory structure of claim 36, wherein the circuitry for supporting memory operations comprises two or more of: word line driver circuits, bit line driver circuits, input/output driver circuits, address decoders, sense amplifiers, voltage sources for generating operating voltages for the memory operation, latches, registers, or other memory elements, and a state machine for managing the memory operations at the FeFETs of the NOR memory strings.

38. The memory structure of claim 37, wherein the circuitry for supporting memory operations is coupled to a memory controller formed on a separate semiconductor substrate to receive the command and data signals from the memory controller.

39. The memory structure of claim 1, wherein each FeFET has a data retention time longer than 1 hour and a program/erase cycle endurance greater than 108 program/erase cycles.

40. A three dimensional memory structure formed above a planar surface of a semiconductor substrate, the memory structure comprising: a plurality of thin- film ferroelectric field-effect transistors (FeFETs) formed above the semiconductor substrate and arranged in one or more parallel planes, wherein (i) the thin-film FeFETs are organized as NOR memory strings, each NOR memory string extending along a first direction substantially parallel to the planar surface of the semiconductor substrate; (ii) the NOR memory strings are arranged in two directions: (a) as stacks of NOR memory strings, each stack including NOR memory strings arranged one on top of another along a second direction substantially normal to the planar surface and (b) as rows of NOR memory strings arranged along a third direction substantially orthogonal to both the first direction and the second direction; (iii) the FeFETs within each NOR memory string share a common source layer and a common drain layer, the common source layer and the common drain layer each extending along the first direction; (iv) pairs of adjacent NOR memory strings within each stack share the same common source layer, adjacent pairs of NOR memory strings within each stack being isolated from the other pairs by a first isolation layer; (v) an oxide semiconductor layer is provided adjacent each stack of NOR memory strings and extending along the second direction to be in contact with the common source layers and the common drain layers in the respective stack, the oxide semiconductor layer provided between adjacent common source layer and common drain layer in the second direction forming a channel region for the FeFETs of the respective NOR memory string; (vi) a ferroelectric gate dielectric layer is provided adjacent the oxide semiconductor layer and extending along the second direction; and (vii) a plurality of conductors are provided adjacent the ferroelectric gate dielectric layer between adjacent stacks of NOR memory strings and extending along the second direction, each conductor serving as a common gate electrode for respective FeFETs in the NOR memory strings of the adjacent stacks.

41. The memory structure of claim 40, wherein the ferroelectric gate dielectric layer comprises a ferroelectric polarization layer provided adjacent each conductor as a continuous layer in the second direction.

42. The memory structure of claim 40, wherein the oxide semiconductor layer is provided as a continuous layer along the sidewall of each respective stack of NOR memory strings.

43. The memory structure of claim 40, wherein the common source layer and the common drain layer of the FeFETs within each NOR memory string are arranged spaced apart in the second direction by a second isolation layer.

44. The memory structure of claim 40, wherein the oxide semiconductor layer comprises one of an indium zinc oxide (IZO) layer and an indium gallium zinc oxide (IGZO) layer.

45. The memory structure of claim 40, wherein the oxide semiconductor layer and the ferroelectric gate dielectric layer are formed adjacent a respective conductor between adjacent stacks and the oxide semiconductor layer is isolated in the first direction from the oxide semiconductor layer associated with other conductors provided between the same adjacent stacks.

46. The memory structure of claim 40, wherein the first isolation layer comprises air gap cavities.

47. The memory structure of claim 46, wherein the air gap cavities extend to the ferroelectric gate dielectric layer of the FeFETs in a stack of NOR memory strings, the oxide semiconductor layer being provided only adjacent each memory string pair in the stack and being segmented by the air gap cavities between adjacent memory string pairs.

48. The memory structure of claim 46, wherein the air gap cavities extend to the conductor forming the common gate electrode of the FeFETs in a stack of NOR memory strings, the oxide semiconductor layer and the ferroelectric gate dielectric layer being provided only adjacent each memory string pair in the stack and being segmented by the air gap cavities between adjacent memory string pairs.

49. The memory structure of claim 40, wherein the common source layer and the common drain layer each comprises a metal layer; and wherein the oxide semiconductor layer associated with the FeFETs in each NOR memory string contacts the metal layers forming the common

44 source layer and the common drain layer to provide a junction- less channel region for each FeFET.

50. The memory structure of claim 40, wherein the ferroelectric gate dielectric layer comprises a doped hafnium oxide layer.

51. The memory structure of claim 40, wherein each FeFET is electrically placed in a first polarization state by applying a first voltage to both the common source layer and the common drain layer and a second voltage to the associated common gate electrode; and each FeFET is electrically placed in a second polarization state by applying a third voltage to the common drain layer, a fourth voltage to the common source layer and a fifth voltage to the associated common gate electrode, the third voltage being different from the fourth voltage.

52. The memory structure of claim 51, wherein the common source layers of the NOR memory strings are electrically floating and a respective common source layer is biased to a given voltage during a precharge phase and is left floating afterwards.

53. A three dimensional memory structure formed above a planar surface of a semiconductor substrate, the memory structure comprising: a plurality of thin- film ferroelectric field-effect transistors (FeFETs) formed above the semiconductor substrate and arranged in one or more parallel planes, wherein (i) the thin-film FeFETs are organized as NOR memory strings, each NOR memory string extending along a first direction substantially parallel to the planar surface of the semiconductor substrate; (ii) the NOR memory strings are arranged in two directions: (a) as stacks of NOR memory strings, each stack including NOR memory strings provided one on top of another along a second direction substantially normal to the planar surface, the NOR memory strings within each stack being isolated from another by air gap cavities separating the adjacent planes, and (b) as rows of NOR memory strings arranged along a third dimension substantially orthogonal to both the first dimension and the second direction; (iii) the FeFETs within each NOR memory string share a common source layer and a common drain layer, the common source layer and the common drain layer each extending along the first direction; (iv) each FeFET in each NOR memory string comprising an oxide semiconductor layer and a ferroelectric gate dielectric layer formed adjacent the oxide semiconductor layer, the oxide semiconductor layer forming a channel region between the common source layer and the common drain layer; and (v) a plurality of conductors each extending along the second direction, each conductor being provided between adjacent stacks of NOR memory strings and serving as a common gate electrode for respective FeFETs in the NOR memory strings of the adjacent stacks,

45 wherein at least the oxide semiconductor layer is separated by the air gap cavities at each planes to isolate the oxide semiconductor layer to each NOR memory string in a respective stack of NOR memory strings.

54. The memory structure of claim 53, wherein the oxide semiconductor layer and the ferroelectric gate dielectric layer are both separated by the air gap cavities at each planes to isolate the oxide semiconductor layer and the ferroelectric gate dielectric layer to each NOR memory string in the respective stack of NOR memory strings.

55. The memory structure of claim 53, wherein the ferroelectric gate dielectric layer comprises a ferroelectric polarization layer provided adjacent each conductor as a continuous layer in the second direction.

56. The memory structure of claim 53, wherein the common source layer and the common drain layer of the FeFETs within each NOR memory string are arranged spaced apart in the second direction by a first isolation layer.

57. The memory structure of claim 56, wherein the first isolation layer comprises a dielectric layer.

58. The memory structure of claim 53, wherein the oxide semiconductor layer comprises one of an indium zinc oxide (IZO) layer and an indium gallium zinc oxide (IGZO) layer.

59. The memory structure of claim 53, wherein the oxide semiconductor layer and the ferroelectric gate dielectric layer are formed adjacent a respective conductor between adjacent stacks and the oxide semiconductor layer is isolated in the first direction from the oxide semiconductor layer associated with other conductors provided between the same adjacent stacks.

60. The memory structure of claim 53, wherein the air gap cavities extend to the ferroelectric gate dielectric layer of the FeFETs in a stack of NOR memory strings, the oxide semiconductor layer being provided only adjacent each memory string in the stack and being segmented by the air gap cavities between adjacent memory string.

61. The memory structure of claim 53, wherein the air gap cavities extend to the conductor forming the common gate electrode of the FeFETs in a stack of NOR memory strings, the oxide semiconductor layer and the ferroelectric gate dielectric layer being provided only adjacent each memory string in the stack and being segmented by the air gap cavities between adjacent memory string.

62. The memory structure of claim 53, wherein the common source layer and the common drain layer each comprises a metal layer; and wherein the oxide semiconductor layer associated with the FeFETs in each NOR memory string contacts the metal layers forming the common

46 source layer and the common drain layer to provide a junction- less channel region for each FeFET.

63. The memory structure of claim 53, wherein the ferroelectric gate dielectric layer comprises a doped hafnium oxide layer.

64. The memory structure of claim 63, wherein the doped hafnium oxide layer comprises one or more of: zirconium-doped hafnium oxide (HZO), silicon-doped hafnium oxide (HSO), aluminum zirconium-doped Hafnium oxide (HfZrAlO), aluminum-doped hafnium oxide (HfO2:Al), lanthanum-doped hafnium oxide (HfO2:La), hafnium zirconium oxynitride (HfZrON), hafnium zirconium aluminum oxide (HfZrAlO) and any hafnium oxide that includes zirconium impurities.

65. The memory structure of claim 53, wherein the common source layers of the NOR memory strings are electrically floating and a respective common source layer is biased to a given voltage during a precharge phase and is left floating afterwards.

66. The memory structure of claim 53, wherein each stack of NOR memory strings comprises FeFETs formed on the sidewalls on both sides of the stack.

67. The memory structure of claim 53, wherein circuitry for supporting memory operations is formed at the planar surface of the semiconductor substrate underneath the plurality of stacks of FeFETs.

47

Description:
THREE-DIMENSIONAL NOR MEMORY STRING ARRAYS OF THIN-FILM

FERROELECTRIC TRANSISTORS

FIELD OF THE INVENTION

[0001] The present invention relates to high-density memory structures. In particular, the present invention relates to high-density, low read-latency memory structures formed by interconnected thin-film storage elements (e.g., 3-dimensional array of thin-film storage transistors), including those organized as NOR-type memory strings (“NOR memory strings”). BACKGROUND OF THE INVENTION

[0002] A NOR-type memory string includes storage transistors that share a common source region and a common drain region, while allowing each storage transistor to be individually addressed and accessed. U.S. Patent 10,121,553 (the ‘553 Patent), entitled “Capacitive-Coupled Non-Volatile Thin-film Transistor NOR Strings in Three-Dimensional Arrays,” issued on November 6, 2018, discloses storage or memory transistors organized as 3-dimensional arrays of NOR memory strings formed above a planar surface of a semiconductor substrate. The ‘553 Patent is hereby incorporated by reference in its entirety for all purposes. In the ‘553 Patent, a NOR memory string includes numerous thin-film storage transistors that share a common bit line and a common source line. In particular, the ‘553 Patent discloses a NOR memory string that includes (i) a common source region and a common drain region both running lengthwise along a horizontal direction and (ii) gate electrodes for the storage transistors each running along a vertical direction. In the present description, the term “vertical” refers to the direction normal to the surface of a semiconductor substrate, and the term “horizontal” refers to any direction that is parallel to the surface of that semiconductor substrate. In a 3-dimensional array, the NOR memory strings are provided on multiple planes (e.g., 8 or 16 planes) above the semiconductor substrate, with the NOR memory strings on each plane arranged in rows. For a charge-trap type storage transistor, data is stored in a charge storage film in each storage transistor. For examples, the charge storage film includes a tunneling dielectric layer, a charge trapping layer and a blocking layer, which can be implemented as a multilayer including silicon oxide, silicon nitride, and silicon oxide, arranged in this order and referred to as an ONO layer. An applied electrical field across the charge storage film adds or removes charge from charge traps in the charge trapping layer, altering the threshold voltage of the storage transistor to encode a given logical state to the storage transistor. [0003] Advances in electrically polarizable materials (“ferroelectric materials”), especially those that are being used in semiconductor manufacturing processes, suggest new potential applications in ferroelectric memory circuits. For example, the article “Ferroelectricity in Hafnium Oxide: CMOS compatible Ferroelectric Field Effect Transistors,” by T.S. Bbscke et al., published in 2011 International Electron Devices Meeting (IEDM), pp. 24.5.1-24.5.4, discloses a ferroelectric field effect transistor (“FeFET”) that uses hafnium oxide as a gate dielectric material. By controlling the polarization direction in a ferroelectric gate dielectric layer, the FeFET may be programmed to have either one of two threshold voltages. Each threshold voltage of the FeFET constitutes a state, for example, a “programmed” state or an “erased” state, that represents a designated logical value. Such an FeFET has application in high-density memory circuits. For example, U.S. patent application, serial no. 13/897,037, entitled “Apparatuses having a ferroelectric field-effect transistor memory array and related method,” by D.V. Nirmal Ramaswamy et al., filed on May 17, 2013, now U.S. Patent 9,281,044, discloses a 3-dimensional array of FeFETs.

[0004] The FeFETs of the prior art, however, suffer from low endurance. For example, the article “Vertical Ferroelectric HI'O FET based on 3-D NAND Architecture: Towards Dense Low-Power Memory,” by K. Florent et al., published in 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 2.5.1-2.5.4, discloses an endurance of merely 10 4 cycles. Such low endurance renders the memory circuits practically unsuitable for many memory applications.

SUMMARY OF THE INVENTION

[0005] In embodiments of the present invention, a three dimensional memory structure formed above a planar surface of a semiconductor substrate includes multiple stacks of thin-film ferroelectric field-effect transistors (FeFETs) being organized as multiple stacks of NOR memory strings extending along a first direction substantially parallel to the planar surface of the semiconductor substrate. The NOR memory strings of each stack are provided one on top of another along a second direction substantially normal to the planar surface. The FeFETs within each NOR memory string share a common source layer and a common drain layer with each layer extending along the first direction.

[0006] In some embodiments, each stack of NOR memory strings includes multiple memory string pairs arranged one on top of another along the second direction, each memory string pair being isolated from the other memory string pairs by a first isolation layer. Furthermore, each memory string pair comprises a first common drain layer, a first common source layer, and a second common drain layer, each layer being arranged spaced apart from the other in the second direction by a second isolation layer. Furthermore, each memory string pair includes a first NOR memory string formed by the first common drain layer and the first common source layer and a second NOR memory string formed by the second common drain layer and the first common source layer.

[0007] The memory structure further includes a semiconductor layer provided adjacent each stack of NOR memory strings and in contact with the common source layers and the common drain layers in the respective stack. The semiconductor layer provided between adjacent common source layer and common drain layer in the second direction forms a channel region for the FeFETs of the respective NOR memory string. The memory structure further includes a ferroelectric gate dielectric layer provided adjacent the semiconductor layer and extending along the second direction. Finally, the memory structure further includes multiple conductors provided between adjacent stacks of NOR memory strings and extending along the second direction, each conductor serving as a common gate electrode for respective FeFETs in the NOR memory strings of the adjacent stacks. In some embodiments, the memory structure may further include an interfacial layer between the ferroelectric gate dielectric layer and the semiconductor layer forming the channel.

[0008] In some embodiments, the ferroelectric gate dielectric layer includes a ferroelectric polarization layer provided adjacent each conductor as a continuous layer in the second direction. [0009] In yet other embodiments, the semiconductor layer is provided as a continuous layer along the sidewall of each respective stack of NOR memory strings.

[0010] In some embodiments, the ferroelectric gate dielectric layer is formed of a doped hafnium oxide material and the semiconductor layer forming the channel region is an oxide semiconductor layer formed of an amorphous oxide semiconductor material.

[0011] In some embodiments, the first and second common drain layers and the first common source layer are made partially or substantially of a metallic conductor material.

[0012] These and other advantages, aspects and novel features of the present invention, as well as details of an illustrated embodiment thereof, will be more fully understood from the following description and drawings. BRIEF DESCRIPTION OF THE DRAWINGS

[0013] Various embodiments of the invention are disclosed in the following detailed description and the accompanying drawings. Although the drawings depict various examples of the invention, the invention is not limited by the depicted examples. It is to be understood that, in the drawings, like reference numerals designate like structural elements. Also, it is understood that the depictions in the FIGS, are not necessarily to scale.

[0014] Figure 1 is a perspective view of a memory structure including a 3-dimensional array of NOR memory strings in embodiments of the present invention.

[0015] Figure 2 is a perspective view of a memory structure including a 3-dimensional array of NOR memory strings in alternate embodiments of the present invention.

[0016] Figure 3 is a cross-sectional view of a portion of the memory structure of Figure 1 including the 3-dimensional array of NOR memory strings in embodiments of the present invention.

[0017] Figure 4 is a circuit representation of a 3-dimensional array of NOR memory strings in embodiments of the present invention.

[0018] Figure 5 illustrates an erase operation that can be implemented in the 3-dimensional array of NOR memory strings in embodiments of the present invention.

[0019] Figure 6 illustrates a program operation that can be implemented in the 3 -dimensional array of NOR memory strings following the erase operation in Figure 5 in embodiments of the present invention.

[0020] Figure 7 illustrates a read operation that can be implemented in the 3 -dimensional array of NOR memory strings following the write operation in Figures 5 and 6 in embodiments of the present invention.

[0021] Figure 8 illustrates a bit line selector which may be implemented in the three- dimensional array of NOR memory strings in embodiments of the present invention.

[0022] Figures 9(a) to 9(n) illustrate a process for fabricating a memory structure including a 3 -dimensional array of NOR memory strings of ferroelectric storage transistors according to embodiments of the present invention.

[0023] Figures 10(a) to 10(b) illustrate an alternative process for fabricating a memory structure including a 3-dimensional array of NOR memory strings of ferroelectric storage transistors according to embodiments of the present invention.

[0024] Figure 11 is a cross-sectional view of a portion of a memory structure including the 3- dimensional array of NOR memory strings isolated by air gap cavities in embodiments of the present invention. [0025] Figure 12 is a cross-sectional view of a portion of a memory structure including the 3- dimensional array of NOR memory strings wherein the ferroelectric transistors are isolated by air gap cavities in alternate embodiments of the present invention.

[0026] Figure 13 is a cross-sectional view of a portion of a memory structure including the 3- dimensional array of NOR memory strings wherein the ferroelectric transistors are isolated by air gap cavities in alternate embodiments of the present invention.

DETAILED DESCRIPTION OF THE INVENTION

[0027] According to embodiments of the present invention, a memory structure includes storage transistors organized as horizontal NOR memory strings where the storage transistors are thin- film ferroelectric field-effect transistors (FeFETs) having a ferroelectric gate dielectric layer formed adjacent an oxide semiconductor channel region. The ferroelectric storage transistors thus formed are junctionless transistors having no p/n junction in the channel and which the mobile carrier density in the channel is modulated by the polarization of the ferroelectric gate dielectric layer. In embodiments of the present invention, the ferroelectric storage transistors in each NOR memory string share a first conductive layer functioning as a common source line and a second conductive layer functioning as a common bit line, the first and second conductive layers being in electrical contact with the oxide semiconductor channel region. The ferroelectric storage transistors in a NOR memory string are controlled by individual control gate electrodes to allow each storage transistor to be individually addressed and accessed. In some embodiments, the ferroelectric gate dielectric layer is formed of a doped hafnium oxide material and the oxide semiconductor channel region is formed of an amorphous oxide semiconductor material.

[0028] In some embodiments, the memory structure includes multiple NOR memory strings organized in a three dimensional array to form a high density memory structure. The three dimensional array of NOR memory strings is organized as stacks of NOR memory strings in a first direction, with NOR memory strings formed one on top of another in a stack in the first direction. The three dimensional array of NOR memory strings is also organized as rows of NOR memory strings in a second direction forming a plane, with the rows of NOR memory strings arranged in one or more parallel planes in first direction. In some embodiments, a pair of adjacent NOR memory strings in a stack share a common source line. The voltage on each shared common source line can be separately applied directly from one or both ends of each source line in the three-dimensional structure. In some embodiments, the shared common source line is electrically floating and the source voltage is applied from the common bit line using pre-charge transistors so as to mitigate congestion of connector wires at the bit-line/source-line end staircases in the three-dimensional structure. Furthermore, in some embodiments, the oxide semiconductor channel region is formed as a continuous layer at least in the first direction across multiple NOR memory strings formed in a stack. In this manner, the NOR memory strings can be constructed using simplified fabrication process steps with reduced aspect ratios for anisotropic etching of trenches through multiple layers of memory strings to form a high density memory structure with compact dimensions.

[0029] The ferroelectric storage transistors, as described herein, provide high endurance, long data retention, and relatively low voltage operations for both erase (under 5.0 volts) and programming (e.g., under -5.0 volts) operations. By combining the ferroelectric or polarization characteristics with the 3-dimensional organization (e.g., as the thin-film NOR memory strings described herein), the memory structure of ferroelectric storage transistors of the present invention achieves the additional benefits of high-density, low-cost memory arrays with the advantages of high-speed, randomly accessed memory circuits with low read latency. These and other advantages of the memory structure of the present invention will be described further in the following description.

[0030] In the present description, to facilitate reference to the figures, a Cartesian coordinate reference frame is used, in which the Z-direction is normal to the planar surface of the semiconductor surface and the X-direction and the Y-directions are orthogonal to the Z-direction and to each other, as indicated in the figures.

[0031] Furthermore, the drawings provided herein are idealized representations to illustrate embodiments of the present disclosure and are not meant to be actual views of any particular component, structure, or device. The drawings are not to scale, and the thickness and dimensions of some layers may be exaggerated for clarity. Variations from the shapes of the illustrations are to be expected. For example, a region illustrated as a box shape may typically have rough and/or nonlinear features. Sharp angles that are illustrated may be rounded. Like numerals refer to like components throughout.

[0032] Memory Structure

[0033] Figure 1 is a perspective view of a memory structure including a 3-dimensional array of NOR memory strings in embodiments of the present invention. The memory structure can be used to implement part of a semiconductor memory device in some examples. Referring to Figure 1, a memory structure 10 includes a number of alternating conductive and isolation layers formed on a planar surface of a semiconductor substrate 12. For example, in some embodiments, the isolation layers can be insulating dielectric layers. In the present description, a pair of conductive layers with an interleaving isolation layer are referred to as an active layer 11. A buffer layer 14 may be provided between the semiconductor substrate 12 and the active layers 11 formed on the substrate. In some embodiments, the buffer layer 14 may be a silicon oxycarbide (SiOC) layer or a silicon oxide (Si Ch) layer. The active layers 11, including alternating conductive and isolation layers, are formed one on top of another in the Z-direction (i.e., along a direction normal to the planar surface of the substrate 12). The active layers 11 are divided in the X-direction into narrow strips (“active strips”) 24 that are stacked one on top of another to form stacks of active strips (“active stacks”) extending in the Y-direction. As thus formed, each active strip 24 form a NOR memory string of ferroelectric storage transistors 20 extending in the Y- direction.

[0034] Each active layer 11 includes a first conductive layer 16 functioning as a common bit line for the NOR memory string and a second conductive layer 18 functioning as a common source line for the NOR memory string. The first and second conductive layers 16, 18 are separated by an isolation layer 17, which can be a dielectric layer in some embodiments. For example, the isolation layer can be a silicon oxide layer in some embodiments. In the present illustration, eight active layers 11-0 to 11-7 are provided. A salient feature of the memory structure 10 is that pairs of adjacent active layers 11 share a common source line 18 such that a memory structure of N active layers includes N common bit lines but only N/2 common source lines. For instance, the pair of adjacent active layers 11-0 and 11-1 include (i) a first conductive layer 16a forming the common bit line of a first NOR memory string, (ii) an isolation layer 17a, (iii) a second conductive layer 18 forming the common source line of the first NOR memory string and a second NOR memory string, (iv) an isolation layer 17b, and (v) a first conductive layer 16b forming the common bit line of the second NOR memory string. As thus configured, in memory structure 10, pairs of adjacent active layers form pairs of NOR memory strings that share a common source line 18. A pair of adjacent active layers is separated from the other pairs by an isolation layer 15, such as an insulating dielectric layer.

[0035] Subsequent processing steps form oxide semiconductor channel regions 25, ferroelectric gate dielectric layers 26 and gate electrodes 28 in narrow trenches 22 between the separated active stacks. Another salient feature of the memory structure 10 is that each oxide semiconductor channel region 25 is formed as a continuous layer along the sidewall of an active stack, across the multiple NOR memory strings in the active stack in the X-direction. In some embodiments, each oxide semiconductor channel region 25 is a continuous layer along the sidewalls of a pair of adjacent stacks sharing a narrow trench 22. An oxide semiconductor channel region 25 is isolated from another oxide semiconductor channel region 25 formed in another narrow trench 22. [0036] In embodiments of the present invention, the gate electrodes 28 and the ferroelectric gate dielectric layers 26 are formed as columnar structures extending in the Z-direction. In the present example, ferroelectric gate dielectric layer 26 encircles a gate electrode 28 in the columnar structure. In the present description, the gate electrodes 28 are also referred to as “local word lines” and a gate electrode 28 encircled by a ferroelectric gate dielectric layer 26 is collectively referred to as “a local word line (LWL) structure.” Local word line structures formed in each trench 22 are isolated from each other by a dielectric material 23. A ferroelectric storage transistor 20 is formed at the intersection of an active strip 11 with the channel region 25 and an LWL structure. Accordingly, ferroelectric storage transistors 20 are formed on both sides of the active strip. In the present illustration, the LWL structures are formed staggered in adjacent trenches 22 so that storage transistors 20 formed on both sides of the active strip are offset from each other in the Y-direction along the NOR memory string. In particular, the isolation layer 15 between pairs of NOR memory strings and isolation layers 17a, 17b between adjacent source line and bit line provides isolation to decouple the ferroelectric storage transistors formed on the two sides of the same active strip. As thus configured, along each active strip (in the Y-direction), the ferroelectric storage transistors 20 that share the common source line 18 and the common bit line 16 form a NOR memory string (also referred as a “Horizontal NOR memory string” or “HNOR memory string”).

[0037] In the 3-dimensional array of NOR memory strings thus formed, the ferroelectric storage transistors 20 are junctionless transistors that do not include p/n junction as the drain or source region in the channel. Instead, the first conductive layer 16 (common bit line) serves as the drain region and the second conductor layer 18 serves as the source region of the ferroelectric storage transistor 20. Accordingly, a NOR memory string includes ferroelectric storage transistors 20 that share a common drain region (the common bit line 16) and a common source region (the common source line 18).

[0038] In some embodiments, the first and second conductive layers 16 and 18 are each formed using a metal layer or a low resistivity metallic conductive material, such as molybdenum (Mo), tungsten (W), tungsten nitride (WN), ruthenium or titanium tungsten alloy (TiW). In some embodiments, the isolation layer 15 and 17 may be formed as a silicon oxide layer (SiCh). In other embodiments, the isolation layer 15 may be formed by other isolation dielectric materials, such as silicon nitride. In some embodiments, the ferroelectric gate dielectric layer is formed of a doped hafnium oxide material, such as zirconium-doped hafnium oxide (HfZrO or “HZO”). In some embodiments, the hafnium oxide can be doped with silicon (Si), iridium (Ir) or lanthanum (La). In some embodiments, the oxide semiconductor channel region is formed of an amorphous oxide semiconductor material, such as indium gallium zinc oxide (IGZO).

[0039] To complete the memory circuit, various types of circuits are formed in or at the surface of the semiconductor substrate 12 to support the memory operations of the HNOR memory strings. Such circuits are referred to as “circuit under array” (“CuA”) and may include analog and digital circuits. For example, the memory operations may include read and write operations. In the present description, a write operation to the memory circuit includes an erase and a program operation, referring to changing the polarization states or polarization directions of the ferroelectric dielectric layer from one polarization state to another. Furthermore, in some embodiments, the memory operations include a refresh operation. In some embodiments, the circuit under array supports the memory operations of the memory circuit, including erase, program, read and refresh operations.

[0040] In some embodiments, the circuit under array includes various voltage sources or voltage generators for generating operating voltages, such as power supply voltages, ground voltages, programming, erase or read voltages, or reference voltages. The circuit under array may further include word line driver circuits, bit line driver circuits, and input/output driver circuits. The circuit under array may further include address decoders for decoding address signals to select designated storage transistors, sense amplifiers to read stored data from the selected storage transistors, latches and registers, such as shift registers, or other memory elements. The circuit under array may further include various logic circuits, such as inverters, NAND, NOR, Exclusive-Or and other logic gates. In some embodiments, the circuit under array includes state machines, micro-sequencers, and data processing circuitry. For example, in one embodiment, the circuit under array includes a state machine for managing the memory operations (e.g. read, erase, program and refresh operations) at the memory circuit.

[0041] In some embodiments, other conductive layers may be arranged above or below the memory structure 10 to provide control signals, such as the word line signals, to the array of ferroelectric storage transistors. In some embodiments, the conductive layers may be arranged to connect the circuit under array to the common bit lines of the NOR memory strings and to the local word lines to support the memory operation. In one embodiment, the conductive layers may be provided for routing control and data signals among the NOR memory strings and the circuit under array. As thus configured, the circuit under array supports memory operations of the NOR memory strings and implements erase, program and read operations for the NOR memory strings autonomously in response to erase, program and read commands provided to the memory structure. In one example, the write operation to the ferroelectric storage transistors includes an erase operation followed by a program operation.

[0042] In some embodiments, the memory structure 10 is coupled to a memory controller a separate semiconductor substrate and electrically connected to the memory structure using one or more integration techniques, including, for example, hybrid bonds, TSVs, exposed contacts and other suitable interconnect techniques. The memory controller provides commands, such as erase, program and read commands, to the circuit under array, usually with accompanying information, such as the memory cell address and write data for the write operation. The memory structure, using the circuit under array, performs the memory operation autonomously in response to the received command.

[0043] In embodiments of the present disclosure, the memory structure 10 represents a modular memory unit, referred to as a “tile,” and a memory device is formed using an array of the modular memory units. In one exemplary embodiment, a memory device is organized as a two-dimensional array of tiles, where each tile includes a three-dimensional array of ferroelectric storage transistors with support circuitry for each tile formed under the respective tile. More specifically, the support circuitry for the ferroelectric storage transistors of each tile is provided for modularity in the portion of the semiconductor substrate underneath each tile. In this manner, each modular memory unit (or tile) operates semi-autonomously to perform its own memory operations, such as erase, program, read and refresh operations. As a result, a memory device includes an array of tiles where each tile can be individually addressed and controlled by the circuit under array (CuA) formed underneath each tile. In particular, with the tile-based CuA provided for each tile, each tile in the array of tiles can be accessed independently of each other with memory operations being performed on multiple tiles concurrently. In some embodiments, the tile-based circuit under array (CuA) is formed in the semiconductor substrate using a first fabrication process and then the semiconductor substrate with the tile-based support circuits formed thereon is provided in a second fabrication process to form the thin- film storage transistors.

[0044] The memory device may be coupled to a memory controller to form a memory module. The memory controller can be a controller integrated circuit, sometimes referred to as a “chiplet.” Alternately, the memory controller may be embedded or implemented in a general purpose integrated circuit (e.g. a central processing unit (CPU) or a graphic processing unit (GPU)). The memory controller implements management functions for the memory device. In some embodiments, the memory controller provides commands, such as activate, read, erase, program, commit and refresh commands, to the memory device and accompanying command information, such as memory address and write data. The memory controller may also provide host interface functions, implementing memory interfaces for host access and other system functions, where applicable. The memory controller operates in cooperation with the circuit under array of each tile to enable the semi- autonomous memory operations at each tile and concurrent memory operations over large number of tiles. A memory device formed with an array of tiles realizes a high speed and high capacity memory with capabilities for parallel access to storage transistors in the multiple tiles.

[0045] In the embodiment shown in Figure 1, the memory structure 10 includes oxide semiconductor channel regions 25 that are each formed as a continuous layer in the Y direction along the sidewall of an active stack of NOR memory strings. In other embodiments, the oxide semiconductor channel regions 25 may be separated between adjacent LWL structures, as shown in Figure 2. Figure 2 is a perspective view of a memory structure including a 3-dimensional array of NOR memory strings in alternate embodiments of the present invention. Like elements in Figures 1 and 2 are given like reference numerals to simplify the discussion. Referring to Figure 2, a memory structure 30 is constructed in substantially the same manner as the memory structure 10 of Figure 1 except for the formation of the oxide semiconductor channel regions 25. In memory structure 30, the oxide semiconductor channel regions 25 formed in a narrow trench 22 are separated or singulated at each LWL structure, as indicated by the dotted circles 32. That is, the oxide semiconductor channel region 25 is only provided adjacent each columnar structure of ferroelectric gate dielectric layer 26 and gate electrode 28. The oxide semiconductor channel region 25 is removed between adjacent LWL structures, which has the effect of eliminating parasitic devices that may be formed in the areas between LWL structures.

[0046] Figure 3 is a cross-sectional view of a portion of the memory structure of Figure 1 including the 3-dimensional array of NOR memory strings in embodiments of the present invention. Like elements in Figures 1 and 3 are given like reference numerals to simplify the discussion. Referring to Figure 3, the memory structure 10 includes the three dimensional array of NOR memory strings of ferroelectric storage transistors formed on a semiconductor substrate (omitted in Figure 3 for simplicity). In the present embodiment, a pair of active stacks of NOR memory strings bordering the same narrow trench 22 share a common oxide semiconductor channel region 25, a ferroelectric gate dielectric layer 26 and a gate electrode 28 at each LWL structure. That is, the oxide semiconductor channel region 25 is continuous along the bottom portion of the narrow trench 22. The oxide semiconductor channel region 25 formed in one narrow trench 22 is isolated from the oxide semiconductor channel region 25 formed in the other narrow trenches 22. Each active stacks 24 have ferroelectric storage transistors 20 formed on both sides of the stack. In the case the LWL structures are staggered in the Y-direction, adjacent narrow trenches 22 have storage transistors that are formed offset from each other in the Y- direction such that an active stack 24 does not include storage transistors that are formed directly across the active stack in the X-direction. Dielectric material 23 is formed in the trenches 22 between the LWL structures.

[0047] An oxide semiconductor channel region realizes many advantages in the 3- dimensional array of NOR memory strings of the present invention. First, an oxide semiconductor channel region typically has high mobility for greater switching performance and without concern for electron or hole tunneling. Second, the storage transistors of the NOR memory strings with the oxide semiconductor channel region become junctionless transistors, thereby eliminating the need to provide polysilicon source-drain layers in the memory structure. As a result, the stack height of the 3 -dimensional array of NOR memory strings is greatly reduced as compared to traditional memory array with the same number of planes or layers of NOR memory strings. Furthermore, in embodiments of the present invention, the stack height of the NOR memory strings is further reduced by sharing a common source line between adjacent active layers. As a result, a high density but yet compact memory structure is realized.

[0048] In memory structure 10, each pair of active layers (in the Z-direction) includes a first common bit line (first conductive layer 16a) and a common source line (second conductive layer 18), separated by an isolation layer 17a, and a second common bit line (first conductive layer 16b), separated from the common source line 18 by an isolation layer 17b, to form first and second NOR memory strings. Each pair of first and second NOR memory strings are separated from the other pairs in the Z-direction by an isolation layer 15.

[0049] In the present embodiment, the isolation layer 15 has a thickness of dl in the Z- direction and the isolation layer 17a, 17b has a thickness of d2 in the Z-direction. The thickness dl is selected to provide sufficient isolation of adjacent common bit lines in the active stack. The thickness d2 between a common bit line and a common source line defines the channel length of the ferroelectric storage transistor 20. In the present embodiment, the first and second conductive layers 16, 18 have the same thickness of d3 in the Z-direction. In other embodiments, the first and second conductive layers 16, 18 can have different thicknesses in the Z-direction. Furthermore, in the present embodiment, the oxide semiconductor channel region 25 has a thickness d4 in the X-direction between the ferroelectric gate dielectric layer 26 and the source line/bit line in the active stack 24 which defines the depth of the channel region.

[0050] In some embodiments, the thickness dl is in the range of 30-50 nm and has a value of 30 nm in one example. In some embodiments, the thickness d2 is in the range of 30-80 nm, and has a value of 50 nm in one example. In some embodiments, the thickness d3 is in the range of 30-40 nm, and has a value of 40 nm in one example. In one exemplary embodiment, the stack height for a pair of adjacent NOR memory string is 250 nm. A memory structure including a stack of 8 NOR memory strings can be formed with a stack height of 1000 nm only, which is a significant reduction from known memory structures, such as those formed using polysilicon channels. The stack height reduction has the effect of reducing the aspect ratio for the etching process to form narrow trenches between the active stacks during the fabrication process, as will be described in more detail below.

[0051] In some embodiments, the oxide semiconductor channel region 25 has a thickness d4 of 8-15 nm he X-direction. In one example, the oxide semiconductor channel region 25 may have a thickness of 10 nm. In some embodiments, the ferroelectric gate dielectric layer 26 has a thickness of 3-7 nm in the X-direction. In one example, the ferroelectric gate dielectric layer 26 may have a thickness of 4 nm. In some embodiments, the active stack 24 has a width in the X- direction of 60 nm and the narrow trench in which the LWL structure is formed has a width in the X-direction of 70 nm. In some embodiments, the gate electrode has a thickness of about 55 nm in the X-direction. In the present description, the dimensions are provided merely for illustrative purposes and are not intended to be limiting. In actual implementation, any suitable thicknesses or dimensions may be used.

[0052] In the memory structure 10 of Figure 3, the 3-dimensional array of NOR memory strings includes ferroelectric storage transistors 20 that are formed on both side edges along the lengths (Y-direction) of each active strip, with vertical local word lines 28 being provided along both side edges in staggered arrangement in the Y -direction. High density is achieved by sharing the local word lines 28 between adjacent active stacks where each local word line 28 serves as the gate electrode to vertically aligned ferroelectric storage transistors 20 of the active strips of each active stack. The vertical local word lines 28 may be contacted by interconnection conductors 42 (also referred to as “global word lines”) provided above or below the 3- dimensional array of NOR memory strings. In one embodiment, the global word lines 42 run along a direction (X) that is transverse to the lengths (Y) of the contacted active strips 24. The global word lines 42 connects the local word lines 28 to the support circuits formed in the circuit under array (CuA) of the semiconductor substrate 12, such as the word line driver circuits.

[0053] Memory Circuit and Operation

[0054] Figure 4 is a circuit representation of a 3-dimensional array of NOR memory strings in embodiments of the present invention. In particular, Figure 4 illustrates a portion of the NOR memory strings which can be constructed from the memory structures of Figs. 1-3 described above. Referring to Figure 4, a memory array 90 includes ferroelectric storage transistors 92 arranged in NOR memory strings 95, including NOR memory strings 95-0 to 95-5. Each NOR memory string 95 includes ferroelectric storage transistors 92 that are connected across a common bit line BL 94 (e.g. BLk to BLk+5) and a common source line SL 96 (e.g. SLm to SLm+2), with each ferroelectric storage transistor 92 in each NOR memory string 95 being controlled by a respective word line WL 98 (e.g. WLn to WLn+6). More specifically, each ferroelectric storage transistor 92 in a NOR memory string 95 has a gate terminal connected to the word line WL 98, a drain terminal connected to the bit line BL 94, and a source terminal connected to a source line SL 96.

[0055] Memory array 90 includes NOR memory strings formed in an active stack, with each NOR memory strings 95-0 to 95-5 being located on a different plane of the 3-dimensional memory structure. Ferroelectric storage transistors 92 in a column across the multiple NOR memory strings are controlled by a common word line WL 98, also referred to as a local word line or LWL. Figure 4 illustrates a portion of the NOR memory strings including ferroelectric storage transistors 92 connected to word lines WLn to WLn+6. In practice, there may be thousands of word lines (e.g. 4096 word lines) in the memory array, resulting in thousands of randomly accessible ferroelectric storage transistors in a NOR memory string. The memory array 90 includes a control circuit for controlling the read, write and refresh operations of the memory array. For example, the control circuit can be formed in the semiconductor substrate 12 under the memory array, as shown in Figure 1, and is referred to as a circuit under array or CuA.

[0056] In memory array 90, adjacent NOR memory strings 95 share a common source line SL. For instance, NOR memory strings 95-0 and 95-1 share the common source line SLm, while NOR memory strings 95-2 and 95-3 share the common source line SLm+1. By sharing the common source line between an adjacent pair of NOR memory strings, the number of conductive layers for forming the active stack is reduced, as compared to the case when each NOR memory string has its own common source line. In alternate embodiments, the memory array can be constructed with each NOR memory string 95 having its own common source line and common bit line, without sharing the common source line with another NOR memory string.

[0057] In some examples, the memory array 90 may be organized in memory pages where a memory page refers to a group of storage transistors that are accessed together for read and write operations. In the present embodiment, a memory page 97 includes storage transistors arranged in a column across the multiple planes of the memory array 90 and sharing a common word line 98. That is, a memory page 97 includes storage transistors in an active stack of NOR memory strings 95-0 to 95-5 that are connected to the same word line 98 (e.g. word lines WLn). In actual implementation, a memory page may include ferroelectric storage transistors 92 in one or more columns across multiple planes of NOR memory strings associated with the same continuous oxide semiconductor channel and sharing the same word line.

[0058] In memory array 90, the source line 96 is electrically floating, that is, the source line 96 is not directly connected to any electrical potential. In practice, the source line 96 maintains a relatively constant voltage through the parasitic capacitance at the source terminals, such as the parasitic capacitance between the source terminals and the gate terminals of the storage transistors. More specifically, the parasitic capacitance intrinsic to each NOR memory string (e.g., the distributed capacitance between the common source terminal of a NOR memory string and its multiple associated local word lines) may be used as a virtual voltage source, under some operating conditions, to provide a common source voltage. In the present description, the source line 96 is sometimes referred to as being connect to a virtual ground. In some examples, the source voltage can be set to a desired voltage value through a pre-charge operation and the source line can then be left floating after the pre-charge operation. In one embodiment, the pre-charge operation set the common bit line to a desired voltage and then the precharge transistor is momentarily turned on to short the common bit line to the common source line to transfer the bit line voltage to the source line. As a result, the common source line is charged from the voltage on the common bit line to a voltage equal to the voltage provided on the bit line. After the precharge operation is complete, the precharge transistors are turned off. The common source line maintains a relatively constant voltage through the parasitic capacitance at the source terminals, such as the parasitic capacitance between the source terminals and the gate terminals of the storage transistors in the NOR memory string. In other embodiments, the source line 96 can be provided with a given voltage potential, such as the ground voltage, by a voltage source.

[0059] In embodiments of the present invention, the ferroelectric storage transistors 92 are thin- film ferroelectric field-effect transistors. More specifically, a ferroelectric field-effect transistor (also referred to as FeFET) is formed by using a ferroelectric material as the gate dielectric layer between the gate conductor, usually a metal layer, and the channel of a fieldeffect transistor. In some embodiments, the ferroelectric storage transistor may further include an interface dielectric layer adjacent the channel and the ferroelectric gate dielectric layer. The ferroelectric storage transistor realizes memory function by storing data as polarization states in the ferroelectric gate dielectric layer. In particular, a voltage applied between the gate conductor and the channel induces electrical polarization in the ferroelectric gate dielectric layer, which polarization can be reversed with application of a voltage in the opposite polarity. The ferroelectric gate dielectric layer retains the induced polarization state after removal of the applied gate voltage, realizing the memory function of the ferroelectric storage transistor. For instance, ferroelectric storage transistors can be applied to form non-volatile memory cells where data is stored in the ferroelectric gate dielectric layer as two stable, remanent polarization states. [0060] The induced polarization states of the ferroelectric gate dielectric layer change the threshold voltage of the ferroelectric storage transistor. The change or shift in the threshold voltage of the ferroelectric storage transistor due to the different polarization states can be used to represent data in different logical states. For example, two logical states (e.g., “0” and “1”) can be represented by the higher and lower threshold voltages of the ferroelectric storage transistor as a result of two induced electrical polarization states in the ferroelectric gate dielectric layer. In other embodiments, more than two polarization states can be induced in the ferroelectric gate dielectric layer, such as by applying two or more different voltage ranges across the ferroelectric gate dielectric layer. In this manner, the ferroelectric storage transistor can be operated to store data in more than two logical states. For example, a tristate would provide three threshold voltage states corresponding to 1.5 bits stored in each cell, or quad states (00, 01, 10, 11) corresponding to 2 bits stored in one ferroelectric memory cell, and so on, up to a continuum of analog states. This analog continuum of states would be advantageous in artificial intelligence and machine learning applications.

[0061] In embodiments of the present invention, the three-dimensional array of NOR memory strings of ferroelectric storage transistors can be applied to implement a non-volatile memory device or a quasi- volatile memory device. For example, a quasi- volatile memory has an average retention time of greater than 100 milliseconds, such as about 10 minutes or a few hours, whereas a non-volatile memory device may have a minimum data retention time exceeding a few days to a few years. In one embodiment, the ferroelectric storage transistor has a data retention time longer than 1 hour and a program/erase cycle endurance greater than 10 8 program/erase cycles. For example, the ferroelectric storage transistor may have a data retention time of a few hours and a program/erase cycle endurance of around 10 11 . Such a ferroelectric storage transistor can be used to form a quasi-volatile memory device where the refresh intervals can be on the order of hours, significantly longer than the refresh intervals of DRAMs which require much more frequent refreshes, such as in tens of milli-seconds. In some embodiments, multiple three- dimensional arrays of ferroelectric storage transistors (“tiles”) may be used to form a quasi- volatile memory device with high memory capacity.

[0062] The ferroelectric storage transistor stores data in the ferroelectric gate dielectric layer. In operation, an applied electric field of a first polarity, such as by applying a voltage of the first polarity at the gate terminal relative to the source terminal, induces a first polarization state in the ferroelectric gate dielectric layer. Meanwhile, the application of an electric field of a second polarity, opposite the first polarity, such as by applying a voltage of the second polarity at the gate terminal relative to the source terminal, induces a second polarization state in the ferroelectric gate dielectric layer. The first polarization state shifts the threshold voltage V t of the ferroelectric storage transistor to a lower value, which can be used to encode a first logical state, such as a logical “1” state. Alternately, the second polarization state shifts the threshold voltage Vt of the ferroelectric storage transistor to a higher value, which can be used to encode a second logical state, such as a “0” state. In the present description, shifting the threshold voltage Vt of the ferroelectric storage transistor to a higher value (logical “0”) is referred herein as a program operation and shifting the threshold voltage Vt of the ferroelectric storage transistor to a lower value (logical “1”) is referred herein as an erase operation.

[0063] In some embodiments, the pre-charge operation described above can be used to set the source line and bit line voltages of a NOR memory string to voltages that are more negative than the voltage on the local word line (for example during an erase operation), or more positive than the voltage on the local word line (for example during a program operation ) are advantageous because the erase or program polarization states of the ferroelectric storage transistors are somewhat symmetrical in that the cell polarization can be readily reversed by reversing the voltage of the source and drain terminals as one electrode and the voltage of the local word line as the second electrode. In some embodiments, each string of ferroelectric storage transistors, by virtue of being thin- film- transistors, as opposed to a single crystalline transistors formed within the semiconductor substrate, does not require a hard wire connection to the ground potential of the semiconductor substrate for any of its common source, common drain, or common channel. Instead, both polarization states can be imposed on the FeFET with only positive voltages (or only negative voltages) applied to the local word lines or the common source/common drain. This single voltage polarity feature simplifies the process flow for the control/logic transistors formed in the substrate, and allows optimized operation because ferroelectric polarization states typically have a voltage hysteresis that can go from negative voltages (erased state) to positive voltages (programmed state).

[0064] In embodiments of the present invention, the ferroelectric storage transistors are formed using an oxide semiconductor channel. For example, the oxide semiconductor channel can be formed using an amorphous oxide semiconductor material, such as indium gallium zinc oxide (InGaZnO or “IGZO”). An oxide semiconductor channel region has the advantage of a high mobility for greater switching performance and without concern for electron or hole tunneling. For example, an IGZO film has an electron mobility of 10.0-100.0 cm 2 /V, depending on the relative compositions of indium, gallium and zinc. The oxide semiconductor channel forms an N-type, unipolarity channel region where the conductive layers 16a, 18, 16b (Fig. 1) forming the source and drain terminals directly contact the channel region. The ferroelectric storage transistor thus formed is a depletion mode device where the transistor is normally on and can be turned off by depleting the N-type carriers in the channel region. The threshold voltage of the ferroelectric storage transistor is a function of the thickness d4 (Figure 3) of the oxide semiconductor channel region 25. That is, the threshold voltage of the ferroelectric storage transistor is the amount of voltage necessary to deplete the thickness d4 of the oxide semiconductor channel region to shut off the ferroelectric storage transistor.

[0065] Exemplary operation conditions of the three-dimensional NOR memory strings of ferroelectric storage transistors will now be described with reference to Figures 5-7. In embodiments of the present invention, the write operation to the NOR memory strings is carried out by first performing an erase operation followed by a program operation. In the present embodiment, the erase operation is applied to all the storage transistors in a memory page to set all the storage transistors to the first logical state and the subsequent program operation is applied to selected storage transistors in the memory page to program the selected storage storages to the second logical state.

[0066] Figure 5 illustrates an erase operation that can be implemented in the 3-dimensional array of NOR memory strings in embodiments of the present invention. Referring to Figure 5, a memory array 50 is illustrated with a first portion of a set of NOR memory strings belonging to a selected global word line (GWL) 42a and a second portion of the same set of NOR memory strings belonging to an unselected global word line 42b. In actual implementation, the first and second portions of the NOR memory strings illustrate ferroelectric storage transistors formed on the same set of NOR memory strings (same set of active stacks) but associated with different local word lines 28. That is, the first and second portions of the NOR memory strings illustrate ferroelectric storages transistors in different locations in the Y-direction along the NOR memory stings.

[0067] As described above, the global word lines 42a, 42b are interconnect conductors connecting the word line signal to one or more local word lines 28 coupled to NOR memory strings formed in one or more active stacks. The first portion of the NOR memory strings illustrates ferroelectric storage transistors belonging to the selected global word line 42a and are arranged in the same plane in the X-direction. The ferroelectric storage transistors in the first portion belong to a memory page 52a and a memory page 54a, which are memory pages formed in the same plane but in different positions in the X-direction. The second portion of the NOR memory strings illustrates ferroelectric storage transistors belonging to the unselected global word line 42b and are arranged in the same plane in the X-direction. The ferroelectric storage transistors in the second portion belong to a memory page 52b and a memory page 54b, which are memory pages formed in the same plane but in different positions in the X-direction. In particular, the ferroelectric storage transistors in the page 52b belong to an unselected page in the same NOR memory strings as the selected page 52a. That is, memory page 52a and memory page 52b belong to the same set of NOR memory strings but in different positions in the Y-direction. Therefore the bit lines and source lines of pages 52a, 52b are biased to the same voltage levels but page 52b is associated with the unselected global word line 42b. Meanwhile, memory pages 54a and 54b are associated with the same NOR memory strings but in different positions in the Y-direction. Memory page 54a is connected to the selected global word line 42a and memory page 54b is connected to the unselected global word line 42b.

[0068] In the present embodiment, a memory page includes ferroelectric storage transistors in two adjacent stacks of NOR memory strings sharing a common word line and further sharing the same continuous oxide semiconductor channel region. In one embodiment, the memory array 50 includes 8 layers NOR memory strings and a memory page includes at least 16 ferroelectric storage transistors (or 16 bits) across two adjacent active stack of NOR memory strings. In other embodiments, the oxide semiconductor channel can be separated at the bottom of each narrow trench 22. In that case, ferroelectric storage transistors in each stack of NOR memory strings form its own memory page. For example, with the oxide semiconductor channel separated at the bottom of the narrow trench, the memory array 50 may include a memory page of 8 ferroelectric storage transistors (or 8 bits) across one active stack of 8 layers of NOR memory strings.

[0069] In the present embodiment, the erase operation erases a memory page of ferroelectric storage transistors. In some example, this is referred to as a block erase operation. For instance, the erase operation erases all the ferroelectric storage transistors associated with the selected global word line 42a in the selected page 52a. To erase the ferroelectric storage transistor in the selected page 52a, a word line voltage that is more positive than the source line and bit line voltages is used. In some embodiments, the source line voltage and bit line voltage are both set to 0V and the selected global word line 42a is driven to 2-3V. In the present example, the selected global word line 42a is driven to 2.2V. To inhibit erase on the other unselected ferroelectric storage transistors, the unselected global word line 42b is biased to a positive voltage lower than the voltage of the selected global word line 42a. For example, the unselected global word line 42b is biased to half the voltage of the selected global word line 42a, such as 1.1V. The source line voltage and the bit line voltage of the unselected page 54a, 54b are driven to the same voltage as the unselected global word line 42b (e.g. 1.1V), which results in a gate-to-source voltage of 0V across these unselected ferroelectric storage transistors. For the ferroelectric storage transistors in the page 52b, the source line and bit line are biased to 0V, by virtue of being the same set of NOR memory strings as the selected page 52a. However, these unselected ferroelectric storage transistors will not be erased as their gate terminals are biased to the unselected global word line voltage (e.g. 1.1V) and the gate-to-source voltage across these unselected ferroelectric storage transistors is not sufficient to flip the polarization state of the transistors. As a result, only the ferroelectric storage transistors in page 52a are erased. In the present description, ferroelectric storage transistors that have been erased have a first logical state of“l”.

[0070] Figure 6 illustrates a program operation that can be implemented in the 3 -dimensional array of NOR memory strings following the erase operation in Figure 5 in embodiments of the present invention. Referring to Figure 6, after the ferroelectric storage transistors in the selected page 52a have been erased (logical state “1”), some of the ferroelectric storage transistors in the page are to be programmed to a second logical state of “0” based on the write data. In Figure 6, it is assumed that the transistors denoted by the dotted boxes 44 are to be programmed. The other transistors are not programed and will retain the logical state associated with the erased state (“1”).

[0071] To program the selected ferroelectric storage transistor in the selected page 52a, a word line voltage that is more negative than the source line and bit line voltages is used. Alternately, the source line voltage and the bit line voltage of the ferroelectric storage transistors to be programmed can be made more positive than the word line voltage. In embodiments of the present disclosure, the program operation of the ferroelectric storage transistors implements asymmetric programming. Asymmetric programming refers to using different source line voltage and the bit line voltage for the program operation. In the present embodiment, to program selected ferroelectric storage transistors (denoted by dotted boxes 44) in the selected page 52a, the selected global word line 42 is set to 0V. The bit line voltage for the selected ferroelectric storage transistors to be programmed is set to 1.8V while the bit line voltage for the unselected ferroelectric storage transistors is set to 0.8V. The source line voltage for all the ferroelectric storage transistors is also set to 0.8V. As thus configured, only the ferroelectric storage transistors with a negative gate-to-source voltage (e.g. -0.8V) and a large enough negative gate- to-drain voltage (e.g., -1.8V) will experience a high enough electric field at the drain terminal to flip the polarization state of the transistors to the programmed state (logical “0”). The other ferroelectric storage transistors in the selected page 52a, with a gate-to-drain voltage of only - 0.8V, will retain their previously erased state (e.g. logical “1”).

[0072] To inhibit program on the other unselected ferroelectric storage transistors, the unselected global word line 42b is biased to a voltage more positive than the voltage of the selected global word line 42a. For example, the unselected global word line 42b is biased to 0.8V. The source line voltage and the bit line voltage of the unselected pages 54a, 54b are driven to the same voltage as the unselected global word line 42b (e.g. 0.8V). As a result, a gate-to- source voltage of 0V is imposed across the unselected ferroelectric storage transistors in the unselected page 54b and these transistors will not be programmed. The ferroelectric storage transistors in the unselected page 54a have a negative gate-to-source voltage of -0.8V but a gate- to-drain voltage of only -0.8V, which is not sufficient to change the polarization state of these ferroelectric storage transistors. For the unselected ferroelectric storage transistors in the page 52b, the source line and the bit line voltages are biased to the same values as the transistors in page 52a by virtue of being the same set of NOR memory strings as the selected page 52a. However, these unselected ferroelectric storage transistors will not be programmed as their gate terminals are biased to the unselected global word line voltage (e.g. 0.8V) and the gate-to-source voltage across these unselected ferroelectric storage transistors is 0V, which keeps these transistors turned off. As a result, only the selected ferroelectric storage transistors (denoted by boxes 44) in page 52a are programmed.

[0073] In the memory array 50 of Figure 6, the continuous channel results in parasitic transistor devices being formed in a region between two bit lines of two adjacent ferroelectric storage transistors in the Z-direction, as denoted by the dotted ovals 45a to 45f in Figure 6. Each of these parasitic transistor devices includes a ferroelectric gate dielectric layer, a channel region and two bit lines acting as the conductive source/drain terminals. Although memory array 50 includes these parasitic transistor devices, their presence do not impact the memory operation. In particular, the parasitic transistor devices 45a to 45f will be erased during the erase operation. Then during the program operation, parasitic transistor devices (e.g. 45d) between two unselected ferroelectric storage transistors will not be programmed and parasitic transistor devices (e.g. 45 a- c and 45e-f) that have at least one neighboring ferroelectric storage transistor selected for programming will become programmed at the same time. However, the programming of the parasitic transistor device increases the threshold voltage of the parasitic transistor devices which has the effect of turning off the programmed parasitic transistor devices for read operation.

Therefore, the incidental programming of the parasitic transistor devices during the program operation does not impact the operation of the memory array 50. [0074] Figure 7 illustrates a read operation that can be implemented in the 3 -dimensional array of NOR memory strings following the write operation in Figures 5 and 6 in embodiments of the present invention. Referring to Figure 7, to read ferroelectric storage transistors from the selected page 52a, a small positive gate-to-source voltage is applied and a small positive bit line voltage is applied. In the present embodiment, the read operation is carried out by setting the selected global word line 42a to a positive voltage of 0.7V, setting the source line voltage to 0V, and setting the bit line voltage to 0.5V. As thus biased, a ferroelectric storage transistor that is in the erased state will be conducting and an “on” current Ion flows between the bit line and the source line of the erased storage transistors. Meanwhile, a ferroelectric storage transistor that is in the programmed state will be non-conducting and no current flows between the bit line and the source line of the programmed storage transistors, except for a small leakage current, referred to as an “off’ current loff.

[0075] During the read operation, the unselected global word line 42b is set to 0V. The unselected memory pages 54a, 54b will have the bit line voltage and source line voltage set to 0V as well. For the unselected ferroelectric storage transistors in the page 52b belonging to the same NOR memory strings as the selected page 52a, the source line and the bit line voltages are biased to the same values as the transistors in page 52a. However, these unselected ferroelectric storage transistors will not be read as their gate terminals are biased to the unselected global word line voltage (e.g. 0V) and the gate-to-source voltage across these unselected ferroelectric storage transistors is 0V, which keeps these transistors turned off.

[0076] As thus biased, ferroelectric storage transistors in the selected page 52a that are erased will conduct current Ion while ferroelectric storage transistors in the selected page 52a that are programmed will conduct current loff. The bit lines of the ferroelectric storage transistors are connected to sense amplifiers to sense the cell current and to generate an output logical value indicative of the cell current. The parasitic transistor device between two bit lines will not impact the read operation, regardless of whether the parasitic device is erased or programmed. This is because the source-drain terminals of the parasitic transistor devices are biased to the same bit line voltage (0.5V) and so no current will flow in these parasitic transistor devices.

[0077] In some embodiments, the ferroelectric storage transistors in the three dimensional array of NOR memory strings can be induced to store multi-state data, that is, to store data of more than two polarization states, corresponding to more than two logical states. In one embodiment, the ferroelectric storage transistors are operated to store multi-state or multi-level data by erasing the ferroelectric storage transistors to a lower threshold voltage level, representing one polarization state, and then programming the ferroelectric storage transistors to two or more intermediate states, each state having a different threshold voltage level higher than the threshold voltage level associated with erased state.

[0078] In some embodiment, the ferroelectric storage transistors are erased using the bias conditions described above with reference to Figure 5. For example, the selected global word line is driven to 2.2V, the selected bit lines and source lines are driven to 0V. The unselected global word line, unselected bit line and unselected source line are driven to 1.1V. With this biasing condition, the selected ferroelectric storage transistors are erased with a first threshold voltage being the lower or lowest threshold voltage for the ferroelectric storage transistors.

[0079] In some embodiments, the ferroelectric storage transistors in the same page (such as page 52a) are programmed to multiple logical states in a single program operation. That is, the threshold voltages of the ferroelectric storage transistors in the same page are increased from the erased threshold voltage level to different higher threshold voltage levels in the same program operation. Accordingly, only two write cycles (one erase and one program) are required to store multiple (or more than two) logical states in the ferroelectric storage transistors.

[0080] In one example, the biasing conditions used to program all the ferroelectric storage transistors in the same page to two program states include driving the selected global word line to 0V and driving the selected bit lines to 1.6V or 2V depending on the desired program state for the respective ferroelectric storage transistors. In this manner, the selected ferroelectric storage transistors can be programmed to two program states with different program threshold voltage levels in a single program operation, which together with the erase state results in a three logical states being stored in the ferroelectric storage transistors of the selected page. In another example, the biasing conditions used to program all the ferroelectric storage transistors in the same page to three program states include driving the selected global word line to 0V and driving the selected bit lines to 1.6V, 1.8 V or 2V depending on the desired program state for the respective ferroelectric storage transistors. In this manner, the selected ferroelectric storage transistors can be programmed to three program states with different program threshold voltage levels in a single program operation, which together with the erase state results in a four logical states being stored in the ferroelectric storage transistors of the selected page. In the present examples, during the multi- state program operations, all source lines and unselected bit lines and unselected global word lines can be set to 0.8V. In other examples, selected ferroelectric storage transistors in a memory page can be programmed to any number of program states in the same program operation by applying different program voltages to the bit lines, such as voltage values between 1.6 and 2.0V. The selected ferroelectric storage transistors in a memory page can be programmed to a continuum of threshold voltage values by applying program voltage over a continuous voltage range, such as continuous program voltage values between 1.6V and 2.0V. [0081] In other embodiments, the ferroelectric storage transistors in the same page (such as page 52a) are programmed to multiple logical states in separate program operations. That is, after the erase operation, the selected ferroelectric storage transistors are programmed to increase the threshold voltages to different levels in separate program operations. In one example, the selected global word line is driven to 0V. Then in a first program operation, a first group of selected bit lines is driven to 1.6V (VPP voltage) to program the associated ferroelectric storage transistors to a second logical state (the erase state being the first logical state). All source lines and unselected bit lines and unselected global word lines are set to a voltage value of 0.44*VPP, that is 0.7V. To program another logical state in second program operation, a second group of selected bit lines is driven to 2.0V (VPP voltage) to program the associated ferroelectric storage transistors to a third logical state. All source lines and unselected bit lines and unselected global word lines are set to a voltage value of 0.44*VPP, that is 0.88V. Successive program operations can be performed to program the selected ferroelectric storage transistors to any number of logical states using different VPP voltage values.

[0082] In the above description, voltage bias conditions are described and example voltage values are provided to illustrate the erase, program and read operations of the memory array of ferroelectric storage transistors. The voltages described above are illustrative only and not intended to be limiting. In other embodiments, other voltage values can be used to implement the voltage bias conditions for erase, program and read operations.

[0083] As described above, in embodiments of the present disclosure, the common source line of the memory structure can be left floating, or not electrically connected to any potential. Instead, the common source line can be pre-charged using one or both of the bit lines in the pair of NOR memory strings prior to erase, program and read operations. In one embodiment, the precharge operation set the bit line to a desired voltage through a pre-charge transistor and then the source line is charged from the bit line to a voltage to equal to the bit line voltage.

[0084] Bit Line Selection for Sense Amplifier

[0085] Returning to Figure 1, the memory structure 10 is shown as including four active stacks with four bit lines on each layer or plane of the 8-layer three-dimensional memory structure. Ferroelectric storage transistors 20 are formed on both sides of each active stack to form a NOR memory string along each active strip. In actual implementation, the memory structure 10 may be formed with thousands of bit lines per layer (for example, 2048 bit lines) with each bit line being coupled to thousands of LWL structures to form thousands of ferroelectric storage transistors 20 (e.g., 4096 transistors) along the active strip as the NOR memory string.

[0086] In some embodiments, the storage transistors in the memory structure 10 are accessed in units of a memory page having a given byte size and the support circuitry formed in the semiconductor substrate 12 under the memory array includes sense amplifier circuits for outputting read data of the memory page byte size. In one example, the memory structure 10 may be accessed in memory page size of 64 byte or 512 bits. In that case, the supporting circuit under the memory array may include 512 sense amplifiers to output the 512 bits of read data. In some embodiments, a bit line selector is used to multiplex the thousands of bit lines in the memory structure to the given number of sense amplifiers to enable access to a page of memory data. For example, a bit line selector may be used to multiplex 16k bit lines to 512 sense amplifiers.

[0087] Figure 8 illustrates a bit line selector which may be implemented in the three- dimensional array of NOR memory strings in embodiments of the present invention. In the embodiments described above, the ferroelectric storage transistors formed in an active stack belong to the same memory page and are accessed together. Referring to Figure 8, a bit line selector circuit 80 is configured to enable the selection of bit lines across all layers of the memory array that belong to the same active stack, thereby selecting storage transistors belonging to the same memory page.

[0088] In the present embodiment, the bit line selector circuit 80 includes multiple bit line selectors SELO to SEL31. In the present illustration, only two selectors SELO and SEL31 are shown for simplicity. Each bit line selector SELn includes a multiplexer 82 (e.g. multiplexer circuits 82-1, 82-2, etc.), where each multiplexer 82 selects one column of bit lines out of 32 columns. The multiplexer 82 may be referred to as a 32:1 mux or a 32:1 selector. In the present embodiment, the multiplexer 82 receive select signals SO to S31 to select or activate one output terminal 88 out of 32 output terminals. For example, multiplexer 82-1 selects one output terminal out of 32 output terminals 88-0 to 88-31. Meanwhile, multiplexer 82-31 selects one output terminal out of 32 output terminals 88-992 to 88-1023. Each output terminal 88 is coupled to the gate terminal to a bank of pass transistors. Each bank of pass transistors receives at a first terminal bit line signals of the same column across all layers of the memory array. When the pass transistors are activated by the output terminal 88, the bit line signals are passed to the respective second terminals of the bank of pass transistors. The second terminals of the bank of pass transistors are to be connected, such as through a metal interconnect, to respective sense amplifier circuits. [0089] For instance, at bit line selector SELO, each output terminal 88-0 to 88-31 connects to a bank of pass transistors, where the pass transistors in each bank are connected to respective sense amplifier circuit SA00 to SA07. Each output terminal 88-0 to 88-31 connects to a different page of the ferroelectric storage transistors. For instance, output terminal 88-0 connects to the bit lines B0 across the layers L0 to L7 of the memory array and output terminal 88-31 connects to the bit lines B31 across the layers L0 to L7 of the memory array. Meanwhile bit line selector SEL31 includes a 32:1 multiplexer 82-31 with output terminals 88-992 to 88-1023, where the pass transistors in each bank are connected to respective sense amplifier circuit SA248 to SA255. Each output terminal 88-992 to 88-1023 connects to a different page of the ferroelectric storage transistors. For instance, output terminal 88-992 connects to the bit lines B992 across the layers L0 to L7 of the memory array and output terminal 88-1023 connects to the bit lines B1023 across the layers L0 to L7 of the memory array.

[0090] As thus configured, at the bit line selectors SELO to SEL31, the multiplexers 82-0 to 82-31 each selects one output terminal 88 in response to the select signals SO to S31. As a result, one column of bit lines associated with each multiplexer is selected, where each selected column includes bit lines from all layers of the array. A total of 32 columns of bit lines are thus selected, with each column being coupled to respective sense amplifier circuits. In this example, the 32 selected columns of bit lines connect to 256 sense amplifier circuits SA0 to SA255 to provide 256 bits of read data.

[0091] The bit line selector circuit 80 described herein is illustrative only and not intended to be limiting. Various circuit configurations can be used to implement the bit line selection described herein, the bit line selector circuit 80 is provided herein as one exemplary embodiment. [0092] Fabrication Process

[0093] Figures 9(a) to 9(n) illustrate a process for fabricating a memory structure including a 3 -dimensional array of NOR memory strings of ferroelectric storage transistors according to embodiments of the present invention. Figures 9(a) to 9(h) illustrate vertical cross-sectional views (i.e., in an X-Z plane) of a portion of the 3-dimensional array of NOR memory strings. Each figure in Figures 9(i) to 9(n) includes two views: view (i) is a horizontal cross-sectional view (i.e., in an X-Y plane) along line A-A’ in view (ii), and view (ii) is a vertical cross-sectional view (i.e., in an X-Z plane) along line A-A’ in view (i).

[0094] Referring to Figure 9(a), initially, a memory structure 100 is formed by successive depositions of (i) an isolation dielectric layer 104 and (ii) a sacrificial layer 106 on the planar surface of a semiconductor substrate 101 to form a multilayer structure. In some embodiments, a buffer layer 102 may be provided on the surface of the semiconductor substrate 101 before the isolation dielectric layers 104 and the sacrificial layer 106 are formed on the substrate 101. In some embodiments, the buffer layer 102 maybe a silicon oxycarbide (SiOC) layer or a silicon oxide (SiCh) layer. In the present embodiment, the isolation dielectric layer 104 is a silicon oxide layer and may have a thickness of 30 nm. The sacrificial layer 106 is a silicon nitride layer and may have a thickness of 40 nm. The sacrificial layer 106 will be replaced by a conductive layer in metal replacement process in subsequent processing. Figure 9(a) shows the memory structure 100 after the depositions of the initial layers of thin films. As described above, dimensions are provided in the present description for illustrative purposes and are not intended to be limiting. In actual implementation, any suitable thicknesses or dimensions may be used. The drawings are not necessarily to scale.

[0095] Referring to Figure 9(b), a first set of trenches 108 are formed in memory structure 100 using, for example, an anisotropic etch after a photo-lithographical patterning step. In some examples, the trenches 108 may be 70 nm wide, with a spacing of 190 nm apart. Referring to Figure 9(c), an amorphous metal oxide semiconductor layer 120 is then conformally deposited on the exposed sidewalls of the trenches 108. The amorphous metal oxide semiconductor layer 120 (“oxide semiconductor layer 120”) maybe deposited using, for example, an atomic layer deposition (ALD) technique, a plasma-enhanced ALD technique or using physical vapor deposition (PVD). In particular, the oxide semiconductor layer 120 can be formed at a low processing temperature, such as lower than 400 °C. In the present embodiment, the oxide semiconductor layer 120 is an indium gallium zinc oxide (InGaZnO, or “IGZO”) layer. For example, IGZO deposition using thermal ALD or plasma enhanced ALD can be carried out at a processing temperature as low as 200 °C. Other oxide semiconductor materials that may be used include indium zinc oxide (InZnO, or “IZO”), indium aluminum zinc oxide (lAlZnO) or indium tin zinc oxide (ITZO). In one embodiment, the oxide semiconductor layer 120 has a thickness of 10 nm. The oxide semiconductor layer 120 will become the channel region of the ferroelectric storage transistors to be formed.

[0096] In the present embodiment, the oxide semiconductor layer 120 as deposited is a continuous layer along the exposed sidewalls of trenches 108. In some embodiments, after the oxide semiconductor layer 120 is deposited, an etching process can be carried out to etch away the portion of the oxide semiconductor layer 120 at the bottom of the trenches 108, thereby separating the oxide semiconductor layer formed on the sidewalls of the trenches. Etching of the oxide semiconductor layer 120 from the bottom of trenches 108 is optional and may be included if certain specific memory page size is desired, as described above. [0097] Referring to Figure 9(d), trenches 108 are then filled by a dielectric material 110. In some embodiments, the dielectric material 110 is a silicon oxide material, such as SiO . Excess dielectric material may be removed from the top of memory structure 100 using, for example, chemical-mechanical polishing (CMP). Figure 9(d) shows the resulting memory structure 100. [0098] Referring to Figure 9(e), with the mechanical support from dielectric material 110, a second set of trenches 109 are then cut using substantially the same technique as discussed in conjunction with Figure 9(b) above. In some examples, the trenches 109 may be 70 nm wide. Each of the second set of trenches 109 is cut between an adjacent pair of the first set of trenches 108 and each of the second set of trenches 109 is cut substantially equidistant between an adjacent pair of the first set of trenches 108. As a result of trenches 108 and 109 being cut in the multilayer structure, stacks in the multilayer structure are formed which are referred to as “active stacks” in the present description. In some examples, the active stacks are each approximately 60 nm wide. The resulting narrow strips of layers 104 and 106 in the active stacks are referred herein as “active strips.”

[0099] Thereafter, the sacrificial silicon nitride layer 106 is removed using, for example, a wet etch, thereby creating cavities between the isolation dielectric layer 104, as shown in Figure 9(f). The cavities are filled by a conductive layer 112, as shown in Figure 9(g). In some embodiments, the conductive layer 112 is a refractory metal layer, such as molybdenum (Mo) or tungsten (W). In other embodiments, the conductive layer 112 can be a metal layer selected from molybdenum, titanium, tungsten, lanthanum, tantalum, ruthenium, any silicide thereof, any nitride thereof, and any combination thereof. For example, the conductive layer 112 may be formed using, for example, an atomic layer deposition (AED) technique. An etching step removes any of the deposited material from the sidewalls of trenches 109. In some examples, an isotropic wet etch is used to remove the deposited material from the sidewalls of trenches 109. In the present embodiment, the conductive layer 112 is a molybdenum layer and forms the source and drain terminals of the ferroelectric storage transistors to be formed.

[0100] Thereafter, an amorphous metal oxide semiconductor layer 120 is then conformally deposited on the exposed sidewalls of the trenches 109, such as using an ALD process. The oxide semiconductor layer 120 may have a thickness of 10 nm. Trenches 109 are then filled by the dielectric material 110, such as SiO . Excess deposited materials may be removed from the top of memory structure 100, such as by CMP. The resulting memory structure 100 is shown in Figure 9(h).

[0101] Referring to Figure 9(i), thereafter, vias 114 are patterned and the dielectric material 110 exposed by the vias 114 are etched using, for example, an anisotropic etch. Vias 114 may be masked in an oval shape, as shown in the horizontal cross-sectional view (i) of Figure 9(i). In some examples, the oval shaped mask opening may have a major axis of 100 nm along the X- direction and a minor axis of 60 nm along the Y-direction. Vias 114 are filled at a later time by a dielectric material so as to isolate adjacent storage transistors in an NOR memory strings to be formed.

[0102] Referring to Figure 9(j), vias 114 are then filled with a sacrificial silicon nitride liner 115 and a sacrificial amorphous silicon layer 116. Excess materials on top of memory structure 100 may be removed using, for example, CMP. With the vias 114 filled, the remainder of dielectric material 110 in trenches 108 and 109 is then removed using, for example, a wet etch step, as illustrated in Figure 9(k). As a result, cavities 118 are formed in trenches 108 and 109 outside of the vias 114 which are now filled with sacrificial layers of silicon nitride liner 115 and amorphous silicon layer 116.

[0103] Referring to Figure 9(1), a ferroelectric gate dielectric layer 122 is then conformally deposited on the exposed sidewalls of cavities 118. In some embodiments, the ferroelectric gate dielectric layer 122 is deposited by any one of suitable deposition methods including but not limited to chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), physical vapor deposition (PVD) and atomic layer deposition (ALD). Other deposition methods such as evaporation and electrodeposition can also be used. In some embodiments, the ferroelectric gate dielectric layer 122 is a doped hafnium oxide (HfO2) layer. In one embodiment, the hafnium oxide is doped with zirconium oxide (ZrO2) to form a hafnium zirconium oxide layer (HfZrO or “HZO”). In another embodiment, the hafnium oxide is doped with silicon (Si), iridium (Ir) and lanthanum (La). In some embodiments, the ferroelectric gate dielectric layer 122 is a material selected from: zirconium-doped hafnium oxide (HZO), silicon-doped hafnium oxide (HSO), aluminum zirconium-doped hafnium oxide (HfZrAlO), aluminum-doped hafnium oxide (HfO2:Al), lanthanum-doped hafnium oxide (HfO2:La), hafnium zirconium oxynitride (HfZrON), hafnium zirconium aluminum oxide (HfZrAlO), and any hafnium oxide that includes zirconium impurities.

[0104] In one embodiment, the ferroelectric gate dielectric layer 122 has a thickness of 4nm. In some embodiments, an interface dielectric layer (sometimes referred to as an “interfacial layer”) is formed between the oxide semiconductor layer 120 and the ferroelectric gate dielectric layer 122. In some embodiments, the interface dielectric layer is formed using a material with a high permittivity (K) (also referred to as “high-X” material). In one example, the interface dielectric layer, if present, may be a silicon nitride (Si3N4) layer and has a thickness of Inm. The ferroelectric gate dielectric layer 122 may be deposited and then annealed to form the ferroelectric phase in the ferroelectric material. The ferroelectric gate dielectric layer 122 forms the gate dielectric layer of the ferroelectric storage transistors to be formed.

[0105] After the deposited ferroelectric gate dielectric layer 122 is annealed, cavities 118 are filled by a conductive layer 124, which may include successively deposited titanium nitride (TiN) liner and a tungsten (W) layer. The TiN liner may be formed using, for example, an atomic layer deposition (ALD) technique. In each of cavities 118, between adjacent vias 114 (now filled by sacrificial materials 115, 116), the conductive layer 124 provides a vertical local word line (LWL) that serves as gate electrode for each of the ferroelectric storage transistors that are vertically aligned in the same active stack. The structure resulting from the combination of the ferroelectric gate dielectric layer 122 and the conductive layer 124 is referred herein as the local word line (LWL) structure. Excess deposited materials may be removed from the top of memory structure 100, such as by CMP. Figure 9(1) illustrates the resulting memory structure 100.

[0106] It is instructive to note that the memory structure 100 includes regular sized cavities 118a in a central portion of the memory structure and extended sized cavities 118b at the edges of the memory structure (Figure 9(k)). As a result, the memory structure 100 includes LWL structures formed in the regular sized cavities 118a which are to be used to form the ferroelectric storage transistors of the NOR memory strings. The structures formed in the extended sized cavities 118b at the edges of the memory structure 100 can be dummy structures or they can be converted to non-memory transistors, such as pre-charge transistors, where applicable. The exact configuration of the memory structure 100 at the edges of the memory strings is not critical to the practice of the present invention.

[0107] Referring to Figure 9(m), subsequent to the LWL structures being formed, the sacrificial materials formed in the vias 114 are now removed, forming cavities 126. In the present embodiment, the amorphous silicon layer 116 is removed, such as by using a wet etch step. The silicon nitride liner 115 is left in the cavities 126. In other embodiments, the silicon nitride liner 115 may also be removed, such as by using a wet etch step.

[0108] Referring to Figure 9(n), a dielectric material 130 then fills the exposed vias 126. In some embodiments, the dielectric material 130 is silicon oxide, such as SiCL. Excess material on top of memory structure 100 may be removed by CMP. The resulting memory structure 100 includes multiple layers of NOR memory strings of ferroelectric storage transistors formed in multiple active stacks to realize a memory device with large capacity. In particular, the ferroelectric storage transistors in the NOR memory strings are formed by the conductive layer 112 as the source and drain terminals, the oxide semiconductor layer 120 as the channel region, the ferroelectric gate dielectric layer 122 as the gate dielectric layer and the conductive layer 124 as the gate terminal or gate conductor. The memory structure 100 includes the oxide semiconductor layer 120 that is a continuous layer across all the layers of an active stack in the Z direction. Furthermore, the conductive layer 112 are arranged so that pairs of NOR memory strings share a common source line.

[0109] In the memory structure 100 of Figure 9(n), the oxide semiconductor layer 120 is formed as a continuous layer across the active stacks and is further a continuous layer along the NOR memory strings, in the Y direction. Leaving the oxide semiconductor layer 120 between LWL structures does not impact the memory device because the regions between LWL structures are filled with dielectric materials only. However, in some embodiments, the oxide semiconductor layer 120 may be separated between LWL structures, as illustrated by the memory structure 30 of Figure 2.

[0110] Figures 10(a) to 10(b) illustrate an alternative process for fabricating a memory structure including a 3-dimensional array of NOR memory strings of ferroelectric storage transistors according to embodiments of the present invention. Referring to Figure 10(a), the memory structure 200 has been constructed in the same manner as described above with reference to Figures 9(a) to 9(h), with a resulting structure including alternating isolating dielectric layer 104 and conductive layer 112 and active stacks separated by trenches lined with the oxide semiconductor layer 120 and filled with the dielectric material 110, as shown in Figure 9(h).

[0111] Thereafter, vias 114 are patterned and the dielectric material 110 exposed by the vias 114 are etched using, for example, an anisotropic etch. In the present embodiment, after the removal of the dielectric material 100 from vias 114, the exposed oxide semiconductor layer 120 in vias 114 is also removed, such as by an anisotropic dry etch or a wet etch process. As a result, the oxide semiconductor layer 120 remains only outside of the vias 114 and each segment of the oxide semiconductor layer 120 is separated from each other in the Y-direction, along the NOR memory strings to be formed. Subsequent processing steps described above with reference to Figures 9(j) to 9(n) are carried out to complete the fabrication of the memory structure 200.

Referring to Figure 10(b), LWL structures are formed in cavities between the vias 114. The LWL structures include the ferroelectric gate dielectric layer 122 and a conductive layer 124. The sacrificial material formed in vias 114 is replaced by a dielectric material 130, such as silicon oxide. As shown in the horizontal view (i) of Figure 10(b), the oxide semiconductor layer 120 is separated along the NOR memory string in the Y-direction and is only provided adjacent each LWL structure. [0112] In embodiments of the present invention, the memory structure can be constructed using air gap as the insulation between pairs of NOR memory strings sharing a common source line. Air gaps have a dielectric constant approximately 1.0, which is considerably lower than most dielectric materials, thereby effectively reducing the parasitic capacitance between the bit line of one pair of NOR memory strings and the bit line of the adjacent pair of NOR memory string in the Z-direction. The air gaps improve the isolation between adjacent NOR memory string pairs and enhance the performance of the memory device formed therefrom. Figure 11 is a cross-sectional view of a portion of a memory structure including the 3-dimensional array of NOR memory strings isolated by air gap cavities in embodiments of the present invention. Like elements in Figures 1 , 3 and 11 are given like reference numerals to simplify the discussion. Referring to Figure 11, a memory structure 300 includes a three dimensional array of NOR memory strings of ferroelectric storage transistors formed on a semiconductor substrate (not shown in Figure 11). In the present embodiment, a pair of active stacks of NOR memory strings 24 bordering the same narrow trench 22 share a common oxide semiconductor channel region 25, a ferroelectric gate dielectric layer 26 and a gate electrode 28. The oxide semiconductor channel region 25 formed in one narrow trench 22 is isolated from the oxide semiconductor channel region 25 formed in the other narrow trenches 22. Each active stack 24 has ferroelectric storage transistors formed on both sides of the stack. In the case the LWL structures are staggered in the Y-direction, adjacent narrow trenches 22 have storage transistors that are formed offset from each other in the Y-direction such that an active stack 24 does not include storage transistors that are formed directly across the active stack in the X-direction. Local word line structures formed in each trench 22 are isolated from each other by a dielectric material 23.

[0113] In memory structure 300, each pair of active layers includes a first common bit line (first conductive layer 16a) and a common source line (second conductive layer 18), separated by an isolation layer 17a, and a second common bit line (third conductive layer 16b), separated from the common source line 18 by an isolation layer 17b, to form first and second NOR memory strings. In embodiments of the present disclosure, each pair of first and second NOR memory strings are separated from the other pairs in the Z-direction by an air gap or a cavity 315, also referred herein as an “air gap cavity.” In this manner, the air gap 315 insulates adjacent bit lines in each active stack of the memory structure. The three dimensional array of NOR memory strings may include a bottom isolation layer 305 formed under the memory array to isolate the first conductive layer 16a from the semiconductor substrate. The three dimensional array of NOR memory strings may further include a top isolation layer 325 formed above the three dimensional array to isolate the memory array from conductive connectors formed above the memory array. [0114] In the present description, an air gap refers to a cavity formed in the memory structure that is void of any materials. In some embodiments, the air gap 315 can be formed by replacing some of the isolation dielectric layers with an air-gap sacrificial layer during the multi-layer successive deposition process, such as that shown in Figure 9(a). For example, in the multi-layer structure of Figure 9(a), every third layer of the isolation dielectric layer 140, not counting the bottommost isolation dielectric layer, can be replaced by the air-gap sacrificial layer. In some embodiments, the air-gap sacrificial layer is a material with high etch selectivity to the conductive material used to form the source lines and bit lines 16a, 16b and 18, and also selective to the isolation material 17a, 17b used to isolate the source line and from the bit lines in a memory string. In some embodiments, the air-gap sacrificial layer can be a material selected from germanium (Ge), silicon germanium (SiGe), or carbon (C).

[0115] Subsequently, after the formation of the local word line structure in trenches 22, such as after the process of Figure 9(n) where the memory structure 300 is formed including the oxide semiconductor channel 25, the ferroelectric gate dielectric layer 26 and the gate electrode 28, the air-gap sacrificial layer can then be etched away, such as through one or more shafts formed in the narrow trenches 22 at designated locations. The air-gap sacrificial layer can be etched using isotropic dry etch or wet etch or ashing in the case of a carbon sacrificial layer. The local word line structures and the dielectric material 23 formed in the trenches 22 between the active stacks 24 provide support for the active stacks 24. The air-gap sacrificial layer along the length of the NOR memory strings in the Y-direction is etched away to create long narrow cavities 315, referred herein as “air gaps” or “air gap cavities.” that are bounded by adjacent bit line conductors. As thus formed, the memory structure 300 includes periodic air gaps 315 formed within the array, between each adjacent pair of bit line conductors 16b and 16a. These periodic air gaps 315 have a dielectric constant of approximately 1.0, which is considerably lower than the dielectric constant of insulating dielectric materials that can be used for the bit line isolation. For example, silicon oxide (SiO2) has a dielectric constant of 4.0, as compared to the dielectric constant of 1.0 of the air gaps. With the use of the cavities or air gaps 315 as an insulating layer between adjacent bit lines, the parasitic capacitance between pairs of adjacent bit lines in the Z- direction is drastically reduced.

[0116] In some embodiments, after the air-gap sacrificial layer is removed, an air gap liner layer can be deposited to seal the surfaces exposed by the air gaps 315. For example, the air gap liner layer can be applied to seal the exposed conductive materials forming the common bit lines 16a and 16b and the exposed surface of the oxide semiconductor channel region 25. In some embodiments, the air gap liner layer is a dielectric material and, in some examples, the air gap liner layer is a silicon nitride layer or a silicon oxide layer lining the exposed surface created by the air gap cavities 315.

[0117] In alternate embodiments, the cavities or air gaps 315 can be advantageously applied to segment the oxide semiconductor channel 25 at the region between adjacent pairs of NOR memory strings. Furthermore, in some embodiments, the cavities or air gaps 315 can be further applied to segment the ferroelectric gate dielectric layer 26 as well to isolate the ferroelectric gate dielectric layer to each pair of NOR memory strings. Figure 12 is a cross-sectional view of a portion of a memory structure including the 3-dimensional array of NOR memory strings wherein the ferroelectric transistors are isolated by air gap cavities in alternate embodiments of the present invention. Like elements in Figures 11 and 12 are given like reference numerals to simplify the discussion. Referring to Figure 12, a memory structure 400 is formed in substantially the same manner as the memory structure 300 in Figure 11 to include air gaps or air gap cavities 315 between adjacent pairs of NOR memory strings. In memory structure 400, the formation of the air gap cavities 315 provide access to further etch away the exposed sidewalls of the oxide semiconductor channel 25. In some embodiments, the air gaps 315 are further used to etch away the exposed sidewalls of the ferroelectric gate dielectric layer 26. In some embodiments, the oxide semiconductor channel sidewall and the ferroelectric gate dielectric layer sidewall are etched or removed by a wet etch or an isotropic dry etch. The etch process of the oxide semiconductor channel sidewall and the ferroelectric gate dielectric layer sidewall should be selective to the bit line conductor layers 16a, 16b so that the bit line conductor layers 16a and 16b are minimally impacted by the etch process. After the etch process, the oxide semiconductor channel region and the ferroelectric gate dielectric layer between adjacent pairs of bit line conductors 16b, 16a are removed, as shown by the dotted circle 355. As a result, the oxide semiconductor channel 25 and the ferroelectric gate dielectric layer 26 are isolated to each pair of NOR memory strings in an active stack 24. Such isolation is beneficial to reduce parasitic capacitances as well elimination of interference between adjacent pairs of NOR memory strings in an active stack 24 (i.e. in the Z-direction). In embodiments of the present disclosure, the air cap cavities can be used to remove or segment only the oxide semiconductor channel 25 and the ferroelectric gate dielectric layer 26 can left remaining as a continuous layer.

[0118] As described above with reference to Figure 11 , an air gap liner layer can be deposited to seal the surfaces exposed by the air gaps 315. In particular, an air gap liner layer can be applied to seal the exposed conductive materials forming the common bit lines 16a and 16b, the exposed surface of the oxide semiconductor channel region as well as the exposed surface of the ferroelectric gate dielectric layer 26 in the air gap cavities 315. In some embodiments, the air gap liner layer can be a dielectric material, such as silicon nitride or silicon oxide.

[0119] In some embodiments, the memory structures 300, 400 in Figures 11 and 12 can be formed using sacrificial layers in place of the isolation layers 17a, 17b with the sacrificial layers being replaced by cavities or air gaps in later processing steps. In that case, the memory structure is formed with cavities or air gaps between each pair of source line and bit line. In this manner, the parasitic capacitance between an adjacent source line and bit line conductors is reduced. When sacrificial layers are replaced by cavities, the oxide semiconductor channel 25 and the ferroelectric gate dielectric layer 26 are preserved as they form the channel region and the gate dielectric of the ferroelectric storage transistor. In some embodiments, an air gap liner layer can be applied to seal the exposed surfaces of the air gap cavities.

[0120] In the above described embodiments, the ferroelectric storage transistors of the three dimensional array of NOR memory strings use an oxide semiconductor material to form the channel region. In further embodiments of the present invention, the NOR memory strings can be formed using a polysilicon material for the channel region. That is, the oxide semiconductor channel region 25 described in any of the above embodiments can be replaced by a polysilicon channel. In that case, an interface dielectric layer is added between the polysilicon channel region and the ferroelectric gate dielectric layer. In one embodiment, the interface dielectric layer is a silicon oxide layer (SiO2), a silicon nitride layer (Si N , or an aluminum oxide layer.

[0121] In some embodiments, the source layer and the drain layer can be each formed using a conductive layer (such as metal) with or without a heavily doped polysilicon layer as the source or drain region. In one embodiment, the polysilicon channel is a heavily doped N-type layer to form a junctionless channel. The heavily doped N-type channel region forms a depletion mode ferroelectric transistor, in the same manner as an oxide semiconductor channel. In one embodiment, the polysilicon channel is doped with N-type dopants at a dopant concentration of about 2-5x 10 18 cm' 3 . The heavily doped N-type channel region enables direct contact with the metal conductors forming the source layer and the drain layer, eliminating the need for a polysilicon source-drain layer.

[0122] In other aspects of the present disclosure, the air gap cavities can be applied in a memory structure for isolating individual NOR memory strings in an active stack. Figure 13 is a cross-sectional view of a portion of a memory structure including the 3-dimensional array of NOR memory strings wherein the ferroelectric transistors are isolated by air gap cavities in alternate embodiments of the present invention. Like elements in Figures 1, 3 and 13 are given like reference numerals to simplify the discussion. Referring to Figure 13, a memory structure 500 includes a three dimensional array of NOR memory strings of ferroelectric storage transistors formed on a semiconductor substrate (not shown in Figure 13). The memory structure 500 is constructed using alternating conductive layers as the common bit lines and the common source lines. Therefore, adjacent pairs of NOR memory strings do not share a common source line, as in the above described embodiments. Accordingly, the memory structure 500 includes active stacks formed by individual NOR memory strings 11-0 to 11-7, each NOR memory string having its own common bit line 16 and common source line 18, separated by an isolation layer 17. In the present embodiment, each NOR memory string is formed adjacent to its own oxide semiconductor channel region 25 and ferroelectric gate dielectric layer 26. A pair of active stacks of NOR memory strings 24 bordering the same narrow trench 22 share a gate conductor 28. Each active stack 24 has ferroelectric storage transistors formed on both sides of the stack. In the case the LWL structures are staggered in the Y-direction, adjacent narrow trenches 22 have storage transistors that are formed offset from each other in the Y-direction such that an active stack 24 does not include storage transistors that are formed directly across the active stack in the X- direction. Local word line structures formed in each trench 22 are isolated from each other by a dielectric material 23.

[0123] In memory structure 500, each active layer, such as active layer 11-0 to 11-7, includes a common bit line (first conductive layer 16) and a common source line (second conductive layer 18), separated by the isolation layer 17 to form a NOR memory string. In embodiments of the present disclosure, each NOR memory strings are separated from the other NOR memory strings in the Z-direction by an air gap or air cap cavity 415. In this manner, the air gap cavity 415 insulates adjacent ferroelectric storage transistors in each active stack of the memory structure. The three dimensional array of NOR memory strings may include a bottom isolation layer 405 formed under the memory array to isolate the conductive layer 18 from the semiconductor substrate. The three dimensional array of NOR memory strings may further include a top isolation layer 425 formed above the three dimensional array to isolate the memory array from conductive connectors formed above the memory array.

[0124] The memory structure 500 is formed in substantially the same manner as the memory structure 300 in Figure 11 to include air gaps or cavities for isolating the NOR memory strings. In some embodiments, the air gaps 415 in memory structure 500 can be formed by replacing some of the isolation layers with an air-gap sacrificial layer during the multi-layer successive deposition process, such as that shown in Figure 9(a). For example, in the multi-layer structure of Figure 9(a), every other layer of the isolation dielectric layer 140, not counting the bottommost isolation dielectric layer, can be replaced by the air-gap sacrificial layer. Subsequently, after the formation of the local word line structure in trenches 22, such as after the process of Figure 9(n) where the memory structure 500 is formed including the oxide semiconductor channel 25, the ferroelectric gate dielectric layer 26 and the gate electrode 28, the air-gap sacrificial layer can then be etched away, such as through one or more shafts formed in the narrow trenches 22 at designated locations. The air-gap sacrificial layer can be etched using isotropic dry etch or wet etch or ashing in the case of a carbon sacrificial layer. The local word line structures and the dielectric material 23 formed in the trenches 22 between the active stacks 24 provide support for the active stacks 24. The air-gap sacrificial layer along the length of the NOR memory strings in the Y-direction is etched away to create the long narrow air gap cavities 415, that are bounded by adjacent bit line/source line conductors. Furthermore, in the present embodiment, the air gap cavities 415 are used as access to further etch away the exposed sidewalls of the oxide semiconductor channel 25 as well as the exposed sidewalls of the ferroelectric gate dielectric layer 26. In this manner, the oxide semiconductor channel 26 and the ferroelectric gate dielectric layer 26 are isolated to each NOR memory string. As thus formed, the memory structure 500 includes periodic air gaps 415 formed within the array, between each adjacent bit line 16 and source line 18 of adjacent NOR memory strings in an active stack. The periodic air gaps 415 has low dielectric constant which is beneficial to reduce the parasitic capacitance between the bit lines and sources lines in adjacent NOR memory strings in an active stack (Z-direction). Furthermore, by using the air gap cavities 415 to segment the oxide semiconductor channel and the ferroelectric gate dielectric layer to each NOR memory string, parasitic capacitances are further reduced and interference between adjacent of NOR memory strings in an active stack 24 (i.e. in the Z-direction) is minimized. In some embodiments, an air gap liner layer can be applied to seal the exposed surfaces of the air gap cavities.

[0125] In this detailed description, process steps described for one embodiment may be used in a different embodiment, even if the process steps are not expressly described in the different embodiment. When reference is made herein to a method including two or more defined steps, the defined steps can be carried out in any order or simultaneously, except where the context dictates or specific instruction otherwise are provided herein. Further, unless the context dictates or express instructions otherwise are provided, the method can also include one or more other steps carried out before any of the defined steps, between two of the defined steps, or after all the defined steps.

[0126] In this detailed description, various embodiments or examples of the present invention may be implemented in numerous ways, including as a process; an apparatus; a system; and a composition of matter. A detailed description of one or more embodiments of the invention is provided above along with accompanying figures that illustrate the principles of the invention. The invention is described in connection with such embodiments, but the invention is not limited to any embodiment. Numerous modifications and variations within the scope of the present invention are possible. The scope of the invention is limited only by the claims and the invention encompasses numerous alternatives, modifications, and equivalents. Numerous specific details are set forth in the description in order to provide a thorough understanding of the invention. These details are provided for the purpose of example and the invention may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the invention has not been described in detail so that the invention is not unnecessarily obscured. The present invention is defined by the appended claims.