Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
TRANSISTORS WITH DUAL POWER AND SIGNAL LINES
Document Type and Number:
WIPO Patent Application WO/2024/047423
Kind Code:
A1
Abstract:
A semiconductor structure includes a first field-effect transistor having a first back side source/drain contact, a second back side source/drain contact, and a first power line and a first signal line each connected to the first back side source/drain contact and the second back side source/drain contact, respectively. The semiconductor structure further includes a second field-effect transistor vertically stacked above the first field-effect transistor. The second field-effect transistor having a first front side source/drain contact, a second front side source/drain contact, and a first power line and a first signal line each connected to the first front side source/drain contact and the second front side source/drain contact, respectively.

Inventors:
LI TAO (US)
XIE RUILONG (US)
WOLPERT DAVID (US)
CHU ALBERT (US)
Application Number:
PCT/IB2023/057487
Publication Date:
March 07, 2024
Filing Date:
July 24, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
IBM (US)
IBM ISRAEL SCIENCE AND TECH LTD (IL)
International Classes:
H01L23/528; H01L21/8238; H01L27/06; H01L21/822; H01L29/775
Foreign References:
US20220123023A12022-04-21
US20210305278A12021-09-30
US20210366906A12021-11-25
EP4030470A12022-07-20
US20200373241A12020-11-26
Attorney, Agent or Firm:
GILBOA, Eyal (IL)
Download PDF:
Claims:
CLAIMS

What is claimed is:

1. A semiconductor structure, comprising: a first field-effect transistor comprising: a first back side source/drain contact; a second back side source/drain contact; and a first power line and a first signal line each connected to the first back side source/drain contact and the second back side source/drain contact, respectively; and a second field-effect transistor vertically stacked above the first field-effect transistor, the second field-effect transistor comprising: a first front side source/drain contact; a second front side source/drain contact; and a first power line and a first signal line each connected to the first front side source/drain contact and the second front side source/drain contact, respectively.

2. The semiconductor structure of claim 1, wherein: the first field-effect transistor further comprises a first source/drain region disposed on the first back side source/drain contact and a second source/drain region disposed on the second back side source/drain contact; the second field-effect transistor further comprises a first source/drain region disposed on the first front side source/drain contact and a second source/drain region disposed on the second front side source/drain contact; the first source/drain region of the second field-effect transistor being stacked above the first source/drain region of the first field-effect transistor; and the second source/drain region of the second field-effect transistor being stacked above the second source/drain region of the first field-effect transistor.

3. The semiconductor structure of claim 2, wherein: the first field-effect transistor further comprises a third source/drain region; the second field-effect transistor further comprises a third source/drain region; and the third source/drain region of the second field-effect transistor is stacked above the third source/drain region of the first field-effect transistor.

4. The semiconductor structure of claim 3, further comprising a metal contact disposed on each of the third source/drain region of the second field-effect transistor and the third source/drain region of the first field-effect transistor.

5. The semiconductor structure of claim 1, wherein the first power line and the first signal line of the first field-effect transistor are disposed within a first dielectric layer.

6. The semiconductor structure of claim 5, wherein the first power line and the first signal line of the second field-effect transistor are disposed within a second dielectric layer.

7. The semiconductor structure of claim 1, wherein the first field-effect transistor further comprises a back-end-of-line layer on a back side and the second field-effect transistor further comprises a back-end-of-line layer on a front side.

8. The semiconductor structure of claim 7, wherein: the first field-effect transistor further comprises a second power line and a second signal line each connected to the back-end-of-line layer on the back side; the second field-effect transistor further comprises a second power line and a second signal line each connected to the back-end-of-line layer on the front side; the second power line of the first field-effect transistor being connected to the second power line of the second field-effect transistor by a first interconnect structure; and the second signal line of the first field-effect transistor being connected to the second signal line of the second field-effect transistor by a second interconnect structure.

9. The semiconductor structure of claim 1, wherein the first field-effect transistor and the second field-effect transistor comprise respective nanosheet field-effect transistor devices.

10. An integrated circuit, comprising: one or more semiconductor structures, wherein at least one of the one or more semiconductor structures comprises: a first field-effect transistor comprising: a first back side source/drain contact; a second back side source/drain contact; and a first power line and a first signal line each connected to the first back side source/drain contact and the second back side source/drain contact, respectively; and a second field-effect transistor vertically stacked above the first field-effect transistor, the second field-effect transistor comprising: a first front side source/drain contact; a second front side source/drain contact; and a first power line and a first signal line each connected to the first front side source/drain contact and the second front side source/drain contact, respectively.

11. The integrated circuit of claim 10, wherein: the first field-effect transistor further comprises a first source/drain region disposed on the first back side source/drain contact and a second source/drain region disposed on the second back side source/drain contact; the second field-effect transistor further comprises a first source/drain region disposed on the first front side source/drain contact and a second source/drain region disposed on the second front side source/drain contact; the first source/drain region of the second field-effect transistor being stacked above the first source/drain region of the first field-effect transistor; and the second source/drain region of the second field-effect transistor being stacked above the second source/drain region of the first field-effect transistor.

12. The integrated circuit of claim 11, wherein: the first field-effect transistor further comprises a third source/drain region; the second field-effect transistor further comprises a third source/drain region; and the third source/drain region of the second field-effect transistor is stacked above the third source/drain region of the first field-effect transistor.

13. The integrated circuit of claim 12, further comprising a metal contact disposed on each of the third source/drain region of the second field-effect transistor and the third source/drain region of the first field-effect transistor.

14. The integrated circuit of claim 10, wherein the first power line and the first signal line of the first field-effect transistor are disposed within a first dielectric layer.

15. The integrated circuit of claim 14, wherein the first power line and the first signal line of the second field-effect transistor are disposed within a second dielectric layer.

16. The integrated circuit of claim 10, wherein the first field-effect transistor further comprises a back-end-of-line layer on a back side and the second field-effect transistor further comprises a back-end-of-line layer on a front side.

17. The integrated circuit of claim 16, wherein: the first field-effect transistor further comprises a second power line and a second signal line each connected to the back-end-of-line layer on the back side; the second field-effect transistor further comprises a second power line and a second signal line each connected to the back-end-of-line layer on the front side; the second power line of the first field-effect transistor being connected to the second power line of the second field-effect transistor by a first interconnect structure; and the second signal line of the first field-effect transistor being connected to the second signal line of the second field-effect transistor by a second interconnect structure.

18. The integrated circuit of claim 10, wherein the first field-effect transistor and the second field-effect transistor comprise respective nanosheet field-effect transistor devices.

19. A method, comprising: forming a first field-effect transistor comprising a first back side source/drain contact; a second back side source/drain contact; and a first power line and a first signal line each connected to the first back side source/drain contact and the second back side source/drain contact, respectively; and forming a second field-effect transistor vertically stacked above the first field-effect transistor, the second field-effect transistor comprising a first front side source/drain contact; a second front side source/drain contact; and a first power line and a first signal line each connected to the first front side source/drain contact and the second front side source/drain contact, respectively.

20. The method of claim 19, further comprising: forming a back-end-of-line layer on a back side of the first field-effect transistor; forming a second power line and a second signal line each connected to the back-end-of- line layer on the back side of the first field-effect transistor; forming a back-end-of-line layer on a front side of the second field-effect transistor; forming a second power line and a second signal line each connected to the back-end-of- line layer on the front side of the second field-effect transistor; forming a first interconnect structure connecting the second power line of the first fieldeffect transistor to the second power line of the second field-effect transistor; and forming a second interconnect structure connecting the second signal line of the first fieldeffect transistor to the second signal line of the second field-effect transistor.

Description:
TRANSISTORS WITH DUAL POWER AND SIGNAL LINES

BACKGROUND

[0001] A field-effect transistor (PET) is a transistor having a source, a gate, and a drain, the operation of which depends on the flow of carriers (electrons or holes) along a channel that runs between the source and drain. Current through the channel between the source and drain may be controlled by a transverse electric field under the gate.

[0002] FETs are widely used for switching, amplification, filtering, and other tasks. FETs include metal-oxide-semiconductor (MOS) FETs (MOSFETs). Complementary MOS (CMOS) devices are widely used, where both n-type and p-type transistors (nFET and pFET) are used to fabricate logic and other circuitry. Source and drain regions of a FET are typically formed by adding dopants to target regions of a semiconductor body on either side of a channel, with the gate being formed above the channel. The gate includes a gate dielectric over the channel and a gate conductor over the gate dielectric. The gate dielectric is an insulator material that prevents large leakage current from flowing into the channel when voltage is applied to the gate conductor while allowing applied gate voltage to produce a transverse electric field in the channel.

SUMMARY

[0003] Illustrative embodiments of the present application include techniques for use in semiconductor manufacture. In an illustrative embodiment, a semiconductor structure comprises a first field-effect transistor comprising a first back side source/drain contact, a second back side source/drain contact, and a first power line and a first signal line each connected to the first back side source/drain contact and the second back side source/drain contact, respectively. The semiconductor structure further comprises a second field-effect transistor vertically stacked above the first field-effect transistor. The second field-effect transistor comprises a first front side source/drain contact, a second front side source/drain contact, and a first power line and a first signal line each connected to the first front side source/drain contact and the second front side source/drain contact, respectively.

[0004] The semiconductor structure of the illustrative embodiment advantageously allows for a power line and a signal line at both the front side and the back side of the stacked FETs thereby reducing process complexity and improving routing congestion. [0005] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first field-effect transistor further comprises a first source/drain region disposed on the first back side source/drain contact and a second source/drain region disposed on the second back side source/drain contact. The second field-effect transistor further comprises a first source/drain region disposed on the first front side source/drain contact and a second source/drain region disposed on the second front side source/drain contact. The first source/drain region of the second field-effect transistor is stacked above the first source/drain region of the first field-effect transistor. The second source/drain region of the second field-effect transistor is stacked above the second source/drain region of the first field-effect transistor.

[0006] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first field-effect transistor further comprises a third source/drain region. The second field-effect transistor further comprises a third source/drain region. The third source/drain region of the second field-effect transistor is stacked above the third source/drain region of the first field-effect transistor.

[0007] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the semiconductor structure further comprises a metal contact disposed on each of the third source/drain region of the second field-effect transistor and the third source/drain region of the first field-effect transistor.

[0008] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first power line and the first signal line of the first field-effect transistor are disposed within a first dielectric layer.

[0009] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first power line and the first signal line of the second field-effect transistor are disposed within a second dielectric layer.

[0010] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first field-effect transistor further comprises a back-end-of-line layer on a back side and the second field-effect transistor further comprises a back-end-of-line layer on a front side.

[0011] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first field-effect transistor further comprises a second power line and a second signal line each connected to the back-end-of-line layer on the back side. The second field- effect transistor further comprises a second power line and a second signal line each connected to the back-end-of-line layer on the front side. The second power line of the first field-effect transistor is connected to the second power line of the second field-effect transistor by a first interconnect structure. The second signal line of the first field-effect transistor is connected to the second signal line of the second field-effect transistor by a second interconnect structure.

[0012] In one or more additional illustrative embodiments, as may be combined with the preceding paragraphs, the first field-effect transistor and the second field-effect transistor comprise respective nanosheet field-effect transistor devices.

[0013] Another exemplary embodiment comprises an integrated circuit comprising one or more semiconductor devices. At least one of the one or more semiconductor devices is a semiconductor device according to one or more of the foregoing illustrative embodiments.

[0014] The integrated circuit of the illustrative embodiment advantageously allows for semiconductor structures having a power line and a signal line at both the front side and the back side of the stacked FETs thereby reducing process complexity and improving routing congestion. [0015] In a further exemplary embodiment, a method comprises forming a first field-effect transistor comprising a first back side source/drain contact, a second back side source/drain contact, and a first power line and a first signal line each connected to the first back side source/drain contact and the second back side source/drain contact, respectively. The method further comprises forming a second field-effect transistor vertically stacked above the first fieldeffect transistor. The second field-effect transistor comprises a first front side source/drain contact, a second front side source/drain contact, and a first power line and a first signal line each connected to the first front side source/drain contact and the second front side source/drain contact, respectively.

[0016] The method of the illustrative embodiment advantageously allows for formation of a power line and a signal line at both the front side and the back side of the stacked FETs thereby reducing process complexity and improving routing congestion.

[0017] These and other exemplary embodiments will be described in or become apparent from the following detailed description of exemplary embodiments, which is to be read in connection with the accompanying drawings. BRIEF DESCRIPTION OF THE DRAWINGS

[0018] Exemplary embodiments will be described below in more detail, with reference to the accompanying drawings, of which:

[0019] FIG. 1A is a top view illustrating a semiconductor structure for use at a first- intermediate fabrication stage, according to an illustrative embodiment.

[0020] FIG. IB is a cross-sectional view of the semiconductor structure taken along the X- X axis of FIG. 1A at the first- intermediate fabrication stage, according to an illustrative embodiment.

[0021] FIG. 1C is a cross-sectional view of the semiconductor structure taken along the Y-

Y axis of FIG. 1A at the first- intermediate fabrication stage, according to an illustrative embodiment.

[0022] FIG. 2A is a cross-sectional view of the semiconductor structure taken along the X- X axis of FIG. 1A at a second-intermediate fabrication stage, according to an illustrative embodiment.

[0023] FIG. 2B is a cross-sectional view of the semiconductor structure taken along the Y-

Y axis of FIG. 1A at the second- intermediate fabrication stage, according to an illustrative embodiment.

[0024] FIG. 3 A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1 A at a third-intermediate fabrication stage, according to an illustrative embodiment.

[0025] FIG. 3B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the third-intermediate fabrication stage, according to an illustrative embodiment.

[0026] FIG. 4A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1A at a fourth-intermediate fabrication stage, according to an illustrative embodiment.

[0027] FIG. 4B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the fourth-intermediate fabrication stage, according to an illustrative embodiment. [0028] FIG. 5A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1 A at a fifth-intermediate fabrication stage, according to an illustrative embodiment.

[0029] FIG. 5B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the fifth-intermediate fabrication stage, according to an illustrative embodiment.

[0030] FIG. 6A is a cross-sectional view of the semiconductor structure taken along the X- X axis of FIG.16A at a sixth-intermediate fabrication stage, according to an illustrative embodiment.

[0031] FIG. 6B is a cross-sectional view of the semiconductor structure taken along the Y-

Y axis of FIG. 1A at the sixth-intermediate fabrication stage, according to an illustrative embodiment.

[0032] FIG. 7A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1A at a seventh-intermediate fabrication stage, according to an illustrative embodiment.

[0033] FIG. 7B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the seventh-intermediate fabrication stage, according to an illustrative embodiment.

[0034] FIG. 8A is a cross-sectional view of the semiconductor structure taken along the X- X axis of FIG. 1A at an eighth-intermediate fabrication stage, according to an illustrative embodiment.

[0035] FIG. 8B is a cross-sectional view of the semiconductor structure taken along the Y-

Y axis of FIG. 1A at the eighth-intermediate fabrication stage, according to an illustrative embodiment.

[0036] FIG. 9A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1 A at a ninth- intermediate fabrication stage, according to an illustrative embodiment.

[0037] FIG. 9B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the ninth-intermediate fabrication stage, according to an illustrative embodiment. [0038] FIG. 10A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1 A at a tenth- intermediate fabrication stage, according to an illustrative embodiment.

[0039] FIG. 1 OB is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the tenth-intermediate fabrication stage, according to an illustrative embodiment.

[0040] FIG. 11A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1 A at an eleventh-intermediate fabrication stage, according to an illustrative embodiment.

[0041] FIG. 11B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 8 A at the eleventh-intermediate fabrication stage, according to an illustrative embodiment.

[0042] FIG. 12A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1A at a twelfth-intermediate fabrication stage, according to an illustrative embodiment.

[0043] FIG. 12B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the twelfth-intermediate fabrication stage, according to an illustrative embodiment.

[0044] FIG. 13 A is a cross-sectional view illustrating the semiconductor structure taken along the X-X axis of FIG. 1A at a thirteenth-intermediate fabrication stage, according to an illustrative embodiment.

[0045] FIG. 13B is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the thirteenth-intermediate fabrication stage, according to an illustrative embodiment.

[0046] FIG. 14 is a cross-sectional view illustrating the semiconductor structure taken along the Y-Y axis of FIG. 1A at the fourteenth-intermediate fabrication stage, according to an illustrative embodiment.

DETAILED DESCRIPTION

[0047] Illustrative embodiments of the invention may be described herein in the context of illustrative methods for forming a dual side signal line and power line in stacked device structures to prevent routing congestion and reduce process complexity, along with illustrative apparatus, systems and devices formed using such methods. However, it is to be understood that embodiments of the invention are not limited to the illustrative methods, apparatus, systems and devices but instead are more broadly applicable to other suitable methods, apparatus, systems and devices.

[0048] It is to be understood that the various features shown in the accompanying drawings are schematic illustrations that are not necessarily drawn to scale. Moreover, the same or similar reference numbers are used throughout the drawings to denote the same or similar features, elements, or structures, and thus, a detailed explanation of the same or similar features, elements, or structures will not be repeated for each of the drawings. Further, the terms “exemplary” and “illustrative” as used herein mean “serving as an example, instance, or illustration.” Any embodiment or design described herein as “exemplary” or “illustrative” is not to be construed as preferred or advantageous over other embodiments or designs.

[0049] Furthermore, it is to be understood that the embodiments discussed herein are not limited to the particular materials, features, and processing steps shown and described herein. In particular, with respect to semiconductor processing steps, it is to be emphasized that the descriptions provided herein are not intended to encompass all of the processing steps that may be required to form a functional semiconductor integrated circuit device. Rather, certain processing steps that are commonly used in forming semiconductor devices, such as, for example, wet cleaning and annealing steps, are purposefully not described herein for economy of description. It is to be understood that the terms “about” or “substantially” as used herein with regard to thicknesses, widths, percentages, ranges, etc., are meant to denote being close or approximate to, but not exactly. For example, the term “about” or “substantially” as used herein implies that a small margin of error may be present, such as 1% or less than the stated amount.

[0050] Reference in the specification to “one embodiment” or “an embodiment” of the present principles, as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment of the present principles. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment”, as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment. The term “positioned on” means that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure, e.g., interface layer, may be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.

[0051] It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element discussed below could be termed a second element without departing from the scope of the present concept.

[0052] As used herein, “height” refers to a vertical size of an element (e.g., a layer, trench, hole, opening, etc.) in the cross-sectional views measured from a bottom surface to a top surface of the element, and/or measured with respect to a surface on which the element is located. Conversely, a “depth” refers to a vertical size of an element (e.g., a layer, trench, hole, opening, etc.) in the cross-sectional views measured from a top surface to a bottom surface of the element. Terms such as “thick”, “thickness”, “thin” or derivatives thereof may be used in place of “height” where indicated.

[0053] As used herein, “width” or “length” refers to a size of an element (e.g., a layer, trench, hole, opening, etc.) in the drawings measured from a side surface to an opposite surface of the element. Terms such as “thick”, “thickness”, “thin” or derivatives thereof may be used in place of “width” or “length” where indicated.

[0054] In the IC chip fabrication industry, there are three sections referred to in a typical IC chip build: front-end-of-line (FEOL), back-end-of-line (BEOL), and the section that connects those two together, the middle-of-line (MOL). The FEOL is made up of the semiconductor devices, e.g., transistors, the BEOL is made up of interconnects and wiring, and the MOL is an interconnect between the FEOL and BEOL that includes material to prevent the diffusion of BEOL metals to FEOL devices. Accordingly, illustrative embodiments described herein may be directed to BEOL semiconductor processing and structures. BEOL is the second portion of IC fabrication where the individual devices (e.g., transistors, capacitors, resistors, etc.) become interconnected with wiring on the wafer, e.g., the metallization layer or layers. BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections. In the BEOL, part of the fabrication stage contacts (pads), interconnect wires, vias and dielectric structures are formed. For modern IC processes, more than 10 metal layers may be added in the BEOL.

[0055] Embodiments described below may be applicable to FEOL processing and structures, BEOL processing and structures, or both FEOL and BEOL processing and structures. In particular, although an exemplary processing scheme may be illustrated using a FEOL processing scenario, such approaches may also be applicable to BEOL processing. Likewise, although an exemplary processing scheme may be illustrated using a BEOL processing scenario, such approaches may also be applicable to FEOL processing.

[0056] Present stacked FETs connect the signal line of the bottom source/drain region to the BEOL of the wafer front side through an interlevel via, which results in process complexity and routing congestion. There is a need therefore to form stacked FETs without the above drawbacks. Accordingly, non-limiting illustrative embodiments described herein overcome the drawbacks discussed above, by having a power line and a signal line at both the front side and the back side of the stacked FETs thereby reducing process complexity and improving routing congestion.

[0057] Illustrative embodiments provide methods and structures for enabling a signal and power line at both the front side and the back side of the stacked FETs. Referring now to FIG. 1A-14, FIG. 1A shows a top-down view of a semiconductor structure 100 where gate structures 105-1, 105-2 and 105-3 will be formed. A first side cross-sectional view of FIG. IB is taken along the line X-X in the top-down view of FIG. 1A (e.g., across the gate structures 105-1, 105-2 and 105-3), and a second side cross-sectional view of FIG. IC is taken along the line Y-Y in the top- down view of FIG. 1A (e.g., across the fins 103-1, 103-2 and 103-3).

[0058] Semiconductor structure 100 shows substrate 102. Substrate 102 may be formed of any suitable semiconductor structure, including various silicon-containing materials including but not limited to silicon (Si), silicon germanium (SiGe), silicon germanium carbide (SiGeC), silicon carbide (SiC) and multi-layers thereof. Although silicon is the predominantly used semiconductor material in wafer fabrication, alternative semiconductor materials can be employed as additional layers, such as, but not limited to, germanium (Ge), gallium arsenide (GaAs), gallium nitride (GaN), SiGe, cadmium telluride (CdTe), zinc selenide (ZnSe), etc. In one illustrative embodiment, substrate 102 is silicon. [0059] An etch stop layer 104 is formed in the substrate 102. The etch stop layer 104 may comprise a buried oxide (BOX) layer or silicon germanium (SiGe), or another suitable material such as a III-V semiconductor epitaxial layer.

[0060] Nanosheets are initially formed over the substrate 102, where the nanosheets include sacrificial layers (not shown), nanosheet channel layers 108-1 and 108-2 (collectively, nanosheet channel layers 108). The sacrificial layers are illustratively formed of different sacrificial materials, such that they may be etched or otherwise removed selective to one another. In some embodiments, the sacrificial layers are formed of SiGe, but with different percentages of Ge. For example, certain ones of the sacrificial layers may have a relatively higher percentage of Ge (e.g., 55%Ge), and other ones of the sacrificial layers may have a relatively lower percentage of Ge (e.g., 25%Ge). Other combinations of different sacrificial materials may be used in other embodiments. The nanosheet channel layers 108 may be formed of Si or another suitable material (e.g., a material similar to that used for the substrate 102).

[0061] The nanosheets are then patterned for formation of STI regions 112 and FET stacks 102A, 102B and 102C. The STI regions 112 may be formed of a dielectric material such as silicon dioxide (SiCh), silicon oxycarbide (SiOC), silicon oxynitride (SiON), etc. Each of FET stacks 107 A, 107B and 107C contain a first FET device and a second FET device. However, this is merely illustrative and it is contemplated that FET stacks 107A, 107B and 107C can contain any number of FET devices. The FET devices may comprise one of an nFET device or a pFET device and other ones of the FET devices may comprise one of a pFET device and an nFET device.

[0062] The FET stacks 107 A, 107B and 107C includes a gate stack layer 114, a bottom dielectric insulator (BDI) layer 116-1, a middle dielectric insulator (MDI) layer 116-2, an interlayer dielectric layer (ILD) layer 118, bottom source/drain regions 122, top source/drain regions 124, inner spacers 126, and sidewall spacers 128. To form the structure shown in FIGS. IB and 1C, dummy gates are first formed over the nanosheets. Next, the sacrificial layers (not shown) are selectively removed, followed by formation of sidewall spacers 128, MDI layer 116- 2, and BDI layer 116-1 by conformal dielectric liner deposition and anisotropic dielectric liner etching. After that, the exposed nanosheet stack and portions of the MDI layer 116-2 that are not covered by the dummy gates or sidewall spacers 128 are recessed, followed by indentation of the sacrificial layers and formation of inner spacers 126. Next, the bottom source/drain regions 122, top source/drain regions 124 and ILD layer 118 are formed, followed by poly open CMP to reveal the dummy gates. The dummy gates and sacrificial layers are removed, followed by formation of the gate stack layer 114 (e.g., using replacement HKMG processing).

[0063] The gate stack layer 114 may comprise a gate dielectric layer and a gate conductor layer. The gate dielectric layer may be formed of a high-k dielectric material. Examples of high- k materials include but are not limited to metal oxides such as HfCh, hafnium silicon oxide (Hf- Si-O), hafnium silicon oxynitride (HfSiON), lanthanum oxide (La2Ch), lanthanum aluminum oxide (LaAlCh), zirconium oxide (ZrCh), zirconium silicon oxide, zirconium silicon oxynitride, tantalum oxide (Ta20s), titanium oxide (TiCh), barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide (Y2O3), aluminum oxide (AI2O3), lead scandium tantalum oxide, and lead zinc niobate. The high-k material may further include dopants such as lanthanum (La), aluminum (Al), and magnesium (Mg). The gate dielectric layer may have a uniform thickness in the range of 1 nm to 3 nm.

[0064] The gate conductor layer may include a metal gate or work function metal (WFM). The WFM for the gate conductor layer may be titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), aluminum (Al), titanium aluminum (TiAl), titanium aluminum carbon (TiAlC), a combination of Ti and Al alloys, a stack which includes a barrier layer (e.g., of TiN, TaN, etc.) followed by one or more of the aforementioned WFM materials, etc. It should be appreciated that various other materials may be used for the gate conductor layer as desired.

[0065] The BDI layer 116-1 and MDI layer 116-2 (collectively, dielectric insulator layers 116) may be formed of any suitable insulator, such as SiN, silicon boron carbide nitride (SiBCN), silicon oxycarbonitride (SiOCN), etc. The BDI layer 116-1 is formed in the region previously occupied by a sacrificial layer, and the MDI layer 116-2 is formed in the region previously occupied by another sacrificial layer, and may have similar sizing as the sacrificial layers.

[0066] The ILD layer 118 is formed between the bottom source/drain regions 122 and the top source/drain regions 124, and over the top of the top source/drain regions 124. The ILD layer 118 may be formed of any suitable isolating material, such as SiCh, SiOC, SiON, etc. The ILD layer 118 has a width which matches that of the bottom source/drain regions 122 and the top source/drain regions 124.

[0067] The bottom source/drain regions 122 and the top source/drain regions 124, as noted above, may be formed using epitaxial growth processes, and thus may also be referred to as bottom epitaxial layers 122 and top epitaxial layers 124. The bottom source/drain regions 122 and the top source/drain regions 124 may be suitably doped, such as using ion implantation, gas phase doping, plasma doping, plasma immersion ion implantation, cluster doping, infusion doping, liquid phase doping, solid phase doping, etc. N-type dopants may be selected from a group of phosphorus (P), arsenic (As) and antimony (Sb), and p-type dopants may be selected from a group of boron (B), boron fluoride (BF2), gallium (Ga), indium (In), and thallium (Tl). The bottom source/drain regions 122 and the top source/drain regions 124 may be formed using epitaxial growth processes. In some embodiments, the epitaxy process comprises in-situ doping (dopants are incorporated in epitaxy material during epitaxy).

[0068] Epitaxial materials may be grown from gaseous or liquid precursors. Epitaxial materials may be grown using vapor-phase epitaxy (VPE), molecular-beam epitaxy (MBE), liquidphase epitaxy (LPE), rapid thermal chemical vapor deposition (RTCVD), metal organic chemical vapor deposition (MOCVD), ultra-high vacuum chemical vapor deposition (UHVCVD), low- pressure chemical vapor deposition (LPCVD), limited reaction processing CVD (LRPCVD), or other suitable processes. Epitaxial silicon, silicon germanium (SiGe), germanium (Ge), and/or carbon doped silicon (Si:C) silicon can be doped during deposition (in-situ doped) by adding dopants, such as n-type dopants (e.g., phosphorus or arsenic) or p-type dopants (e.g., boron or gallium), depending on the type of transistor to be formed. The dopant concentration in the source/drain can range from 1x10 19 cm' 3 to 3x10 21 cm' 3 , or preferably between 2x10 20 cm' 3 to 3x10 21 cm' 3 .

[0069] The inner spacers 126 may be formed to fill indent spaces (e.g., resulting from indent etches of the sacrificial layers prior to their removal). The inner spacers 126 may be formed of silicon nitride (SiN) or another suitable material such as SiBCN, silicon carbide oxide (SiCO), SiOCN, etc.

[0070] The sidewall spacers 128 may be formed of materials similar to that of the dielectric insulator layers 116. The sidewall spacers 128 may have widths (in direction X-X) that are similar to the widths of the inner spacers 126.

[0071] FIGS. 2A and 2B illustrate semiconductor structure 100 at a second-intermediate fabrication stage. During this stage, an internal contact 129 for the top source/drain regions 124 and bottom source/drain regions 122 is formed in semiconductor structure 100 followed by deposition of dielectric cap 130. Internal contact 129 can be formed by depositing a material of a hard mask layer over the structure, followed by lithographic processing to result in a patterned hard mask layer. The material of the hard mask layer may include SiN, a multi-layer of SiN and SiCh, or another suitable material. Once the hard mask layer is patterned, a cut is performed in the top source/drain regions 124, bottom source/drain regions 122 and ILD layer 118 to form an opening and a conductive metal is deposited in the opening to fill a portion of the opening to form internal contact 129. Suitable metals for internal contact 129 include any conductive material such as, for example, tungsten (W), aluminum (Al), copper (Cu), cobalt (Co), nickel (Ni), titanium (Ti), ruthenium (Ru), molybdenum (Mo), or any other suitable conductive material. In various embodiments, the internal contact 129 can be formed utilizing chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD) and/or plating.

[0072] The dielectric cap 130 may be obtained by filling a dielectric in the opening, followed by planarization using chemical mechanical planarization (CMP) or any other suitable planarization process. The hard mask layer can then be removed by any suitable etching technique. The material of the dielectric cap 130 can include a low-k dielectric material (e.g., materials having a small dielectric constant relative to silicon dioxide, i.e., less than about 3.9), porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials. Any known manner of depositing the dielectric cap material in the remaining portion of the opening can be utilized, such as, for example, ALD, CVD, PVD, flowable CVD or spin-on dielectrics.

[0073] FIGS. 3 A and 3B illustrate semiconductor structure 100 at a third-intermediate fabrication stage. During this stage, middle-of-the-line contacts followed by metallization are formed on top source/drain regions 124. For example, top source/drain contact 131 can be formed utilizing conventional lithographic and etching processes in at least ILD layer 118 and a portion of dielectric cap 130 to form a via. Next, a high conductance metal is deposited in the vias to form top source/drain contact 131. Suitable high conductance metals include any of those discussed above for internal contact 129. In various embodiments, the high conductance metal can be deposited by ALD, CVD, PVD, and/or plating. The high conductance metal can be planarized using, for example, a planarizing process such as CMP. Other planarization processes can include grinding and polishing.

[0074] FIGS. 4 A and 4B illustrate semiconductor structure 100 at a fourth-intermediate fabrication stage. During this stage, ILD layer 132 is first deposited on semiconductor structure 100, followed by patterning and etching vias in the exposed ILD layer 132. A suitable conductive metal is then deposited in the vias to form metal via 133 and can be any metal as discussed above for internal contact 129. A conductive line 134a is formed in ILD layer 132, the conductive line 134a being a power line connected to the semiconductor structure 100 through the metal via 133 and top source/drain contact 131. Power lines 134b are also formed in ILD layer 132. Conductive lines 136a are also formed in ILD layer 132, the conductive line 136a being signal lines electrically connected to the semiconductor structure 100 through the metal via 133 and top source/drain contact 131. Signal lines 136b are also formed in ILD layer 132.

[0075] FIGS. 5A and 5B illustrate semiconductor structure 100 at a fifth- intermediate fabrication stage. During this stage, front side back-end-of-line (BEOL) interconnect 138 is formed followed by bonding of the structure (e.g., the front side BEOL interconnect 138) to a carrier wafer 140. The front side BEOL interconnect 138 include various BEOL interconnect structures. For example, front side BEOL interconnect 138 is a metallization structure that includes one or more metal layers disposed on a side of semiconductor structure 100 opposite of the side on which the back side BEOL metallization structure is disposed. The metal layers of the front side BEOL interconnect 138 each have metal lines for making interconnections to the semiconductor device.

[0076] As discussed in more detail below, the front side BEOL interconnect 138 includes one or more metal layers that include metal lines for carrying power signals (e.g., a positive and/or negative voltage signal and/or ground signal) for providing power routing between the back side and the front side of the semiconductor structure 100. Power routing involves metal lines configured to carry a power signal. For example, the semiconductor structure 100 may require power to operate. In the example of FETs as semiconductor structure 100, a power signal may need to be coupled to a gate, source, and/or drain of the FET for its desired function and operation. [0077] The carrier wafer 140 may be formed of materials similar to that of the substrate 102, and may be formed over the front side BEOL interconnect 138 using a wafer bonding process, such as dielectric-to-dielectric bonding.

[0078] FIGS. 6A and 6B illustrate semiconductor structure 100 at a sixth-intermediate fabrication stage. During this stage, using the carrier wafer 140, the structure is “flipped” over so that the back side of the substrate 102 (i.e., the back surface) is facing up for back side processing as shown. [0079] FIGS. 7A and 7B illustrate semiconductor structure 100 at a seventh-intermediate fabrication stage. During this stage, using the flipped structure, portions of the substrate 102 may be removed from the back side using, for example, a substrate grinding, a planarization (e.g., using CMP) and a wet etch to selectively remove substrate 102 until the etch stop layer 104 is reached. [0080] FIGS. 8A and 8B illustrate semiconductor structure 100 at an eighth- intermediate fabrication stage. During this stage, the etch stop layer 104 is selectively removed using, for example, a wet etch to selectively remove etch stop layer 104 until substrate 102 is reached.

[0081] FIGS. 9 A and 9B illustrate semiconductor structure 100 at a ninth-intermediate fabrication stage. During this stage, the remaining portions of the substrate 102 are removed to expose the BDI layer 116-1 and STI regions 112. The remaining portions of the substrate 102 can be removed utilizing a selective etch process such as a wet etch.

[0082] FIGS. 10A and 10B illustrate semiconductor structure 100 at a tenth-intermediate fabrication stage. During this stage, back side ILD layer 142 may be formed of similar materials as the ILD layer 118. The material of the back side ILD layer 142 may initially be overfilled, followed by planarization (e.g., using CMP) stopping on the STI regions 112 as shown.

[0083] FIGS. 11A and 11B illustrate semiconductor structure 100 at an eleventhintermediate fabrication stage. During this stage, back side source/drain contacts 144 are formed in back side ILD layer 142 by patterning and etching vias in the exposed back side ILD layer 142. A suitable metal is then deposited in the vias formed by removing the exposed back side ILD layer 142. A suitable metal can be any of the metals discussed above for internal contact 129.

[0084] FIGS. 12A and 12B illustrate semiconductor structure 100 at a twelfth- intermediate fabrication stage. During this stage, an additional back side ILD layer 142 is deposited on semiconductor structure 100, followed by patterning and etching vias in the exposed back side ILD layer 142. A suitable conductive metal is then deposited in the vias to form metal via 146 and can be any metal as discussed above for internal contact 129. A conductive line 148a is formed in back side ILD layer 142, the conductive line 148a being a power line electrically connected to the semiconductor structure 100 through the metal via 146 and back side source/drain contacts 144. Power lines 148b are also formed in back side ILD layer 142. A conductive line 150a is also formed in back side ILD layer 142, the conductive line 150a being a signal line electrically connected to the semiconductor structure 100 through the metal via 146 and back side source/drain contacts 144. Signal lines 150b are also formed in back side ILD layer 142. [0085] FIGS. 13A and 13B illustrate semiconductor structure 100 at a thirteenthintermediate fabrication stage. During this stage, back side power delivery network 152 is formed over the structure. The power signals can be routed through back side power delivery network 152 containing metal lines coupled to the semiconductor structure to provide power to a number of semiconductor devices. Back side power delivery network 152 is formed over the structure including buried power lines and is based on creation of a wiring scheme that is disposed on both sides of the device layer (front end of line structure).

[0086] FIG. 14 illustrates semiconductor structure 100 at a fourteenth-intermediate fabrication stage. During this stage, both back side and front side processing are performed on semiconductor structure 100. In particular, back side power delivery network 152 of FIG. 13B includes layers of a back side multi-layer interconnect. For example, the additional layers can include metallization layers such as M2 and M3 identified as layers 154 and 156, respectively, in additionally deposited back side ILD layer 142. The formation of the additional back side ILD layer 142 may include deposition and CMP in some embodiments. These metallization layers can provide for in some implementations, ground (GND or Vss) lines as well as conductive lines. The additional layers can also include metallization layers up to an Mx layer which contains ground (GND or Vss) lines 160 and 162 as well as conductive lines 164 and 166. The back side power delivery network 152 can further include back side power (Vdd) line 158 and back side signal lines 168 and 170. For example, the back side ILD layer 142 may be patterned to form trenches corresponding to the back side lines (e.g., Vss and Vdd lines) and signal lines. The conductive lines may include Ti, TiN, TaN, Co, W, Al, Cu, combinations thereof, and other suitable materials. [0087] In addition, front side BEOL interconnect 138 of FIG. 13B also includes layers of a front side multi-layer interconnect. For example, the additional layers can include metallization layers such as M2 and M3 identified as layers 178 and 180, respectively, in additionally deposited ILD layer 132. The formation of the additional ILD layer 132 may include deposition and CMP in some embodiments. These metallization layers can provide for in some implementations, ground (GND or Vss) lines as well as conductive lines. The additional layers can also include metallization layers up to an Mx layer which contains ground (GND or Vss) lines 188 and 192 as well as conductive lines 190 and 194. The front side BEOL interconnect 138 can further include front side power (Vdd) rail 182 and front side signal lines 184 and 186. For example, the ILD layer 132 may be patterned to form trenches corresponding to the front side lines (e.g., Vss and Vdd lines) and signal lines. The conductive lines may include Ti, TiN, TaN, Co, W, Al, Cu, combinations thereof, and other suitable materials. The front side power line 182 is electrically connected to back side power line 158 by way of an interconnect structure 172 such as, for example, a via or set of vias, to connect the power (Vdd) rail from back side power delivery network 152 to front side BEOL interconnect 138. The front side signal lines 184 and 186 are electrically connected to respective back side signal lines 168 and 170 by way of respective interconnect structures 174 and 176 such as, for example, a via or set of vias, to connect the signal from back side power delivery network 152 to front side BEOL interconnect 138.

[0088] Semiconductor devices and methods for forming the same in accordance with the above-described techniques can be employed in various applications, hardware, and/or electronic systems. Suitable hardware and systems for implementing embodiments of the invention may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell and smart phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating the semiconductor devices are contemplated embodiments of the invention. Given the teachings provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of embodiments of the invention.

[0089] In some embodiments, the above-described techniques are used in connection with semiconductor devices that may require or otherwise utilize, for example, CMOSs, MOSFETs, and/or FinFETs. By way of non-limiting example, the semiconductor devices can include, but are not limited to CMOS, MOSFET, and FinFET devices, and/or semiconductor devices that use CMOS, MOSFET, and/or FinFET technology.

[0090] Various structures described above may be implemented in integrated circuits. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher-level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either: (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

[0091] The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.