Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
TUNGSTEN FEATURE FILL WITH INHIBITION CONTROL
Document Type and Number:
WIPO Patent Application WO/2020/168071
Kind Code:
A1
Abstract:
Systems and methods for selective inhibition control in semiconductor manufacturing are provided. An example method includes providing a substrate including a feature having one or more feature openings and a feature interior. A nucleation layer is formed on a surface of the feature interior. Based on a differential inhibition profile, a nonconformal bulk layer is selectively formed on a surface of the nucleation layer to leave a region of the nucleation layer covered, and a region of the nucleation layer uncovered by the nonconformal bulk layer. An inhibition layer is selectively formed on the covered and uncovered regions of the nucleation layer. Tungsten is deposited in the feature in accordance with the differential inhibition profile.

Inventors:
YANG TSUNG-HAN (US)
BOWES MICHAEL (US)
LIU GANG (US)
CHANDRASHEKAR ANAND (US)
Application Number:
PCT/US2020/018103
Publication Date:
August 20, 2020
Filing Date:
February 13, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORP (US)
International Classes:
H01L21/768; H01L21/285
Foreign References:
US9837312B12017-12-05
KR100528073B12005-11-15
US20180174963A12018-06-21
US20120190188A12012-07-26
US6602782B22003-08-05
Attorney, Agent or Firm:
SCHEER, Bradley W. et al. (US)
Download PDF:
Claims:
CLAIMS

1. A method for selective inhibition control in substrate processing, the method including:

providing a substrate including a feature having one or more feature openings and a feature interior;

forming a nucleation layer on a surface of the feature interior;

based on a differential inhibition profile, selectively forming a nonconformal bulk layer on a surface of the nucleation layer to leave a region of the nucleation layer covered, and a region of the nucleation layer uncovered by the nonconformal bulk layer;

selectively forming an inhibition layer on the covered and uncovered regions of the nucleation layer; and

selectively depositing tungsten in the feature in accordance with the differential inhibition profile.

2. The method of claim 1, wherein the nucleation layer formed on the surface of the feature interior is a conformal nucleation layer.

3. The method of claim 2, wherein the covered region of the nucleation layer includes an upper region of the feature interior.

4. The method of claim 3, wherein the upper region of the feature interior corresponds to a boundary of an outer surface of the nonconformal bulk layer formed between the conformal nucleation layer and the inhibition layer.

5. The method of claim 1 , wherein the covered region of the nucleation layer is adjacent an open mouth of the feature.

6. The method of claim 1, wherein selectively forming the nonconformal bulk layer on the surface of the nucleation layer includes applying the bulk layer to the nucleation layer before an application of the inhibition layer to the covered region or the uncovered region of the nucleation layer.

7. The method of claim 1 , further comprising forming the inhibition layer on the bulk layer in an upper region of the feature interior, and forming the inhibition layer on the covered region of the nucleation layer in an intermediate region of the feature interior.

8. The method of claim 7, further comprising not forming an inhibition layer in an inhibition-free lower region of the feature interior.

9. The method of claim 7, further comprising not forming the nonconformal bulk layer in the intermediate region such that the inhibition layer lies directly on the nucleation layer in the intermediate region.

10. The method of claim 1, wherein a differential effect of the inhibition layer on the nonconformal bulk layer is relatively strong; and

wherein the differential effect of the inhibition layer on the nucleation layer is relatively weak.

11. A system including a memory and at least one processor configured by instructions in the memory to perform or control operations in a method for selective inhibition control in substrate processing, the substrate including a feature having one or more feature openings and a feature interior, the operations including, at least:

forming a nucleation layer on a surface of the feature interior;

based on a differential inhibition profile, selectively forming a nonconformal bulk layer on a surface of the nucleation layer to leave a region of the nucleation layer covered, and a region of the nucleation layer uncovered, by the nonconformal bulk layer;

selectively forming an inhibition layer on the covered and uncovered regions of the nucleation layer; and

selectively depositing tungsten in the feature in accordance with the differential inhibition profile.

12. The system of claim 11, wherein the nucleation layer formed on the surface of the feature interior is a conformal nucleation layer.

13. The system of claim 12, wherein the covered region of the nucleation layer includes an upper region of the feature interior.

14. The system of claim 13, wherein the upper region of the feature interior corresponds to a boundary of an outer surface of the noncanformal bulk layer formed between the conformal nucleation layer and the inhibition layer.

15. The system of claim 11, wherein the covered region of the nucleation layer is adjacent an open mouth of the feature.

16. The system of claim 11, wherein selectively forming the noncanformal bulk layer on the surface of the nucleation layer includes applying the bulk layer to the nucleation layer before an application of the inhibition layer to the covered region or the uncovered region of the nucleation layer.

17. The system of claim 11, wherein the operations further comprise forming the inhibition layer on the bulk layer in an upper region of the feature interior, and forming the inhibition layer on the covered region of the nucleation layer in an intermediate region of the feature interior.

18. The system of claim 17, further comprising not forming an inhibition layer in an inhibition-free lower region of the feature interior.

19. The system of claim 17, further comprising not forming the

nonconformal bulk layer in the intermediate region such that the inhibition layer lies directly on tire nucleation layer in the intermediate region.

20. The system of claim 11, wherein a differential effect of the inhibition layer on the nonconformal bulk layer is relatively strong; and

wherein the differential effect of the inhibition layer on the nucleation layer is relatively weak.

Description:
TUNGSTEN FEATURE FILL WITH INHIBITION CONTROL

CLAIM OF PRIORITY

[0001] This application claims the benefit of priority to U.S. Patent

Application Serial No. 62/805,197, filed on February 13, 2019, which is incorporated by reference herein in its entirety.

FIELD

[0002] The present disclosure relates generally to tungsten feature fill with enhanced inhibition control, particularly for substrate processing in semiconductor manufacturing.

BACKGROUND

[0003] The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

[0004] Tungsten deposition is used to form conductive features like contacts, vias, and plugs on a chip. These features are small, often narrow, and use only a small amount of metal, so minimizing device resistance and achieving complete fill can be difficult. At nanoscale dimensions, even slight imperfections in features can impact device performance or cause a chip to foil.

[0005] As semiconductor manufacturers move to smaller technology nodes, tungsten contact metallization processes face significant scaling and power consumption and high-speed requirements of advanced devices. For nanoscale structures, complete fill with tungsten (W) using conventional chemical vapor deposition (CVD) is limited by overhang from conventional barrier films and deposition techniques. This can result in closure of the feature opening before complete fill can take place, leading to voids, higher resistance, and contact failure. Even completely filled smaller features contain less tungsten, which results in higher contact resistance. Advanced memory and logic features require deposition techniques that enable complete, defect-free tungsten fill, while reducing resistivity of tire bulk tungsten. Good barrier step coverage and lower resistivity at reduced thicknesses (relative to physical vapor

deposition/CVD barrier films) is needed to improve contact fill and reduce contact resistance.

[0006] Deposition of conductive materials using CVD techniques is an integral part of many semiconductor fabrication processes. These materials may be used for horizontal interconnects, vias between adjacent metal layers, contacts between first metal layers and devices on the silicon substrate, and high aspect ratio features. In a conventional tungsten deposition process, a substrate is heated to a predetermined process temperature in a deposition chamber, and a thin layer of tungsten-containing material that serves as a seed or nucleation layer is deposited. Thereafter, the remainder of the tungsten-containing material (the bulk layer) is deposited on the nucleation layer. Conventionally, the tungsten-containing materials are formed by the reduction of tungsten hexafluoride (WF6) with hydrogen (H2). Tungsten-containing materials are deposited over an entire exposed surface area of the substrate including features and a field region.

[0007] Depositing tungsten-containing materials into small and, especially, high aspect ratio features may cause formation of seams and voids inside the filled features. Large seams may lead to high resistance,

contamination, and loss of filled materials and otherwise degrade performance of integrated circuits. For example, a seam may extend close to the field region after the filling process and then open during chemical-mechanical planarization

(CMP).

SUMMARY

[0008] In some method examples, a method for selective inhibition control in substrate processing includes providing a substrate including a feature having one or more feature openings and a feature interior; forming a nucleation layer on a surface of the feature interior; based on a differential inhibition profile, selectively forming a nonconformal bulk layer on a surface of the nucleation layer to leave a region of the nucleation layer covered and a region of the nucleation layer uncovered by the nonconformal bulk layer; selectively forming an inhibition layer on the covered and uncovered regions of the nucleation layer, and selectively depositing tungsten in the feature in accordance with the differential inhibition profile.

[0009] In some examples, the nucleation layer formed on the surface of the feature interior is a conformal nucleation layer.

[0010] In some examples, the covered region of the nucleation layer includes an upper region of the feature interior.

[0011] In some examples, the upper region of the feature interior corresponds to a boundary of an outer surface of the nonconfbrmal bulk layer formed between tire conformal nucleation layer and the inhibition layer.

[0012] In some examples, the covered region of the nucleation layer is adjacent an open mouth of the feature.

[0013] In some examples, selectively forming the nonconformal bulk layer on the surface of the nucleation layer includes applying the bulk layer to the nucleation layer before an application of the inhibition layer to the covered region or the uncovered region of the nucleation layer.

[0014] In some examples, an example method further comprises forming the inhibition layer on the bulk layer in an upper region of the feature interior and forming the inhibition layer on the covered region of the nucleation layer in an intermediate region of the feature interior.

[0015] In some examples, an example method further comprises not forming an inhibition layer in an inhibition-free lower region of the feature interior.

[0016] In some examples, an example method further comprises not forming the nonconfbrmal bulk layer in the intermediate region such that the inhibition layer lies directly on the nucleation layer in the intermediate region.

[0017] In some examples, a differential effect of the inhibition layer on the nonconfbrmal bulk layer is relatively strong, and the differential effect of the inhibition layer on the nucleation layer is relatively weak.

[0018] In some system examples, a system includes a memory and at least one processor configured by instructions in the memory to perform or control operations in a method for selective inhibition control in substrate processing, the substrate including a feature having one or more feature openings and a feature interior, the operations including, at least: forming a nucleation layer on a surface of the feature interior; based on a differential inhibition profile, selectively forming a nonconformal bulk layer on a surface of the nucleation layer to leave a region of the nucleation layer covered and a region of the nucleation layer uncovered by the nonconformal layer; selectively forming an inhibition layer on the covered and uncovered regions of the nucleation layer; and selectively depositing tungsten in the feature in accordance with the differential inhibition profile. Further features of the system may include one or more of the operations of the example method summarized further above.

[0019] In further examples, anon-transitory machine-readable medium may include instructions which, when read by machine, because the machine to perform one or more of the operations of the example method summarized further above or elsewhere herein.

DESCRIPTION OF THE DRAWINGS

[0020] Some embodiments are illustrated by way of example and not limitation in the figures of the accompanying drawings:

[0021] Figures 1A-1G show examples of various structures that can be filled according to the processes described herein.

[0022] Figure 1H depicts a schematic example of a dynamic random access memory (DRAM) architecture including a bWL (bWL) in a silicon substrate.

[0023] Figure II shows an unfilled and filled narrow asymmetric trench structure typical of DRAM bWL.

[0024] Figure 1 J illustrates the phenomena of line bending during gap fill.

[0025] Figure IK is a graph illustrating interatomic force as a function of tungsten-tungsten bond radius, r.

[0026] Figure 2 shows schematic diagrams of two features, according to example embodiments.

[0027] Figure 3 shows schematic views of a feature during feature development, according to example embodiments.

[0028] Figure 4 shows a growth profile graph, according to an example embodiment. [0029] Figure 5 illustrates example operations in an example method, according to example embodiments.

[0030] Figure 6 is a schematic diagram showing a feature at various stages of feature fill.

DESCRIPTION

[0031] The description that follows includes systems, methods, and techniques that embody illustrative embodiments of the present disclosure. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of example embodiments. It will be evident, however, to one skilled in the art that the present inventive subject matter may be practiced without these specific details.

[0032] A portion of the disclosure of this patent document contains material that is subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure, as it appears in the Patent and Trademark Office patent files or records, but otherwise reserves all copyright rights whatsoever. The following notice applies to the software and data as described below and in the drawings that form a part of this document: Lam Research Corporation 2019-2020, All Rights Reserved.

[0033] Described herein are methods of filling features wife tungsten and related systems and apparatus. Examples of applications include logic and memory contact fill, DRAM bWL fill, vertically integrated memory gate/wordline fill, and three dimensional (3D) integration wife through-silicon vias (TSVs). The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for conformal and bottom-up or inside-out fill. In this specification, the terms layer and film are used interchangeably, unless the context indicates otherwise.

[0034] According to various embodiments, the features can be characterized by one or more of narrow and/or re-entrant openings, constrictions within the feature, and high aspect ratios. Examples of features feat can be filled are depicted in Figures 1A-1C. Figure 1A shows an example of a cross- sectional depiction of a vertical feature 101 to be filled wife tungsten. The feature can include a feature hole 105 in a substrate 103. The substrate may be a silicon wafer, e.g., 200-mm wafer, 300-mm wafer, 450-mm wafer, including wafers having one or more layers of material such as dielectric, conducting, or semi-conducting material deposited thereon. In some embodiments, the feature hole 105 may have an aspect ratio of at least about 2: 1, at least about 4: 1, at least about 6: 1, or higher. The feature hole 105 may also have a dimension near the opening, e.g., an opening diameter or line width, of between about 10 nm to 500 run (for example, between about 25 nm to 300 nm). The feature hole 105 can be referred to as an unfilled feature or simply a feature. The feature, and any feature, may be characterized in part by an axis 118 that extends through the length of the feature, with vertically-oriented features having vertical axes and horizontally-oriented features having horizontal axes.

[0035] Figure IB shows an example of a feature 101 that has a reentrant profile. A re-entrant profile is a profile that narrows from a bottom, closed end, or interior of the feature to the feature opening. According to various embodiments, the profile may narrow gradually and/or include an overhang at the feature opening. Figure IB shows an example of the latter, with an underlayer 113 lining the sidewall or interior surfaces of the feature hole 105. The underlayer 113 can be, for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination of thereof, or any other applicable material. The underlayer 113 forms an overhang 115 such that the underlayer

113 is thicker near the opening of the feature 101 than inside the feature 101.

[0036] in some embodiments, features having one or more constrictions within the feature may be filled. Figure 1C shows examples of views of various filled features having constrictions. Each of the examples (a), (b) and (c) in Figure 1C includes a constriction 109 at a midpoint within the feature. The constriction 109 can be, for example, between about 15 nm-20 nm wide.

Constrictions can cause pinch off during deposition of tungsten in the feature using conventional techniques, with deposited tungsten blocking further deposition past the constriction before that portion of the feature is filled, resulting in voids in the feature. Example (b) further includes a liner/barrier overhang 115 at the feature opening. Such an overhang could also be a potential pinch-off point. Example (c) includes a constriction 112 further away from the field region than the overhang 1 15 in example (b). As described further below, methods described herein allow void-free fill as depicted in Figure 1C.

[0037] Horizontal features, such as in 3D memory structures, can also be filled. Figure ID shows an example of a word line 150 in a VNAND structure 148 that includes a constriction 151. In some embodiments, the constrictions can be due to the presence of pillars in a VNAND or other structure. Figure IE, for example, shows a plan view of pillars 125 in a VNAND structure, with Figure IF showing a simplified schematic of a cross-sectional depiction of the pillars 125. Arrows in Figure IE represent deposition material; as pillars 125 are disposed between an area 127 and a gas inlet or other deposition source, adjacent pillars can result in constrictions that present challenges in void free fill of an area 127.

[0038] Figure 1G provides another example of a view horizontal feature, for example, of a VNAND or other structure including pillar constrictions 151. The example in Figure 1G is open-ended, with material to be deposited able to enter laterally from two sides as indicated by the arrows. (It should be noted that the example in Figure 1G can be seen as a two-dimensional (2D) rendering of 3D features of the structure, with the Figure 1G being a cross-sectional depiction of an area to be filled and pillar constrictions shown in the figure representing constrictions that would be seen in a plan rather than cross-sectional view.) In some embodiments, 3D structures can be characterized with the area to be filled extending along three dimensions (e.g., in the X, Y, and Z-directions in the example of Figure IF) and can present more challenges for fill than filling holes or trenches that extend along one or two dimensions. For example, controlling fill of a 3D structure can be challenging as deposition gasses may enter a feature from multiple dimensions.

[0039] Filling features with tungsten-containing materials may cause formation of voids and seams inside the filled features. A void is region in the feature that is left unfilled. A void can form, for example, when the deposited material forms a pinch point within the feature, sealing off an unfilled space within the feature and preventing reactant entry and deposition.

[0040] There are multiple potential causes for void and seam formation. One is an overhang formed near the feature opening during deposition of tungsten-containing materials or, more typically, other materials, such as a diffusion barrier layer or a nucleation layer. An example is shown in Figure IB.

[0041] Another cause of void or seam formation that is not illustrated in Figure IB but that nevertheless may lead to seam formation or enlarging seams is curved (or bowed) side walls of feature holes, which are also referred to as bowed features. In a bowed feature, the cross-sectional dimension of the cavity near the opening is smaller than that inside the feature. Effects of these narrower openings in the bowed features are somewhat similar to the overhang problem described above. Constrictions within a feature such as shown in Figures 1C, ID, and 1G also present challenges for tungsten fill with few or no voids and seams.

[0042] Even if void flee fill is achieved, tungsten in the feature may contain a seam running through the axis or middle of the via, trench, line, or another feature. This is because tungsten growth can begin at the sidewall and continue until the grains meet with tungsten growing from the opposite sidewall. This seam can allow for trapping of impurities including fluorine-containing compounds such as hydrofluoric acid (HF). During CMP, coring can also propagate from the seam. According to various embodiments, the methods described herein can reduce or eliminate void and seam formation. The methods described herein may also address one or more of the following:

[0043] 1) Very challenging profiles: Void free fill can be achieved in most re-entrant features using dep-etch-dep cycles as described in U.S. Patent Application No.13/351,970, incorporated by reference herein. However, depending on the dimensions and geometry, multiple dep-etch cycles may be needed to achieve void-free fill. This can affect process stability and throughput. Embodiments described herein can provide feature fill with fewer or no dep- etch-dep cycles.

[0044] 2) Small features and liner/barrier impact: In cases where the feature sizes are extremely small, tuning the etch process without impacting the integrity of the underlayer liner/banier can be very difficult. In some cases, intermittent Ti attack - possibly due to formation of a passivating TiFx layer during the etch - can occur during a W-selective etch.

[0045] 3) Scattering at W grain boundaries: Presence of multiple W grains inside the feature can result in electron loss due to grain boundary scattering. As a result, actual device performance will be degraded compared to theoretical predictions and blanket wafer results.

[0046] 4) Reduced via volume for W fill: Especially in smaller and newer features, a significant part of the metal contact is used up by the W barrier (TiN, WN etc.). These films are typically higher resistivity than W and negatively impact electrical characteristics like contact resistance and the like.

[0047] Particular embodiments relate to methods and related apparatus for formation of tungsten wordlines in memory devices. Figure 1H depicts a schematic example of a DRAM architecture including a bWL 11 in a silicon substrate 9. The bWL is formed in a trench etched in the silicon substrate 9. Lining the trench is a conformal barrier layer 12 and an insulating layer 13 that is disposed between the conformal barrier layer 12 and the silicon substrate 9. In the example of Figure 1H, the insulating layer 13 may be a gate oxide layer formed from a high-k dielectric material such as a silicon oxide or silicon nitride material. Examples of conformal barrier layers include tungsten-containing layers and titanium nitride (TiN). Tungsten-containing conformal barrier layers are described in U.S. Patent Application No. 15/051,561, which is incorporated by reference herein.

[0048] Conventional deposition processes for DRAM bWL trenches tend to distort the trenches such that the final trench width and Rs is significantly non-uniform. Figure II shows an unfilled and filled narrow asymmetric trench structure typical of DRAM bWL. The unfilled features are adjacent and generally V-shaped, having sloped sidewalls. The features widen from the feature bottom to the feature top. After tungsten fill, severe line bending is observed. Without being bound by a particular theory, it is believed that a cohesive force between opposing surfaces of a trench pulls the trench sides together. This phenomenon is illustrated in Figure 1 J and may be characterized as "zipping up" the feature. As the feature is filled, more force is exerted from a center axis of the feature, causing line bending. Figure IK illustrates the interatomic force as a function of tungsten-tungsten bond radius, r. As can be seen, a cohesive force exists at certain values of r. In some embodiments, the pitch (feature to feature distance from feature center axes) is below 50 nm, below 40 nm, or below 30 nm. [0049] Conventional inhibition techniques have not completely solved the problem of void formation. For example, Figure 6 shows an example of a feature hole 105 including an underlayer 113, which can be, for example, a metal nitride or other barrier layer. A tungsten layer 653 is conformally deposited in the feature hole 10, for example, by a Pulsed Nucleation Layer (PNL) and/or CVD method. (Note that while the tungsten layer 653 is conformally deposited in the feature hole 105 in the example of Figure 6, in some other embodiments, tungsten nucleation on the underlayer 113 can be selectively inhibited prior to selective deposition of the tungsten layer 653.) Further deposition on the tungsten layer 653 is then selectively inhibited, forming inhibited portion 655 of the tungsten layer 653 near the feature opening. Tungsten is then selectively deposited by a PNL and/or CVD method in accordance with the inhibition profile such that tungsten is preferentially deposited near the bottom and midsection of the feature. Deposition continues, in some embodiments, with one or more selective inhibition cycles until the feature is filled. As described above, in some embodiments, the inhibition effect at the feature top can be overcome by a long enough deposition time, while in some embodiments, an additional nucleation layer deposition or other treatment may be performed to lessen or remove the passivation at the feature opening once deposition there is desired. Note that in some embodiments, feature fill may still include formation of a seam, such as seam 657 depicted in Figure 6. The sequence depicted in the example of Figure 6 may end post-CMP with a relatively small void still present.

[0050] Figure 2 shows schematic diagrams of two features 202 and 204.

Feature 202 has a re-entrant geometry and feature 204 has a V-shaped geometry. The features 202 and 204 have been formed using deposition only at ultra-low stress deposition conditions. At low flow levels of tungsten hexafluoride (WF6) and higher temperatures, WF6 depletion near the field results in voids 206 and 208, as shown. Attempts to cure such voids 206 and 208 have included inhibition, and the use of inhibiting precursor gases. One such technique is known as inhibition chemistry enhancement, or ICE.

[0051] With reference to Figure 3, schematic views of a feature 302 are shown during feature development. Initially, a conformal nucleation (nuc) layer 304 is formed by a first deposition (depl). A conformal inhibition (or ICE) layer 306 is formed over an upper region 308 of the nucleation layer 304, as shown. A second deposition (or dep2) forms a nonconformal bulk layer 310 for the completed feature 302 shown on the right. The inhibition ICE layer 306 is intended to inhibit growth of the bulk later 310 to keep the mouth (or restriction) 312 of the trench 316 open during fill (e.g., W-fill). This effect may also be expressed as a differentiation ICE effect. Notwithstanding the differentiation effect of tire inhibition layer 306, a void 314 has nevertheless been formed.

[0052] Selective inhibition, which may also be referred to as selective passivation, differential inhibition, or differential passivation, involves inhibiting subsequent tungsten nucleation on a portion of the feature, while not inhibiting nucleation (or inhibiting nucleation to a lesser extent) on the remainder of the feature. For example, in some embodiments, a feature is selectively inhibited at a feature opening, while nucleation inside the feature is not inhibited. Selective inhibition can involve, for example, selectively exposing a portion of the feature to activated species of a plasma. In certain embodiments, for example, a feature opening is selectively exposed to a plasma generated from molecular nitrogen gas. A desired inhibition profile in a feature can be formed by appropriately selecting one or more of inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters. Further aspects relating to the formation of inhibition profiles and differential inhibition are described in U.S. Patent Application No.15/640,940, incorporated by reference herein.

[0053] As noted above, low flow levels of tungsten hexafluoride (WF6) and higher temperatures lead to WF6 depletion. A WF6 depleted environment typically calls for a strong, conformal ICE layer 306 to withstand, or inhibit, rapid creation of the bulk layer 310 at the mouth 312 thereof so that the mouth 312 remains clear to admit fill allowing the trench 316 to be filled completely. But in the example of Figure 3, sufficient inhibition (or a desired inhibition profile) has not occurred. The illustrated mouth 312 has closed off prematurely and the void 314 has been formed due to a lack of fill reaching it. It will be appreciated that such voids can significantly negatively affect device performance. The avoidance of voids 314 can be particularly problematic in the formation of very high aspect features and in filling deep trenches, vias, and the like. [0054] A desired inhibition profile may be created based on several factors. Factors may include the growth retarding effect of a given inhibition layer, a nature (e.g., conformality) or condition (e.g., stress) of an underlying nucleation or deposition layer to which the inhibition layer is applied, and chamber processing conditions, among others.

[0055] For example, with reference to the graph 400 in Figure 4, two linear graphs lines 402 and 404 representing growth profiles of two films may be observed. The y axis of graph 400 depicts a final stack height or thickness (THK) of the films in Angstrom (A) during a deposition operation (for example, during (dep2)). The x axis depicts corresponding CVD times in seconds during the deposition. The slope (Ay/ Ax) of a linear line 402 or 404 may be considered to represent a speed of growth of the films (i.e., rate of thickness growth over time).

[0056] The linear line 402 represents a growth profile for an example film formed by applying a thermal inhibition layer (ICE) to a nucleation layer (nuc) alone. The example linear line 402 may be expressed mathematically in the graph 400 as y = 29.815x- 2521.5. In contrast, the linear line 404 represents a growth profile for an example film formed by applying a thermal inhibition layer (ICE) to a bulk layer (or cool film (CF)). The example linear line 404 may be expressed mathematically in the graph 400 as y = 29.134x - 2829.6.

[0057] It will be seen that tire linear line 404 (i.e., representing a film growth profile) has“shifted” (or at least appears) to the right in the graph 400 as compared to linear line 402. In effect, the film formed in the circumstances of linear line 404 has taken longer (there has been a“delay”) to reach the same height as the film of linear line 402, even though the rate of growth (slope) of the respective films has been substantially the same. Expressed another way, for the same elapsed time on the x axis, the thickness of the film of line 404 has lagged that of the film of line 402. There has been a lag or“difference” in thickness. In the illustrated example, the“difference” may be expressed as approximately 500-1000A. If multiple inhibited layers within an interior of a feature face each other, for example, the differential effect (or inhibition profile) may be multiplied or enhanced accordingly. For example, a“difference” (or inhibition profile) between two layers facing each other cm either side of a trench, with each layer having undergone the same inhibition, may be considered to have doubled (i.e., 1000-2000A, or more in some examples).

[0058] The inhibition or“differential effect” of the inhibition layer used in the examples of lines 402 and 404 has thus been different based primarily, in this example, on the nature and type of film to which the inhibition layer has been applied (i.e., nucleation versus bulk). High aspect features and growth profiles may be formed using improved selective inhibition accordingly, in conformance with examples of the present di sclosure. Some examples include the maintenance of free passage for fill material within features during fill operations and, in some examples, the complete removal of voids. In this regard, reference is now made to Figure 5.

Figure 5 illustrates example operations in an example method of the present disclosure. Here, a trench 502 is to be filled to form a feature 504 in the various phases shown in the views of operations 506 through 510. Initially, a conformal nucleation layer 512 is formed on an interior surface of the trench 502. In operation 506, a nonconformal bulk layer 514 is then formed over the nucleation layer 512 in an upper region 516 of the trench 502, as shown. The upper region 516 is adjacent the open mouth of the trench 502 which, as discussed above, may conventionally be prone to closure, thereby disallowing a complete fill and the formation of voids in the feature 504. The formation of the nonconformal bulk layer 514 may occur in an initial nonconformal deposition phase (depl, operation 506). A small degree of overburden 518 may appear on the substrate field adjacent the open mouth of the trench 502. The nonconformal bulk layer 514 is applied over the nucleation layer 512 before the application of an inhibition layer.

[0059] In operation 508, an inhibition layer 520 is applied over the nonconformal bulk layer 514 and to interior surfaces of the trench 502 as shown. In the illustrated example, the inhibition layer 520 is applied to the

nonconformal bulk layer 514 in an upper region 516 of the trench and the nucleation layer 512 in an intermediate region 522 of the trench, but is not applied to the nucleation layer 512 in a inhibition-free lower region 524 of the trench 502.

[0060] As shown, the upper region 516 of the trench 502 corresponds to a boundary of an outer surface of the nonconformal bulk layer 514 interposed between the conformal nudeation layer 512 and the inhibition layer 520. In the intermediate region 522, the nonconformal bulk layer 514 (or any layer) has not been formed and the inhibition layer 520 ties directly on the nudeation layer 512. As noted from Figure 4 above, the differential effect of an inhibition layer on a bulk layer is strong, whereas its inhibition effect on a nudeation layer is relatively weak.

[0061] In operation 510, the trench is filled in a subsequent deposition operation (e.g., nonconformal dep2). In the illustrated example, the strong inhibition effect of the inhibition layer 520 in upper region 516, adjacent the mouth of the trench, keeps the mouth free. During dep2 (for example), the nonconformal bulk film in that region grows slowly, whereas film in the weaker inhibition zone lower down in intermediate region 522 grows relatively fester. The growth of film adjacent the mouth is“delayed” in the manner discussed further above. The differential inhibition (or growth profile) allows fill to reach the bottom of the trench 502 to form an integral feature 504 substantially free of voids. Thus, the selective formation of an initial nonconformal bulk layer inside a feature, before an inhibition layer is applied, can enhance inhibition or differentiation effects therein to provide a void-free fill, at least in some examples.

[0062] Thus, in some embodiments, a method may comprise providing a substrate including a feature having one or more feature openings and a feature interior; forming a nudeation layer on a surface of the feature interior; based on a differential inhibition profile, selectively forming a nonconformal bulk layer on the surface of the nudeation layer to leave regions of the nudeation layer covered and uncovered by the nonconformal bulk layer; selectively forming an inhibition layer on the covered and uncovered regions of the nudeation layer; and selectively depositing tungsten in the feature in accordance with the differential inhibition profile.

[0063] Although an embodiment has been described with reference to specific example embodiments, it will be evident that various modifications and changes may be made to these embodiments without departing from the broader scope of the disclosure and claims invention. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense. The accompanying drawings that form a part hereof, show by way of illustration, and not of limitation, specific embodiments in which the subject matter may be practiced. The embodiments illustrated are described in sufficient detail to enable those skilled in the art to practice the teachings disclosed herein. Other embodiments may be utilized and derived therefrom, such that structural and logical substitutions and changes may be made without departing from the scope of this disclosure. This Detailed Description, therefore, is not to be taken in a limiting sense, and the scope of various embodiments is defined only by the appended claims, along with the full range of equivalents to which such claims are entitled.

[0064] Such embodiments of the inventive subject matter may be referred to herein, individually and/or collectively, by the term“invention” merely for convenience and without intending to voluntarily limit the scope of this application to any single invention or inventive concept if more than one is in feet disclosed. Thus, although specific embodiments have been illustrated and described herein, it should be appreciated that any arrangement calculated to achieve the same purpose may be substituted for the specific embodiments shown. This disclosure is intended to cover any and all adaptations or variations of various embodiments. Combinations of the above embodiments, and other embodiments not specifically described herein, will be apparent to those of skill in the art upon reviewing the above description.