Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
USE OF SURFACTANT/DEFOAMER MIXTURES FOR ENHANCED METALS LOADING AND SURFACE PASSIVATION OF SILICON SUBSTRATES
Document Type and Number:
WIPO Patent Application WO/2010/039936
Kind Code:
A2
Abstract:
Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and / or reuse of said structure.

Inventors:
KORZENSKI MICHAEL B (US)
JIANG PING (US)
BEALL CHARLES (US)
BJELOPAVLIC MICK (US)
Application Number:
PCT/US2009/059199
Publication Date:
April 08, 2010
Filing Date:
October 01, 2009
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ADVANCED TECH MATERIALS (US)
KORZENSKI MICHAEL B (US)
JIANG PING (US)
BEALL CHARLES (US)
BJELOPAVLIC MICK (US)
International Classes:
H01L21/306; H01L21/301; H01L21/3063
Foreign References:
US20060138399A12006-06-29
US6033996A2000-03-07
US6562726B12003-05-13
US20030235997A12003-12-25
Other References:
See references of EP 2342738A4
Attorney, Agent or Firm:
FUIERER, Tristan A. (PLLCP.O. Box 1370, Research Triangle Park North Carolina, US)
Download PDF:
Claims:
THE CLAIMS

What is claimed is:

1. A removal composition comprising at least one etchant, at least one surfactant/polymer source, water and optionally at least one defoaming agent.

2. The removal composition of claim 1, comprising defoaming agent, wherein the defoaming agent comprises a species selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non- ionic emulsifiers, and combinations thereof.

3. The removal composition of claim 2, further comprising at least one chloride source.

4. The removal composition of claim 2, further comprising at least one chelating agent.

5. The removal composition of claim 2, further comprising at least one chelating agent and at least one chloride source.

6. The removal composition of any of claims 1-5, further comprising at least one oxidizing agent.

7. The removal composition of any of the preceding claims, wherein the at least one etchant comprises HF; and

wherein the at least one surfactant/polymer source comprises a species selected from the group consisting of fluoroalkyl surfactant, ethoxylated fluorosurfactant, polyethylene glycol, polypropylene glycol, polyethylene glycol ether, polypropylene glycol ether, carboxylic acid salt, dodecylbenzenesulfonic acid and salts thereof, other linear alkyl benzene sulfonic acids (LABSA) or salts thereof, polyacrylate polymer, dinonylphenyl polyoxyethylene, silicone polymer, modified silicone polymer, acetylenic diol, modified acetylenic diol, alkylammonium salt, modified alkylammonium salt, alkylphenol polyglycidol ether, sodium alkyl sulfate, ammonium alkyl sulfate, alkyl (CI0-CI8) carboxylic acid ammonium salt, sodium sulfosuccinate and esters thereof, alkyl (Ci0- Ci8) sulfonic acid sodium salt, di-anionic sulfonate surfactant, cetyltrimethylammonium bromide, cetyltrimethylammonium hydrogen sulfate, ammonium carboxylate, ammonium sulfate, amine oxide, N-dodecyl-N,N-dimethylbetaine, betaine, sulfobetaine, alkylammoniopropyl sulfate, polyethylene glycol (PEG), polyethylene oxide (PEO), polyvinyl pyrrolidone (PVP), hydroxyethylcellulose (HEC), acrylamide polymers, poly(acrylic acid), carboxymethylcellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropylmethylcellulose, polyvinylpyrrolidone K30, latex powder, ethylcellulose polymer, propylcellulose polymer, cellulose ether, water soluble resin, phosphate esters of alkoxylated aliphatic alcohols, nonylphenol ethoxylates, fatty alcohol alkoxylates, alcohol alkoxylates, polyoxyethyleneglycol dodecyl ether, ethylene oxide/propylene oxide block copolymers, and combinations thereof.

8. The removal composition of any of claims 1-6, wherein the at least one etchant comprises HF and wherein the at least one surfactant/polymer source comprises a species selected from the group consisting of di-anionic sulfonate surfactants, PPG-PEG-PPG block copolymers, PEG-PPG- PEG block copolymers, and combinations thereof.

9. The removal composition of any of claims 4-6, wherein the at least one chelating agent comprises a species selected from the group consisting of acetylacetonate, l,l,l-trifluoro-2,4- pentanedione, l,l,l,5,5,5-hexafluoro-2,4-pentanedione, formate, acetate, bis(trimethylsilylamide) tetramer, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, citric acid, acetic acid, maleic acid, oxalic acid, malonic acid, succinic acid, phosphonic acid, hydroxyethylidene diphosphonic acid (HEDP), l-hydroxyethane-l,l-diphosphonic acid, nitrilo- tris(methylenephosphonic acid), nitrilotriacetic acid, iminodiacetic acid, etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6- thithiol trisodium salt solution, l,3,5-triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates, ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, 2-hydroxypyridine 1 -oxide, ethylendiamine disuccinic acid, sodium triphosphate penta basic, and combinations thereof.

10. The removal composition of any of claims 4-6, wherein the at least one chelating agent comprises a phosphonic acid derivative.

11. The removal composition of claims 3 or 6, wherein the at least one chloride source comprises hydrochloric acid, alkali metal chlorides, alkaline earth metal chlorides, ammonium chloride, alkylammonium chloride, and combinations thereof.

12. The removal composition of claim 2, wherein the composition comprises HF, at least one defoaming agent, at least one di-anionic sulfonate surfactant, and water.

13. The removal composition of claim 5, wherein the composition comprises HF, ammonium chloride, at least one defoaming agent, at least one di-anionic sulfonate surfactant, at least one phosphonic acid derivative, and water.

14. The removal composition of claim 5, further comprising at least one oxidizing agent, wherein the composition comprises HF, ammonium chloride, at least one defoaming agent, at least one alkyldiphenyloxide disulfonate surfactant, at least one phosphonic acid derivative, a peroxide compound, and water.

15. The removal composition of claim 1, wherein the composition comprises HF, water and at least one at least one surfactant/polymer source selected from the group consisting of a PEG-PPG- PEG block copolymer, a PPG-PEG-PPG block copolymer, a polyoxyethyleneglycol dodecyl ether surfactant, and combinations thereof.

16. The removal composition of any of the preceding claims, wherein the composition further comprises material residue selected from the group consisting of post-etch residue, low-k dielectric material residue, high-k dielectric material residue, barrier layer material residue, ferroelectric residue, nitride residue, suicide residue, oxide residue, polymer-containing buildup residue, ARC material residue, doped region residue, miscellaneous material residue, and combinations thereof.

17. A method of recycling a microelectronic device structure, said method comprising:

contacting a microelectronic device structure comprising a microelectronic device substrate and at least one removable material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof, with the removal composition of any of the preceding claims for sufficient time and under sufficient conditions to substantially remove at least one material from the microelectronic device structure to yield a recyclable or reusable microelectronic device substrate.

18. The method of claim 17, further comprising depositing at least one depositable material on the reuseable substrate, wherein the at least one depositable material is selected from the group consisting of low-k dielectric, a high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), miscellaneous materials, and combinations thereof.

19. A kit comprising, in one or more containers, one or more of the following reagents for forming a removal composition, wherein said removal composition comprises at least one etchant, at least one surfactant/polymer source, water, optionally at least one chelating agent, optionally at least one oxidizing agent, optionally at least one chloride source, and optionally at least one defoaming agent, wherein the kit is adapted to form a removal composition suitable for removing material selected from the group consisting of at least one removable material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof from a microelectronic device structure having said material thereon.

Description:
USE OF SURFACTANT/DEFOAMER MIXTURES FOR ENHANCED METALS LOADING AND SURFACE PASSIVATION OF SILICON SUBSTRATES

FIELD

[0001] The present invention generally relates to processes useful for the removal of material layers, e.g., low-k dielectrics, from a substrate or article having said material thereon, for reclaiming, reworking, recycling and/or reuse of said substrate or article, and to products manufactured using same.

DESCRIPTION OF THE RELATED ART

[0002] The escalating requirements for performance associated with high density, ultra large scale integration (ULSI) semiconductor wiring have increasingly required the use of low dielectric constant (low-k) insulating layers to increase signal transport speeds as device sizes have decreased. [0003] Typical low-k materials include carbon doped oxides (CDO) deposited using commercially available precursors such as SiLK™, AURORA™, CORAL™, or BLACK DIAMOND™, for example using the proprietary BLACK DIAMOND™ process. Such CDO's are typically formed using chemical vapor deposition (CVD) processes from organosilane and organosiloxane precursors. CVD carbon doped oxide low-k dielectrics typically consist of a porous, low density material having an overall dielectric constant less than about 3.2 and are used in a variety of semiconductor structures, typically by forming multiple layers of the CDO's within which other semiconductor structures, such as metal interconnect lines and vias, are formed. For example, CDO's may be used as dielectric insulating layers (inter-metal dielectric (IMD) layers), capping layers and/or as gap filling material for certain structures.

[0004] Frequently, a microelectronic device wafer, for example a silicon semiconductor wafer, must be scrapped following the unacceptable processing of a layer during a multi-layer device manufacturing process or qualification process. Any number of processing problems may occur, for example, the non-uniform deposition of a layer or a subsequent etching error. A number of quality control testing methods are performed following selected processing steps whereby the acceptability of the semiconductor wafer may be rejected and "scrapped" for various reasons resulting in a significant non-productive cost. In addition to rejected wafers, test wafers are often scrapped because of the inability to reclaim or recycle certain film types. Test wafer spending is among the top three material expenditures for a fab.

[0005] The prior art practice has been to send the rejected or scrapped process wafers to wafer suppliers for processing, whereby a material layer, e.g., dielectric layers such as CDO layers, is removed from the semiconductor wafer using chemical and mechanical methods for reuse of said wafer. Following the successful removal of dielectric layers and other features overlying the wafer, the wafer is recycled or reused in a new multi-layer semiconductor device manufacturing process. As semiconductor wafer manufacturing moves to larger diameter wafers, for example 12 inch wafers, scrapping and recycling a process wafer off-site becomes increasingly more unattractive because of the high non-productive cost.

[0006] Improved compositions and processes are disclosed herein whereby at least one material, e.g., metal stack materials, etch stop layers, photoresist, barrier layers, and/or dielectric layers, including high-k and low-k layers, may be removed from microelectronic device structures for reclaiming, reworking, recycling, and/or reuse of said structures, whereby the compositions and processes are compatible with existing manufacturing processes and components. The underlying device substrate, e.g., silicon, is preferably undamaged by said removal composition. Preferably, the process of using said compositions to remove materials, e.g., low-k dielectric layers, from the microelectronic devices can be performed in a single step and as such, does not require a high energy- consuming oxidizing step.

[0007] In addition to the removal of the material layers while concurrently minimizing the damage to the underlying substrate material, the composition of the invention may be formulated to comply with local environmental requirements. For example, high fluoride concentrations and high organic solvent concentrations may make a composition difficult to use in high volume manufacturing due to wastewater disposal issues. Depending on the level of chemical oxygen demand (COD) of the formulation, whereby the COD of a solution is a measure of the amount of organic compounds that can be fully oxidized to carbon dioxide in the presence of a strong oxidant under acidic conditions, the formulation may not be allowed in the facility wastewater for direct return to the environment. For example, in Switzerland, the COD of a wastewater sample must be reduced to between 200 and 1000 mg/L before wastewater or industrial water can be returned to the environment (Pupunat, L., Sollberger, F., Rychen, P., "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/p56.pdf).

[0008] If the wastewater contains only fluoride sources (without organic solvent), a fluoride treatment system may be employed to remove the fluoride from wastewater first, and then the water may be discharged to the environment. If the wastewater contains only organic solvent(s) (without fluoride source), an organic disposal system, such as an incinerator, may be employed. Disadvantageously, incineration systems may not accept wastewater samples containing high fluoride concentrations because the fluoride source may damage the incinerator materials of construction. [0009] Accordingly, in addition to providing an improved composition and process for the removal of at least one material from microelectronic device structures for reclaiming, reworking, recycling, and/or reuse of said structures, the composition and/or process of using said composition preferably complies with local regulatory standards associated with the disposal of said composition. SUMMARY

[0010] Compositions and processes are disclosed herein, wherein said compositions and processes are useful for the removal of at least one material, e.g., dielectric and/or other material layers, from a microelectronic device structure having said material thereon, for reclaiming, reworking, recycling, and/or reuse of said microelectronic device structure, and methods of using removal compositions and products or intermediate products manufactured using the same. [0011] In one aspect, a removal composition is described, said removal composition comprising at least one etchant, at least one surfactant/polymer source, optionally at least one organic solvent, optionally at least one chelating agent, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one defoaming agent, and optionally water.

[0012] In another aspect, a removal composition is described, said removal composition comprising at least one etchant, at least one surfactant/polymer source, water and optionally at least one defoaming agent.

[0013] In still another aspect, a removal composition is described, said removal composition comprising at least one etchant, at least one surfactant/polymer source, water and at least one defoaming agent, wherein the defoaming agent comprises a species selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non-ionic emulsifiers, and combinations thereof. [0014] In yet another aspect, a method of recycling a microelectronic device structure is described, said method comprising: contacting a microelectronic device structure comprising a microelectronic device substrate and at least one removable material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof, with a removal composition for sufficient time and under sufficient conditions to substantially remove at least one material from the microelectronic device structure to yield a recyclable or reusable microelectronic device substrate, wherein the removal composition comprises at least one etchant, at least one surfactant/polymer source, optionally at least one organic solvent, optionally at least one chelating agent, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one defoaming agent, and optionally water. In a preferred embodiment, the removal composition comprises at least one defoaming agent, wherein the defoaming agent comprises a species selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non-ionic emulsifiers, and combinations thereof.

[0015] In still another aspect, a kit is described, said kit comprising, in one or more containers, one or more of the following reagents for forming a removal composition, wherein said removal composition comprises at least one etchant, at least one surfactant/polymer source, optionally at least one organic solvent, optionally at least one chelating agent, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one defoaming agent, and optionally water, wherein the kit is adapted to form a removal composition suitable for removing material selected from the group consisting of at least one removable material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof from a microelectronic device structure having said material thereon.

[0016] Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.

BRIEF DESCRIPTION OF THE DRAWINGS

[0017] Figure IA is an electron micrograph of a tungsten wafer following immersion in

Formulation E.

[0018] Figure IB is an electron micrograph of a tungsten wafer following immersion in

Formulation AB.

[0019] Figure 1C is an electron micrograph of a tungsten wafer following immersion in

Formulation AC.

[0020] Figure ID is an electron micrograph of a tungsten wafer following immersion in

Formulation AD.

[0021] Figure IE is an electron micrograph of a tungsten wafer following immersion in

Formulation AE.

DETAILED DESCRIPTION AND PREFERRED EMBODIMENTS THEREOF

[0022] The present invention generally relates to removal compositions and processes useful for the removal of at least one material layer (e.g., dielectric materials (high-k and/or low-k), metal stack materials, etch stop layers, barrier layer materials, suicides, ferroelectrics, photoresist, anti-reflective coatings, post-etch residue, etc.), from a microelectronic device structure having said material thereon, for reclaiming, reworking, recycling and/or reuse of said microelectronic device structure. Said reclaiming, reworking, recycling, and/or reuse may be off-site or in-house.

[0023] "Microelectronic device" corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the terms "microelectronic device," "microelectronic substrate" and "microelectronic device structure" are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly. The microelectronic device can be patterned, blanketed, a control and/or a test device. A "rejected microelectronic device" structure is intended to capture all microelectronic devices that can be reclaimed, reworked, and/or cleaned according to the methods of the invention.

[0024] The "microelectronic device structure" includes a "microelectronic device substrate" with at least one material thereon, where the at least one material is compositionally or crystallographically different than the microelectronic device substrate. As defined herein, "microelectronic device substrate" corresponds to any substrate including, but not limited to: bare silicon; polysilicon; germanium; III/V compounds such as aluminum nitride, gallium nitride, gallium arsenide, indium phosphide; titanites; II/IV compounds; II/VI compounds such as CdSe, CdS, ZnS, ZnSe and CdTe; silicon carbide; sapphire; silicon on sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; poly-crystalline silicon (doped or undoped); mono -crystalline silicon (doped or undoped); amorphous silicon, copper indium (gallium) diselenide; and combinations thereof. The "material" or "material layer(s)" may include, but is/are not limited to, at least one substance selected from the group consisting of doped epitaxial silicon, undoped epitaxial silicon, post-etch residue, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, a ferroelectric, a suicide, a nitride, an oxide, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof. At least one of the material layers may be doped with at least one ion-implanted ion such as boron, phosphorus and arsenic. As defined herein, "miscellaneous materials" include molybdenum-containing materials, lanthanum-containing materials, rhodium- containing materials, manganese-containing materials such as MnO x , carbon nanotubes, SrTiθ3, Zrθ 2 , YVO 4 , LiNbθ3, Teθ3, and combinations thereof.

[0025] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0026] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 4.0. Preferably, the low-k dielectric material includes low-polarity materials such as silicon oxide, silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), SiCOH, and carbon- doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.

[0027] As defined herein, "metal stack materials" and "metals" correspond to: tantalum, tantalum nitride, titanium nitride, titanium, nickel, cobalt, tungsten, tungsten nitride, and suicides of the aforementioned metals; copper-containing layers; aluminum-containing layers; Al/Cu layers; alloys of Al; alloys of Cu; cobalt-containing layers such as CoWP and CoWBP; gold-containing layers; Au/Pt layers; hafnium oxides; hafnium oxysilicates; zirconium oxides; lanthanide oxides; titanates; nitrogen- doped analogues thereof; ruthenium; iridium; cadmium; lead; selenium; silver; MoTa; and combinations and salts thereof on the microelectronic device.

[0028] As defined herein, "high-k dielectric" materials correspond to: hafnium oxides (e.g., HfO2); zirconium oxides (e.g., Zrθ 2 ); hafnium oxysilicates; hafnium silicates; zirconium silicates; titanium silicates; aluminum oxides; lanthanum-doped analogous thereof (e.g., LaAlOs); aluminum silicates; titanates (e.g., Ta 2 O 5 ); oxides and nitrides of hafnium and silicon (e.g., HfSiON); lanthanum- doped analogues thereof (e.g., HFSiON (La)); barium strontium titanate (BST); oxides of hafnium and aluminum (e.g., Hf x Al y O z ); strontium titanate (SrTiOs); barium titatnate (BaTiOs); and combinations thereof.

[0029] As defined herein, "barrier layer material" corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material. Preferred barrier layer materials include silicon-rich nitrides, silicon-rich oxynitrides, tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and suicides.

[0030] As defined herein, "ferroelectrics" include, but are not limited to: barium titanate (BaTiO 3 ); lead titanate (PbTiO 3 ); lead zirconate titanate (PZT); lead lanthanum zirconate titanate (PLZT); lead magnesium niobate (PMN); Potassium Niobate (KNbO 3 ); Potassium Sodium Niobate (K x Na Lx NbO 3 ); Potassium Tantalate Niobate (K(Ta x Nb Lx )O 3 ); Lead niobate (PbNb 2 O 6 ); bismuth titanate (Bi 4 Ti 3 Oi 2 ); lead bismuth niobate (PbBi 2 Nb 2 O 9 ); lithium niobate (LiNbO 3 ); lithium tantalate (LiTaO 3 ); strontium bismuth tantalate; strontium bismuth tantalate niobate; strontium tantalite; strontium titanate; and combinations and salts thereof.

[0031] As defined herein, "etch stop layers" include silicon carbide (SiC), silicon carbon nitride (SiCN), silicon carbon oxide (SiCO), silicon oxynitride (SiON), copper, silicon germanium (SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs, and combinations and salts thereof. [0032] As defined herein, "oxides" include any of the oxide compounds defined in the other layers as well as piezoelectrics such as (Pb,Sr)(Zr,Ti)O 3 , pyroelectrics such as (Pb,Ca)(Zr,Ti)O 3 , superconductors such as YBCO, electrodes such as indium tin oxide, thermal barrier materials such as ZrO 2 , CeO 2 , Y 2 O 3 , MgO, Al 2 O 3 , and SiO 2 , optical coatings such as TiO 2 , Ta 2 O 5 , Y 2 O 3 , and Sc 2 O 3 , and conductive membranes such as La ( i_ x) Sr x Ga (Ly) M y O 3 where M = Fe, Co, Ni, La (Lx) Sr x MnO 3 , and La ( i_ x) Ca x Mn0 3 .

[0033] As defined herein, "polymer-containing buildup" corresponds to the material that builds up on the backside and the bevel edge of the microelectronic device substrate during manufacturing and includes any of the materials deposited on the microelectronic device to that point including, but not limited to, low-k dielectric, a high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectrics, suicides, nitrides, oxides, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), miscellaneous materials, dopants, and combinations thereof.

[0034] As used herein, "reclaiming" the microelectronic device structure corresponds to the substantial removal of at least one material adjacent to a layer(s) to be retained without substantially damaging the layer(s) to be retained, wherein said material(s) to be removed include, but are not limited to, post-etch residue, etch stop-layers, metal stack materials, barrier layer materials, ferroelectrics, suicides, nitrides, oxides, dielectrics (low-k and/or high-k), polymer-containing buildup, doped regions (not including the doped epitaxial layer), and combinations thereof. The layer(s) to be retained are selected from the group consisting of a microelectronic device substrate, doped epitaxial silicon, undoped epitaxial silicon, etch stop-layers, metal stack materials, barrier layer materials, ferroelectrics, suicides, nitrides, dielectrics (low-k and/or high-k), doped regions, and combinations thereof. Reclaiming may be performed off-site or in-house. It is to be appreciated that the material to be removed and the layer to be retained cannot be the same substance. For example, the material to be removed may include low-k dielectric material and the layer to be retained may be the microelectronic device substrate. It is to be appreciated that one skilled in the art, using this disclosure, can determine which composition and process may be used to remove specific materials while retaining specific layers.

[0035] As defined herein, "substantial removal" or "substantially remove" corresponds to the removal of at least 90 wt.% of the material(s) desired to be removed, more preferably, at least 95 wt.%, even more preferably, at least 97 wt.%, even more preferably, at least 98 wt.%, and most preferably at least 99 wt.%.

[0036] As used herein, "reworking" the microelectronic device structure corresponds to the substantial removal of at least one of photoresist material, anti-reflective coating (ARC), polymer- containing buildup, post-etch residue, electroplated copper, and combinations thereof, subsequent to lithographic development and failure of a quality control test. Alternatively, reworking includes the removal of polymer-containing buildup on the backside and/or bevel edge of the microelectronic device structure. Reworking may be performed off-site or in-house. Subsequent to reworking, the microelectronic device structure may be recoated, baked, and re-patterned according to photolithographic techniques known in the art.

[0037] As defined herein, "recycling" is defined as reclaiming and reusing or reworking and reusing the retained layer(s) of the microelectronic device subsequent to material removal as described herein. For example, the recycled microelectronic device may be reintroduced into the fabrication processing stream, may be used as a control or test device, or may be used in an unrelated process or for an unrelated product.

[0038] As defined herein, "substantial elimination" of pitting refers to a decrease in pitting relative to that typically observed using removal compositions known in the art. Preferably, the extent of pitting is less than 10 % of what is observed using other removal compositions, more preferably less than 5%, and most preferably less than 2 %.

[0039] It is to be understood that the microelectronic device structure to be reclaimed includes a substrate selected from the group consisting of bare silicon; polysilicon; germanium; III/V compounds such as gallium nitride, gallium arsenide, indium phosphide; titanites; II/IV compounds; II/VI compounds such as CdSe, CdS, ZnS, ZnSe and CdTe; silicon carbide; sapphire; silicon on sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; and combinations thereof, and can be any diameter or thickness conventionally used in the art. For example, substrate diameters conventionally used in the art include 200 mm, 300 mm, 4 inch, 6 inch, and in the future 450 mm. A 300 mm substrate has a thickness of 750 μm, and the thickness of the other substrates is directly proportional to the diameter relative to the 300 mm substrate.

[0040] The requirements of a successful reclamation include, but are not limited to, zero or negligible front-side, bevel edge, and/or backside silicon pitting; less than 25 particles at 0.25 μm, less than 50 particles at 0.12 μm, or less than 100 particles at 0.09 μm, a total thickness variation (TTV) of less than about 5 μm, a surface metal contamination of less than 1 x 10 10 atoms cm "2 ; and/or the thickness of a reclaimed substrate (devoid of any other retained layers) is within 5 %, preferably within 2%, and most preferably within 1%, of the thickness of the original substrate. As defined herein, "total thickness variation" corresponds to the absolute difference between the maximum and the minimum thickness of a microelectronic device wafer as determined using a thickness scan or series of point thickness measurements known in the art.

[0041] The requirements of a successful wafer rework include, but are not limited to, the substantial removal of photoresist, polymeric-containing buildup, and/or electroplated copper from the outermost edge and backside of the device substrate without substantial damage to the layer(s) to be retained, which reduces particle and metal contamination during subsequent processing. [0042] Removal compositions may be embodied in a wide variety of specific formulations, as hereinafter more fully described.

[0043] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed. [0044] In one aspect, a removal composition compliant with national and international environmental standards, a so-called "green" removal composition, is described. Diethylene glycol butyl ether and other ethylene -containing solvents are HAP chemicals and can be detrimental to the environment. For example, diethylene glycol butyl ether has a very high chemical oxygen demand (COD) level, which is the mass of oxygen consumed per liter of solution. Because of its high COD level, diethylene glycol butyl ether has been either banned or limited to very low levels depending on the country.

[0045] A "green" or "environmentally friendly" removal composition of the first aspect may include an etchant source, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one chelating agent, and optionally at least one defoaming agent, present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 90% surfactant(s) about 0.01% to about 15% optional organic solvent(s) 0 to about 25% optional organic acid(s) 0 to about 25% optional chelating agent(s) 0 to about 25% optional oxidizing agent(s) 0 to about 25% optional chloride source(s) 0 to about 25% optional defoaming agent(s) 0 to about 5% water 0 to about 99%

[0046] The green removal composition of the first aspect may comprise, consist of, or consist essentially of at least one etchant, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one chelating agent, and optionally at least one defoaming agent. In general, the specific proportions and amounts of etchant source(s), surfactant(s), optional water, optional organic solvent(s), optional organic acid(s), optional oxidizing agent(s), optionally chloride source(s), optional chelating agent(s), and optional defoaming agent(s), in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, polymer- containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof, and/or processing equipment, as readily determinable within the skill of the art without undue effort. In a preferred embodiment, the green removal composition of the first aspect is substantially devoid of amine. As defined herein, "substantially devoid" corresponds to less than about 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. % of the composition, based on the total weight of said composition.

[0047] The green removal compositions of the first aspect have a pH value in a range from about 0 to about 7, more preferably about 2.5 to about 4.5, most preferably about 3 to about 3.5, when diluted 20:1 with deionized water.

[0048] The etchant may include, but is not limited to, fluorides, amines, and/or hydroxide salts including at least one of: hydrogen fluoride (HF); xenon difluoride (XeF 2 ); ammonium fluoride (NH 4 F); tetraalkylammonium fluoride (NR 4 F); alkyl hydrogen fluoride (NRH 3 F); ammonium hydrogen bifluoride (NH 5 F 2 ); dialkylammonium hydrogen fluoride (NR 2 H 2 F); trialkylammonium hydrogen fluoride (NR 3 HF); trialkylammonium trihydrogen fluoride (NR 3 :3HF); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex; amine hydrogen fluoride complexes, where R may be the same as or different from one another and is selected from the group consisting of straight-chained or branched Ci-C 6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl) and where the amine includes straight-chained or branched Ci-C 2O alkylamines, substituted or unsubstituted C 6 -CiO arylamines, glycolamines, alkanolamines, and amine-N-oxides including, but not limited to: pyridine; 2-ethylpyridine; 2-methoxypyridine and derivatives thereof such as 3-methoxypyridine; 2-picoline; pyridine derivatives; dimethylpyridine; piperidine; piperazine; triethylamine; triethanolamine; ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, diglycol amine; monoethanolamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; pyrimidine; pyrazine; pyridazine; quinoline; isoquinoline; indole; imidazole; N-methylmorpholine-N-oxide (NMMO); trimethylamine-N-oxide; triethylamine-N-oxide; pyridine-N-oxide; N-ethylmorpholine-N-oxide; N-methylpyrrolidine-N-oxide; N-ethylpyrrolidine-N- oxide; 1-methylimidazole; diisopropylamine; diisobutylamine; aniline; aniline derivatives; and combinations thereof. Alternatively, the etchant may comprise a hydroxide salt including, but not limited to, an alkali hydroxide, an alkaline earth metal hydroxide, a quaternary amine hydroxide, and combinations thereof. Preferably, the etchant comprises hydrogen fluoride.

[0049] Surfactants contemplated include nonionic, anionic, cationic (based on quaternary ammonium cations) and/or zwitterionic surfactants. For example, suitable non-ionic surfactants may include fluoroalkyl surfactants, ethoxylated fluorosurfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, and alkylphenol polyglycidol ether, as well as combinations comprising at least one of the foregoing. In a preferred embodiment, the nonionic surfactant may be an ethoxylated fluorosurfactant such as ZONYL® FSO-IOO fluorosurfactant (DuPont Canada Inc., Mississauga, Ontario, Canada). Anionic surfactants contemplated in the compositions of the present invention include, but are not limited to, fluorosurfactants such as ZONYL® UR and ZONYL® FS-62 (DuPont Canada Inc., Mississauga, Ontario, Canada), sodium alkyl sulfates such as sodium ethylhexyl sulfate (NIAPROOF® 08), ammonium alkyl sulfates, alkyl (C I0 -C I8 ) carboxylic acid ammonium salts, sodium sulfosuccinates and esters thereof, e.g., dioctyl sodium sulfosuccinate, alkyl (Ci 0 -Ci 8 ) sulfonic acid sodium salts, and the di-anionic sulfonate surfactants DowFax™ (The Dow Chemical Company, Midland, Mich., USA) such as the alkyldiphenyloxide disulfonate DowFax™3B2. Cationic surfactants contemplated include alkylammonium salts such as cetyltrimethylammonium bromide (CTAB) and cetyltrimethylammonium hydrogen sulfate. Suitable zwitterionic surfactants include ammonium carboxylates, ammonium sulfates, amine oxides, N-dodecyl-N,N-dimethylbetaine, betaine, sulfobetaine, alkylammoniopropyl sulfate, and the like. Alternatively, the surfactants may include water soluble polymers including, but not limited to, polyethylene glycol (PEG), polyethylene oxide (PEO), polypropylene glycol (PPG), polyvinyl pyrrolidone (PVP), cationic polymers, nonionic polymers, anionic polymers, hydroxyethylcellulose (HEC), acrylamide polymers, poly(acrylic acid), carboxymethylcellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropylmethylcellulose, polyvinylpyrrolidone K30, BIOCARE™ polymers, DOW™ latex powders (DLP), ETHOCEL™ ethylcellulose polymers, KYTAMER™ PC polymers, METHOCEL™ cellulose ethers, POLYOX™ water soluble resins, SoftCAT™ polymers, UCARE™ polymers, UCON™ fluids, PPG-PEG-PPG block copolymers, PEG-PPG-PEG block copolymers, and combinations thereof. The water soluble polymers may be short-chained or long-chained polymers and may be combined with the nonionic, anionic, cationic, and/or zwitterionic surfactants of the invention. Preferably, the surfactants comprise di-anionic sulfonate surfactants, PPG-PEG-PPG block copolymers, PEG-PPG-PEG block copolymers, and combinations thereof.

[0050] Water may be included in the compositions in part because of its ability to solubilize the fluoride species. Preferably, the water is deionized.

[0051] The organic solvent(s), when present, serve as a solvent, assist in the penetration and dissolution of organic residues, wet the surface of the microelectronic device structure to facilitate material removal and/or passivate the underlying adjacent materials (e.g., the microelectronic device substrate). Organic solvents contemplated herein include, but are not limited to, alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, glycol ethers, amines, ketones, aldehydes, alkanes, alkenes, alkynes, and amides, more preferably alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, and glycol ethers such as methanol, ethanol, isopropanol, butanol, and higher alcohols (including diols, triols, etc.), 2,2,3, 3,4,4,5,5-octafluoro-l-pentanol, lH,lH,9H-perfluoro-l-nonanol, perfluoroheptanoic acid, lH,lH,7H-dodecafluoro-l-heptanol, perfluoropentanoic acid, lH,lH,8H,8H-dodecafluoro-l,8- octanediol, 2,2,3,3,4,4,5,5-octafluoro-l,6-hexanediol, 5H-perfluoropentanoic acid, n-butyl heptafluorobutyrate, tetrahydrofuran (THF), N-methylpyrrolidinone (NMP), cyclohexylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, methyl formate, dimethyl formamide (DMF), dimethylsulfoxide (DMSO), tetramethylene sulfone (sulfolane), diethyl ether, phenoxy-2-propanol (PPh), propriopheneone, ethyl lactate, ethyl acetate, ethyl benzoate, acetonitrile, acetone, ethylene glycol, propylene glycol, dioxane, butyryl lactone, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, amphiphilic species (diethylene glycol monomethyl ether, Methylene glycol monomethyl ether, diethylene glycol monoethyl ether, Methylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), Methylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n- propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof), branched fluorinated or non-fluorinated ether-linkage carboxylic acids (CH 3 CH 2 ) n O(CH 2 ) m COOH, where n = 1 to 10 and m = 1 to 10), unbranched fluorinated or non- fluorinated ether-linkage carboxylic acids (CH 3 CH 2 ) n O(CH 2 ) m COOH, where n = 1 to 10 and m = 1 to 10), branched fluorinated or non-fluorinated non-ether linkage carboxylic acids (CH 3 (CH 2 ) n COOH, where n = 1 to 10), unbranched fluorinated or non-fluorinated non-ether linkage carboxylic acids (CH 3 (CH 2 ) n COOH, where n = 1 to 10), dicarboxylic acids, tricarboxylic acids, and combinations thereof. In addition, the solvent may comprise other amphiphilic species, i.e., species that contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups and the hydrophilic properties may generally be imparted by inclusion of either ionic or uncharged polar functional groups. Preferably, the organic solvent comprises sulfolane, butyl carbitol, dipropylene glycol propyl ether, or mixtures thereof.

[0052] The optional additional acid(s) assist in breaking up and solubilizing the cross-linked polymer bonds in the low-k dielectric material. The additional acids may be organic and/or inorganic and include, but are not limited to, boric acid, oxalic acid, succinic acid, citric acid, lactic acid, acetic acid, trifluoroacetic acid, tetrafluoroboric acid, hydrofluoric acid, hydrochloric acid, formic acid, fumaric acid, acrylic acid, malonic acid, maleic acid, malic acid, L-tartaric acid, methyl sulfonic acid, trifluoromethanesulfonic acid, iodic acid, mercaptoacetic acid, thioacetic acid, glycolic acid, sulfuric acid, nitric acid, propynoic acid, pyruvic acid, acetoacetic acid, and combinations thereof. [0053] Chelating agent(s) may be added to reduce or eliminate metal contaminating species on the surface of the device during wafer reclamation. Chelating agent(s) contemplated herein include, but are not limited to: β-diketonate compounds such as acetylacetonate, l,l,l-trifluoro-2,4- pentanedione, and l,l,l,5,5,5-hexafluoro-2,4-pentanedione; carboxylates such as formate and acetate and other long chain carboxylates; and amides (and amines), such as bis(trimethylsilylamide) tetramer. Additional chelating agents include amines and amino acids (i.e. glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine), citric acid, acetic acid, maleic acid, oxalic acid, malonic acid, succinic acid, phosphonic acid, phosphonic acid derivatives such as hydroxyethylidene diphosphonic acid (HEDP), l-hydroxyethane-l,l-diphosphonic acid, nitrilo-tris(methylenephosphonic acid), nitrilotriacetic acid, iminodiacetic acid, etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), and (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6- thithiol trisodium salt solution, l,3,5-triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates (R^CH 2 CH 2 O) 2 NR 2 CS 2 Na) with one alkyl group (R 2 = hexyl, octyl, deceyl or dodecyl) and one oligoether (R^CH 2 CH 2 O) 2 , where R 1 = ethyl or butyl), ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, 2-hydroxypyridine 1 -oxide, ethylendiamine disuccinic acid, sodium triphosphate penta basic, and combinations thereof. Unlike non-fluorinated beta-diketones, which may need to be combined with a base to form a deprotonated compound capable of chelation, fluorinated beta-diketone chelating agents can be used in the absence of a base. The chelating agent may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. It is further contemplated that in addition to chelating agent(s), other components may be added to the composition to dilute, maintain and/or increase the concentration of other components in the composition. Preferably, the chelating agent comprises at least one phosphonic acid derivative. [0054] Oxidizing agents contemplated herein include, but are not limited to, hydrogen peroxide (H 2 O 2 ), FeCl 3 (both hydrated and unhydrated), oxone (2KHSO 5 KHSO 4 K 2 SO 4 ), ammonium polyatomic salts (e.g., ammonium peroxomonosulfate, ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClOs), ammonium iodate (NH 4 IOs), ammonium perborate (NH 4 BOs), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IOs), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), ammonium hypochlorite (NH 4 ClO)), sodium polyatomic salts (e.g., sodium persulfate (Na 2 S 2 O 8 ), sodium hypochlorite (NaClO)), potassium polyatomic salts (e.g., potassium iodate (KIO 3 ), potassium permanganate (KMnO 4 ), potassium persulfate, nitric acid (HNO 3 ), potassium persulfate (K 2 S 2 O 8 ), potassium hypochlorite (KClO)), tetramethylammonium polyatomic salts (e.g., tetramethylammonium chlorite ((N(CH 3 ) 4 )C1O 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )C1O 3 ), tetramethylammonium iodate ((N(CH 3 ) 4 )IO 3 ), tetramethylammonium perborate ((N(CH 3 ) 4 )BO 3 ), tetramethylammonium perchlorate ((N(CH 3 ) 4 )C1O 4 ), tetramethylammonium periodate ((N(CH 3 ) 4 )IO 4 ), tetramethylammonium persulfate ((N(CH 3 ) 4 )S 2 O 8 )), tetrabutylammonium polyatomic salts (e.g., tetrabutylammonium peroxomonosulfate), peroxomonosulfuric acid, ferric nitrate (Fe(NO 3 ) 3 ), urea hydrogen peroxide ((CO(NH 2 ) 2 )H 2 O 2 ), peracetic acid (CH 3 (CO)OOH), and combinations thereof. The oxidizing agent may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. Preferably, the oxidizing agent comprises a peroxide compound.

[0055] It is known in the art that HF in the presence of metallic contaminants, including copper, causes pitting of microelectronic device substrates including silicon. To substantially eliminate this detrimental pitting effect, chloride sources such as, but not limited to, hydrochloric acid, alkali metal chlorides (e.g., NaCl, KCo, RbCl, CsCl, etc.), alkaline earth metal chlorides (e.g., MgCl 2 , CaCl 2 , SrCl 2 , BaCl 2 , etc.), ammonium chloride, alkylammonium chlorides having the formula NR 1 R 2 R 3 R 4 Cl (where R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and may be H or a branched or straight-chained C r C 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, or hexyl)) and combinations thereof, may be added to the removal composition to minimize pitting of the microelectronic device substrate during the reclamation process. Preferably, the chloride source comprises ammonium chloride. [0056] Defoaming agents are substances that induce rapid foam collapse or suppress the foaming level in a solution. Preferably, defoaming agents have to fulfill three conditions: they should be insoluble in the solution, they should have a positive spreading coefficient, and they should have a positive entering coefficient. Defoamers contemplated generally include, but are not limited to, silicone-oil based, mineral-oil based, natural-oil based, acetylenic-based, and phosphoric acid ester- based defoaming agents. More preferably, the defoaming agents include, but are not limited to, ethylene oxide/propylene oxide block copolymers such as Pluronic® (BASF®) products (e.g., Pluronic®17R2, Pluronic® 17R4, Pluronic®31Rl and Pluronic®25R2), alcohol alkoxylates such as Plurafac® products (BASF®) (e.g., Plurafac®PA20), fatty alcohol alkoxylates such as Surfonic® (Huntsmen) (e.g., Surfonic®Pl), phosphoric acid ester blends with non-ionic emulsifiers such as Defoamer M (Ortho Chemicals Australia Pty. Ltd.), and Super Defoamer 225 (Varn Products), and combinations thereof. Notably, Defoamer M also acts as a wetting agent and as such, when used, Defoamer M may be both the surfactant and the defoaming agent. In addition, diethylene glycol monobutyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n- propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n- butyl ether, propylene glycol phenyl ether, and propylene glycol may be used alone or in combination with the other defoaming agents for effective defoaming. In one embodiment, the defoaming agent is selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non-ionic emulsifiers, and combinations thereof. In another embodiment, the defoaming agent is selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, and combinations thereof. In still another embodiment, the defoaming agent is an ethylene oxide/propylene oxide block copolymer.

[0057] Given the nature of the green removal composition of the first aspect, preferably the composition is substantially devoid of organic solvents including ethylene groups, e.g., ethylene, diethylene, Methylene, etc., and other HAP organic solvents. For example, if an organic solvent is present, preferably it includes a propylene and/or propylene glycol ether. It should be appreciated that compositions including solvents including ethylene groups are possible, as readily determined by one skilled in the art.

[0058] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, etc.

[0059] In a preferred embodiment, the green removal composition of the first aspect comprises, consists of, or consists essentially of at least one etchant, at least one surfactant, water, and at least one defoaming agent. In still another preferred embodiment, the green removal composition of the first aspect comprises, consists of, or consists essentially of at least one etchant, at least one surfactant, water, and at least one defoaming agent, wherein the defoaming agent comprises a species selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non-ionic emulsifiers, and combinations thereof. In another preferred embodiment, the green removal composition of the first aspect comprises, consists of, or consists essentially of at least one etchant, at least one surfactant, water, at least one defoaming agent, at least one chloride source, and at least one chelating agent. In another embodiment, the green removal composition of the first aspect comprises, consists of, or consists essentially of at least one etchant, at least one surfactant, water, at least one defoaming agent, at least one chloride source, and at least one chelating agent, wherein the defoaming agent comprises a species selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non-ionic emulsifiers, and combinations thereof. In yet another preferred embodiment, the green removal composition of the first aspect comprises, consists of, or consists essentially of at least one etchant, at least one surfactant, water, at least one defoaming agent, at least one chloride source, at least one oxidizing agent and at least one chelating agent. In still another preferred embodiment, the green removal composition of the first aspect comprises, consists of, or consists essentially of at least one etchant, at least one surfactant, water, at least one defoaming agent, at least one chloride source, at least one oxidizing agent and at least one chelating agent, wherein the defoaming agent comprises a species selected from the group consisting of ethylene oxide/propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphoric acid ester blends with non-ionic emulsifiers, and combinations thereof. For example, the removal composition of the first aspect may comprise, consist of or consist essentially of water, ammonium chloride, HF, a phosphonic acid derivative chelating agent, a alkyldiphenyloxide disulfonate surfactant and a ethylene oxide/propylene oxide block copolymer defoaming agent. Another example of the removal composition of the first aspect comprises, consists of, or consists essentially of water, ammonium chloride, HF, HEDP, a alkyldiphenyloxide disulfonate surfactant and a ethylene oxide/propylene oxide block copolymer defoaming agent. An oxidizing agent such as hydrogen peroxide may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ.

[0060] The green removal composition of the first aspect may further include material residue selected from the group consisting of post-etch residue, low-k dielectric material, metal stack materials, high-k dielectric material, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, polymer-containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof. Preferably, the materials dissolve in and/or are suspended in the green removal composition and the removal composition remains viable for its intended use. [0061] In one embodiment, the green removal compositions of the first aspect are formulated in the following concentrated embodiments, wherein all percentages are by weight, based on the total weight of the formulation:

component of % by weight preferably (% by weight) most preferably (% by weight)

HF about 0.01% to about about 2% to about 75% about 5% to about 30% 90% surfactant(s) about 0.01% to about about 0.1% to about 5% about 0.5% to about 4% 15% organic solvent(s) 0 to about 25% 0% to about 10% 0% to about 10% chelating agent(s) 0 to about 25% about 0.1% to about 20% about 2% to about 10% chloride source(s) 0 to about 25% about 0.1% to about 10% about 1% to about 10% defoaming agent(s) 0 to about 5% about 0.01% to about 3% about 0.01% to about 1% water 0% to 99% about 5% to 90% about 10% to 70%

The concentrated embodiment may include about 0.01% to about 20%, more preferably about 1% to about 15% by weight of at least one oxidizing agent that may be added prior to and/or at the removal locus. When present, the lower limit of organic solvent and/or organic acid may be 0.01% by weight, based on the total weight of the formulation. In a particularly preferred embodiment, the range of weight percent ratios of the components are: about 1 :1 to about 10:1 neat chloride source(s) relative to neat surfactant, preferably about 2:1 to about 5:1, and most preferably about 3:1 to about 4:1; about 1 :1 to about 15:1 neat HF relative to neat surfactant, preferably about 3:1 to about 10:1, and most preferably about 7:1 to about 8:1; about 1:1 to about 10:1 neat chelating agent(s) relative to neat surfactant, preferably about 2:1 to about 8:1, and most preferably about 4:1 to about 5:1; and about 0.01 :1 to about 0.15:1 neat defoaming agent(s) relative to neat surfactant, preferably about 0.03:1 to about 0.12:1, and most preferably about 0.06:1 to about 0.09:1.

[0062] In each embodiment of the first aspect, the removal composition can be substantially devoid of at least one of nitric acid, sulfuric acid, lactams (e.g., piperidones and/or pyrrolidones), supercritical fluids, amines and polymers prepared by the polycondensation of at least one aldehyde and at least one aromatic compound.

[0063] In one embodiment, the removal composition of the first aspect is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.

[0064] In another embodiment, the removal composition of the first aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. The process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition. Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, if the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the first aspect when cleaning the backside and/or bevel edge, the front side should be protected. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition of the first aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0065] The low-k dielectric materials removed using the removal compositions described herein include CORAL™, BLACK DIAMOND™ (hereinafter BD), derivatives of CORAL, derivatives of BD, AURORA®, derivatives of AURORA®, SiCOH, etc. As used herein, "derivatives of CORAL" and "derivatives of BD" correspond to CORAL and BD materials, respectively, that were deposited using alternative, often proprietary, deposition processes. The utilization of a different processing technique will result in a CORAL and BD material that differs from CORAL™ and BLACK DIAMOND™, respectively.

[0066] In another embodiment of the first aspect of the invention, copper ions are added to the removal composition to accelerate the removal of tungsten and tungsten-containing layers from the microelectronic device structure. When present, the amount of copper ions added may be in a range from about 0.01 wt% to about 5 wt%, preferably about 0.1 wt% to about 2.5 wt%, and most preferably about 0.2 wt% to about 1 wt%, based on the total weight of the composition. [0067] In a second aspect, another green or environmentally friendly removal composition is described, said removal composition comprising, consisting of, or consisting essentially of an etchant source, at least one surfactant, water, and optionally at least one oxidizing agent. The components in the removal composition are present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 90% surfactant(s) about 0.01% to about 15% water about 0.01% to about 99.98% oxidizing agent(s) O to about 10%

[0068] The etchants and the optional oxidizing agents for the removal composition of the second aspect include those described hereinabove for the removal composition of the first aspect. The surfactant(s) suitable for the removal composition of the second aspect include, but are not limited to: anionic surfactants such as dodecylbenzenesulfonic acid (DDBSA) or salts thereof, other linear alkyl benzene sulfonic acids (LABSA) or salts thereof, phosphate esters of alkoxylated aliphatic alcohols (for example, KLEARFAC® AA270, commercially available by BASF Corporation); non-ionic surfactants such as nonylphenol ethoxylates (e.g., Tergitol™ 15-S-9, commercially available from DOW), fatty alcohol alkoxylates such as Surfonic® (Huntsmen) (e.g., Surfonic®Pl), polyoxyethyleneglycol dodecyl ether (e.g., Brij 35), and alcohol alkoxylates such as Plurafac® products (BASF®) (e.g., Plurafac® PA20); polymeric surfactants such as PPG-PEG-PPG block copolymers, PEG-PPG-PEG block copolymers, ethylene oxide/propylene oxide block copolymers such as Pluronic® (BASF®) products (e.g., Pluronic®17R2, Pluronic®17R4, Pluronic®31Rl and Pluronic®25R2); and combinations thereof. Preferably, the surfactants comprise ethylene oxide/propylene oxide block copolymers.

[0069] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, defoaming agents, chloride sources, oxidizing agents, chelating agents, co-solvents, etc. [0070] The green removal composition of the second aspect may further include material residue selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, SiCN, aluminum-containing materials, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof. Preferably, the materials dissolve in and/or are suspended in the green removal composition and the removal composition remains viable for its intended use.

[0071] Given the nature of the green removal composition of the second aspect, preferably the composition is substantially devoid of: organic solvents including ethylene groups, e.g., ethylene, diethylene, Methylene, etc., and other HAP organic solvents; nitric acid; sulfuric acid; lactams (e.g., piperidones and/or pyrrolidones); supercritical fluids; amines; ammonium fluoride; and polymers prepared by the polycondensation of at least one aldehyde and at least one aromatic compound. [0072] In one embodiment, the green or environmentally friendly removal compositions of the second aspect are formulated in the following concentrated embodiments, wherein all percentages are by weight, based on the total weight of the formulation:

component of % by weight preferably (% by weight) most preferably (% by weight)

HF about 0.01% to about about 2% to about 50% about 15% to about 25% 90% surfactant(s) about 0.01% to about about 0.1% to about 10% about 2% to about 8% 15% water 0.01% to 99.98% about 10% to 95% about 65% to 85%

In a particularly preferred embodiment, the removal compositions of the second aspect include about 17 wt% to about 23 wt% HF, about 4 wt% to about 6 wt% surfactant(s) and about 70 wt% to about 80 wt% water, wherein all percentages are by weight, based on the total weight of the formulation. When present, the amount of oxidizing agent is preferably in a range from about 0.01 wt% to about 10 wt%. In a particularly preferred embodiment, the range of weight percent ratios of the components are: about 1:1 to about 10:1 neat HF relative to neat surfactant, preferably about 2:1 to about 6:1, and most preferably about 3:1 to about 5:1.

[0073] A preferred embodiment of the removal composition of the second aspect comprises, consists of, or consists essentially of HF, PEG-PPG-PEG block copolymer, and water. In another preferred embodiment, the removal composition of the second aspect comprises, consists of, or consists essentially of HF, PPG-PEG-PPG block copolymer, and water. In still another preferred embodiment, the removal composition of the second aspect comprises, consists of, or consists essentially of HF, a polyoxyethyleneglycol dodecyl ether surfactant, and water. An oxidizing agent such as hydrogen peroxide may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. In another preferred embodiment, the compositions of the second aspect further include at least one defoaming agent.

[0074] In one embodiment, the removal composition of the second aspect is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure. In another embodiment, the removal composition of the second aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed as described above with regards to the first aspect.

[0075] It is noted that the removal compositions of the first and second aspect should be substantially devoid of abrasive material typically used during CMP processing prior to contact of the removal compositions with the microelectronic device.

[0076] The removal compositions described herein are effective at concurrently removing at least one of polymer-containing buildup, metal stack materials, low-k dielectric layers, high-k dielectric layers, etch stop layers, nitrides, suicides, oxides, barrier layers, photoresist, post-etch residue, miscellaneous materials, doped regions (other than doped epitaxial Si) and/or other material from a surface of the microelectronic device. For example, the removal compositions may effectively remove low-k dielectric material from the front side of the microelectronic device while concurrently removing polymer and other residue from the backside and/or bevel edge of the microelectronic device, as readily determined by one skilled in the art. As such, as applied to microelectronic device manufacturing operations, the removal compositions described herein are usefully employed to remove at least one material selected from the group consisting of low-k dielectric material, high-k dielectric material, etch stop layers, metal stack materials, nitrides, suicides, oxides, photoresist, barrier layers, polymer-containing buildup, ferroelectrics, miscellaneous materials, doped regions (other than doped epitaxial Si) and combinations thereof, from microelectronic device structures in a single reclamation or rework step for recycling and/or reuse of said structures. The removal compositions described herein satisfy the reclamation requirements, including, but not limited to: less than 25 particles at 0.25 μm, less than 50 particles at 0.12 μm, or less than 100 particles at 0.09 μm, a total thickness variation (TTV) of less than about 5 μm (without the need for a post-removal planarization process), a surface metal contamination of less than 1 x 10 10 atoms cm "2 ; and/or the thickness of a reclaimed substrate (devoid of any other retained layers) is within 5 %, preferably within 2%, and most preferably within 1%, of the thickness of the original substrate; as well as the rework/clean requirements. Furthermore, because of the low TTV, the chemical mechanical polishing (CMP) step that is typical of current reclaiming practices, i.e., to planarize the substrate subsequent to the wet removal of the materials, may not be needed to planarize the front-side or backside of the wafer before reuse. Alternatively, the parameters of the CMP step may be altered such that the energy requirements are substantially reduced, e.g., the length of time of the polish is shortened, etc. Most preferably, the TTV is less than 3%, more preferably less than 1% and most preferably less than 0.5%, subsequent to the removal of the materials from the microelectronic device substrate. [0077] The removal compositions described herein also satisfy the rework requirements, e.g., effectuate the substantial removal of photoresist, polymeric -containing buildup, and/or electroplated copper from the outermost edge and backside of the device substrate without substantial damage to the layer(s) to be retained. Unlike rework compositions in the prior art (e.g., physical polish of the edge, a dry plasma etch, combustion, etc.) the at least one material to be removed from the microelectronic device structure may be removed with a wet solution(s).

[0078] The removal compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the removal compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool, in a storage tank upstream of the tool, or both. The concentrations of the respective ingredients may be widely varied in specific multiples of the removal composition, e.g., more dilute or more concentrated, and it will be appreciated that the removal compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.

[0079] Accordingly, another aspect relates to concentrated formulations of the compositions described herein with low amounts of water and/or solvent, or alternatively without water and/or solvent, wherein water and/or solvent may be added prior to use to form the removal compositions. The concentrated formulations may be diluted in a range from about 1:10 to 100:1 solvent to concentrate, wherein the solvent can be water and/or organic solvent.

[0080] Another aspect relates to a kit including, in one or more containers, one or more components adapted to form the removal compositions described herein (e.g., the first or second aspect). In one embodiment, the kit may include, in one or more containers, an etchant source, at least one surfactant or polymer, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one chelating agent, and optionally at least one defoaming agent for combining as is or with diluent (e.g., water and/or organic solvent) and/or defoaming agent at the fab. Alternatively, the kit may include at least one etchant, at least one surfactant or polymer, water, and optionally at least one defoaming agent for combining as is or with diluent (e.g., water and/or organic solvent) and/or defoaming agent at the fab. In another alternative, the kit may include at least one etchant, at least one surfactant, water, at least one chloride source, at least one chelating agent, and optionally at least one defoaming agent for combining as is or with diluent (e.g., water and/or organic solvent) and/or defoaming agent at the fab. In yet another alternative, the kit may include at least one etchant, at least one surfactant, water, at least one chloride source, at least one chelating agent, and optionally at least one defoaming agent for combining as is or with diluent (e.g., water and/or organic solvent), defoaming agent, and/or oxidizing agent at the fab. In still another embodiment, the kit may include at least one etchant, at least one surfactant or polymer and water for combining as is or with diluent (e.g., water) and/or at least one oxidizing agent at the fab.

[0081] The containers of the kit should be chemically rated to store and dispense the component(s) contained therein. For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.

[0082] Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch). [0083] Regarding the containers for the kits, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Patent No. 7,188,644 entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;" U.S. Patent No. 6,698,619 entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;" International Application No. PCT/US08/63276 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2008 in the name of John E.Q. Hughes; and International Application No. PCT/US08/85826 entitled "SYSTEMS AND METHODS FOR DELIVERY OF FLUID-CONTAINING PROCESS MATERIAL COMBINATIONS" filed on December 8, 2008 in the name of John E.Q. Hughes et al. [0084] In addition to a liquid solution, it is also contemplated herein that the removal compositions may be formulated as foams, fogs, dense fluids (i.e., supercritical or subcritical, wherein the solvent is CO 2 , etc., in addition to or in lieu of water and/or organic solvent(s)). [0085] The removal compositions described herein dissolve and/or delaminate at least one material selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, etch stop layers, nitrides, suicides, oxides, metal stack materials, ferroelectrics, barrier layers, photoresist, ARC material, polymer-containing buildup, doped regions, and combinations thereof from the microelectronic device substrate in a single step (i.e., all of the material(s) to be removed may be removed by contacting the rejected microelectronic device substrate with a single composition for a single immersion). Most preferably, the removable materials are dissolved and/or delaminated in a single step, with the provision that no mechanical polishing is necessarily required prior to recycling and/or reuse. As defined herein, "dissolution" covers the process whereby a solid solute (e.g., the material to be removed) enters a solvent to form a solution. "Dissolution" is also intended to include the etching, decomposition, and/or chemical polishing of the material to be removed. Dissolution has the advantage of minimizing the generation of particulate matter that may subsequently settle on said substrate as well as substantially eliminating clogging of the removal equipment.

[0086] Advantageously, the remaining layers of the microelectronic device structure following the removal process are substantially smooth and undamaged, preferably without the need to planarize the front side and/or backside prior to additional manufacturing processes, i.e., deposition processes of new layers of materials, e.g., low-k dielectric, high-k dielectric, photoresist, metal stack layers, etch stop layers, etc. For example, if following reclamation, the remaining layers include just the microelectronic device substrate and epitaxial Si layer, the substrate is preferably ready for recycling/reuse without the need for an expensive and structurally compromising mechanical polish. It should be appreciated that a mechanical polish, touch polish, or wiping of the surface may be used when necessary. [0087] In yet another aspect, methods of removal are disclosed wherein at least one material selected from the group consisting of low-k dielectric layers, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layers, photoresist, ARC materials, post-etch residue, polymer-containing buildup, doped regions, and combinations thereof are removed from a microelectronic device having said layers thereon using the removal compositions of the first or second aspect. For example, low-k dielectric materials may be removed while maintaining the integrity of the underlying substrate and etch stop layers (e.g., SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs), and metal stack materials. Alternatively, low-k dielectric layers and metal stack materials may be removed while maintaining the integrity of the underlying substrate and/or etch stop layers. In another alternative, low-k dielectric layers, etch stop layers and metal stack materials may be removed while maintaining the integrity of the underlying substrate. [0088] In a further aspect, the removal compositions of the first or second aspect may be used to clean the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. In one embodiment, the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure includes the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen gas and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, the front side of the structure, which includes the blanketed or patterned layers that are not to be damaged, is not to be exposed to the removal composition when cleaning the backside and/or bevel edge. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition to simultaneously remove material from the front side (e.g., low-k dielectric material) and the backside/bevel edge (e.g., polymer-containing buildup and copper- containing material).

[0089] Microelectronic device wafers may be reworked off-site or in-house. In-house reworking and recycling has the advantage of increasing the overall yield, decreasing the overall costs and reducing the cycle time between the diagnostic process and the rework.

[0090] In a removal application, a removal composition as described herein is contacted in any suitable manner to the rejected microelectronic device having material to be removed thereon, e.g., by spraying a removal composition on the surface of the device, by dipping (in a volume of a removal composition) of the device including the removable material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has a removal composition absorbed thereon, by contacting the device including the material to be removed with a recirculating removal composition, or by any other suitable means, manner or technique, by which a removal composition is brought into removal contact with the material to be removed. The contacting conditions include a period of time and conditions sufficient to remove at the removable material. Further, batch or single wafer processing is contemplated herein. The removal process using a removal compositions may include a static clean, a dynamic clean, or sequential processing steps including dynamic cleaning, followed by static cleaning of the device in a removal composition, with the respective dynamic and static steps being carried out alternatingly and repetitively, in a cycle of such alternating steps. Any of the contacting options disclosed herein may further comprise sonication to assist with the removal of the materials to be removed from the microelectronic device.

[0091] The removal compositions described herein may be used with a large variety of conventional cleaning tools, including Verteq single wafer megasonic Goldfmger, OnTrak systems DDS (double-sided scrubbers), Laurell spin-spray tools, SEZ single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion ™/Reflexion LK™, and Megasonic batch wet bench systems. For example, the process of removing at least one material as described herein may be assisted by adding a physical component such as megasonics to the one-step wet chemical process to cause mechanical breakdown at the surface of the material(s) to be removed and the interface of the material(s) to be removed relative to the substrate or the layer(s) to be retained.

[0092] As applied to microelectronic device manufacturing operations, removal compositions are usefully employed to remove at least one material selected from the group consisting of low-k dielectric layers, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layer materials, photoresist, post-etch residue, ARC materials, polymer- containing buildup, doped regions, and combinations thereof from microelectronic device structures for reclaiming, reworking, recycling and/or reuse of said structures. In addition, it should be appreciated that removal compositions may be used during chemical mechanical polishing processes to accelerate the removal of CDO and other low-k dielectric materials or post-CMP processes to remove post-CMP residue material.

[0093] When removing at least one material selected from the group consisting of low-k dielectric layers, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layers, photoresist, post-etch residue, ARC materials, polymer- containing buildup, doped regions, and combinations thereof from microelectronic device structures having same thereon, a removal composition typically is contacted with the device structure for a time of from about 30 seconds to about 60 minutes, more preferably about 75 sec to about 5 min, the preferred time being dependent on the thickness of the layer(s) to be removed, at temperature in a range of from about 20 0 C to about 90 0 C, preferably about 20 0 C to about 70 0 C, most preferably about 20 0 C to about 50 0 C. When etch stop layers are to be removed, the contacting time may be in a range of from about 5 minutes to about 3 hours at temperature in a range of from about 20 0 C to about 80 0 C, depending on the thickness of the etch stop layer. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to substantially remove the material(s) from the device structure, within the broad practice of the invention.

[0094] Following the achievement of the desired removal action, the removal composition can be readily removed from the microelectronic device to which it has previously been applied, e.g., by rinse, wash, drying, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions disclosed herein. For example, the microelectronic device may be rinsed with deionized water. In addition, the microelectronic device may be dried with nitrogen gas, isopropanol, or SEZ (spin process technology).

[0095] Removal compositions may be monitored and controlled using statistical process controls (SPC) during contact of the compositions with the rejected microelectronic device structures. For example, the SPC of the removal composition bath may be monitored and several inputs controlled, including temperature of the bath, pH of the bath, concentration of the major components of the bath, concentration of the byproducts, and feed chemical purity. Preferably, the removal composition is monitored using in-line monitoring, wherein in-line sampling equipment may be communicatively coupled with standard analytical tools to monitor bath weight loss (which is an indication of water and/or amine loss), fluoride concentration, H 2 O 2 concentration, pH, etc. By monitoring and/or controlling at least one of these parameters, the life of the removal composition bath may be extended, which maximizes process efficiency. The purpose of the SPC is to maintain a substantial steady state of several parameters of the removal composition as processing occurs over time, as readily determined by one skilled in the art. Regarding the SPC, the disclosures of the following patent applications is hereby incorporated herein by reference in their respective entireties: U.S. Provisional Patent Application No. 60/909,428 filed on March 31, 2007 entitled "METHOD FOR STRIPPING MATERIAL FOR WAFER RECLAMATION," and U.S. Provisional Patent Application No. 60/943,736 filed on June 13, 2007 entitled "METHOD FOR STRIPPING MATERIAL FOR WAFER RECLAMATION."

[0096] Surprisingly, the inventors discovered that the same microelectronic device structure may be reclaimed, for example, material(s) are removed to reclaim the substrate or to reclaim the substrate plus the layer(s) to be retained, multiple times. For example, the same substrate may be processed to deposit at least one material layer and subsequently reclaimed greater than or equal to two times, preferably greater than or equal to 5 times, more preferably greater than or equal to 10 times, and most preferably greater than or equal to 20 times, depending on the method and the material being deposited, said reclamation satisfies the reclamation requirements described herein each time. The reclamation process preferably is a single step removal process (i.e., all of the material(s) to be removed are done so using a single composition in a single step) and preferably no post-reclamation planarization is needed prior to subsequent processing. That said, it should be appreciated by one skilled in the art that some deposition methods and some materials damage the substrate and as such, multiple solutions and/or some planarization may be needed to successfully reclaim the substrate. Planarization will have the effect of limiting the number of times a substrate may be reclaimed. [0097] It should be appreciated that a multiple step removal process wherein at least one step requires the use of at least one removal composition disclosed herein is contemplated. For example, the removal process may be a two step process wherein the first step includes contacting a microelectronic device having a substrate and at least one material to be removed with a removal composition described herein for sufficient time and under sufficient conditions (e.g., as disclosed herein) to remove said at least one material from the microelectronic device, and polishing the substrate to remove surface damage, wherein the polishing conditions are well known in the art. [0098] In addition, the inventors surprisingly discovered that the same microelectronic device structure may be reworked, for example, photoresist and ARC material(s) are removed from the microelectronic device structure, upwards of ten times. For example, the same structure may be photolithographically processed and subsequently reworked to remove the erroneously positioned photoresist pattern greater than or equal to two times, preferably greater than or equal to five times, and most preferably, greater than or equal to ten times, wherein said rework does not substantially damage the layer(s) to be retained. In addition, the inventors surprisingly discovered that the backside and/or bevel edge of the microelectronic device structure may be readily cleaned, for example, polymer-containing buildup and/or metals are removed from the backside and/or bevel edge of the microelectronic device structure without resorting to the methods used in the art (e.g., physical polishing, dry plasma etching, combustion, etc.).

[0099] In a further aspect, an article comprising a microelectronic device is disclosed, wherein said microelectronic device comprises a microelectronic device structure or microelectronic device substrate that has been reclaimed, reworked, recycled and/or reused using the methods described herein, said method comprising contacting a microelectronic device structure with a removal composition of the first or second aspect for sufficient time and under sufficient conditions to substantially remove at least one material selected from the group consisting of low-k dielectric material, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layer materials, photoresist, post-etch residue, ARC material, polymer- containing buildup, doped regions, and combinations thereof. The recycled or reused microelectronic device structure or microelectronic device substrate may subsequently comprise one or more layers deposited thereon, including at least one of a low-k dielectric layer, high-k dielectric material, etch stop layer, metal stack material, nitride layer, suicide layer, oxide layer, ferroelectric layer, barrier layer materials, doped regions, and combinations thereof, in a subsequent microelectronic device manufacturing process.

[0100] In still another aspect, an article is described, wherein said article comprises a reworked microelectronic device structure or reworked microelectronic device substrate and at least one additional material layer selected from the group consisting of low-k dielectric material, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layer materials, photoresist, ARC material, doped regions, and combinations thereof, wherein the at least one additional material layer was deposited onto the microelectronic device structure or substrate subsequent to reworking. The article may further comprise an intermediate layer positioned between the microelectronic device structure or substrate and the at least one additional material layer. [0101] In a further aspect, a method of manufacturing an article comprising a microelectronic device is disclosed, wherein said microelectronic device comprises a microelectronic device structure or microelectronic device substrate that has been reclaimed, reworked, recycled, and/or reused using the methods described herein, said method comprising contacting a microelectronic device structure with a removal composition of the first or second aspect for sufficient time and under sufficient conditions to substantially remove at least one material selected from the group consisting of low-k dielectric material, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layer materials, photoresist, post-etch residue, ARC material, polymer-containing buildup, doped regions, and combinations thereof. The method of manufacturing the article may further comprise the deposition of one or more layers on the recycled or reused microelectronic device structure or microelectronic device substrate, wherein said one or more layers include at least one of a low-k dielectric layer, high-k dielectric material, etch stop layer, metal stack material, nitride layer, suicide layer, oxide layer, ferroelectric layer, barrier layer, doped region, and combinations thereof, in a subsequent microelectronic device manufacturing process. [0102] In yet another aspect, the present invention relates to a method of cleaning the backside and/or bevel edge of a microelectronic device structure, said method comprising: positioning the structure in a tool that protects the front side of the structure using nitrogen gas and/or deionized water spray; and contacting the backside and/or bevel edge of the structure with a removal composition of the first or second aspect, wherein the removal composition substantially removes polymer-containing buildup from the backside and/or bevel edge of the microelectronic device substrate. [0103] Following processing, the compositions described herein may be further processed to lower the chemical oxygen demand (COD) of the waste water stream in the fabrication facility. [0104] In another aspect, defoaming agent is added to the waste removal composition after use prior to disposal.

Example 1

[0105] Concentrated removal compositions were prepared as follows: 6.75 wt% NH4C1, 43.6 wt% water, 30 wt% HF (49%), 15 wt% HEDP (60%), 4.5 wt% Dowfax3B2 (45%) (Dowfax3B2 is purchased as a 45 wt% solution and used as is) and 0.15 wt% defoamer, wherein the defoamer was one of Plurafac®RA20 (formulation A), SurfonicCDPl (formulation B), Pluronic®17R2 (formulation C), Pluronic®17R4 (formulation D), or Pluronic®25R2 (formulation E). Each concentrated composition was diluted 2:1 with 30% hydrogen peroxide (i.e., 2 parts concentrate to 1 part 30% H2O2) prior to use.

[0106] Another set of concentrated removal compositions were prepared as follows: 6.75 wt% NH4C1, 47.5 wt% water, 30 wt% HF (49%), 15 wt% HEDP (60%), and 0.75 wt% defoamer, wherein the defoamer was one of Plurafac®RA20 (formulation F), Surfonic®Pl (formulation G), Pluronic®17R2 (formulation H), Pluronic®17R4 (formulation I), or Pluronic®25R2 (formulation J).

Each concentrated composition was diluted 2:1 with 30% hydrogen peroxide (i.e., 2 parts concentrate to 1 part 30% H2O2) prior to use.

[0107] Formulation K includes 6.75 wt% NH4C1, 43.45 wt% water, 30 wt% HF (49%), 15 wt%

HEDP (60%), 4.5 wt% Dowfax3B2 (45%) and 0.6 wt% Pluronic®25R2. Formulation K was diluted

2:1 with 30% hydrogen peroxide (i.e., 2 parts concentrate to 1 part 30% H2O2) prior to use.

[0108] Formulation L includes 6.75 wt% NH4C1, 43. wt% water, 30 wt% HF (49%), 15 wt%

HEDP (60%), 4.5 wt% Dowfax3B2 (45%) and 0.3 wt% Pluronic®25R2. Formulation L was diluted

2:1 with 30% hydrogen peroxide (i.e., 2 parts concentrate to 1 part 30% H2O2) prior to use.

[0109] Blanketed wafers of SiN, TEOS and copper were statically immersed in the formulations diluted with H2O2 at room temperature (21 ± 1 0 C) and the etch rates of each determined. The etch rate results are shown in Table 1 below:

Table 1: Etch rates of SiN, TEOS and copper in Formulations A-C, E, F-H and J diluted with H 2 O 2

[0110] Notably, all of the samples showed similar etch rates of SiN, TEOS and copper. [0111] Foaming tests were performed on the formulations, whereby the formulations were shook in a bottle for 5 seconds at the indicated temperature and the height of the foam above the surface of the solution was measured. The results are shown in Tables 2-4. The control has no defoamer and in its place is additional water.

Table 2: Foaming at room temperature of Formulations A-C, E, F-H and J-K diluted with H 2 O 2

Table 3: Foaming at 40 0 C of Formulations A, B, E and L diluted with H 2 O 2

Table 4: Foaming at 50 0 C of Formulations A, B, E and L diluted with H 2 O 2

[0112] It can be seen that all of the defoaming agents controlled the foaming of the composition to about 1 cm within just 2 minutes.

[0113] Copper loading experiments were also performed. For example, a copper loading equivalent to 1500 wafers having a diameter of 300 mm and a Cu thickness of 5000A on USG can be achieved by submerging one 200 mm wafer having a thickness of 16 kA Cu on USG in 50 g of solution at room temperature for 5 minutes. It was determined that the formulations including Plurafac®RA20, SurfonicCDPl, and Pluronic®25R2 showed the best loading performance at room temperature for the equivalent of 1000 wafers whereby no obvious pits and few particles were observed on processed copper coupons.

Example 2

[0114] Concentrated removal compositions were prepared as follows: 4.5 wt% NH4C1, 20 wt% HF (49%), 10 wt% HEDP (60%), 3.04 wt% Dowfax3B2 (45%), 0.104 wt% Pluronic®25R2, 33.4 wt% H2O2 (30%), additional species at the amount indicated in Table 5, and balance water, wherein the additional species are diethylene glycol monobutyl ether (hereinafter BC), dipropylene glycol monopropyl ether (hereinafter DPGPE), or propylene glycol (hereinafter PG). Foaming height experiments as described in example 1 were performed at room temperature and the results are shown in Table 5.

Table 5: Foaming at room temperature for different removal compositions

I amount of additional solution Foaming Height/cm |

Example 3

[0115] The following formulations were prepared:

Formulation M: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.1 wt% Super Defoamer 225, 33.4 wt% H 2 O 2 (30%), 29 wt% water

Formulation N: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.1 wt% Pluronic®31R2, 33.4 wt% H 2 O 2 (30%), 29 wt% water

Formulation O: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.5 wt% Pluronic®25R2, 33.4 wt% H 2 O 2 (30%), 2 wt% sodium toluene sulfonate, 26.6 wt% water

Formulation P: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.07 wt% Super Defoamer 225, 33.4 wt% H 2 O 2 (30%), 29.03 wt% water

Formulation Q: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.02 wt% Super Defoamer 225, 33.4 wt% H 2 O 2 (30%), 29.08 wt% water

Formulation R: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.07 wt% Super Defoamer 225, 33.4 wt% H 2 O 2 (30%), 0.1 wt% Pluronic®25R2, 28.93 wt% water

Formulation S: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.02 wt% Super Defoamer 225, 33.4 wt% H 2 O 2 (30%), 0.1 wt% Pluronic®25R2, 28.98 wt% water

Formulation T: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.3 wt% Pluronic®31R2, 33.4 wt% H 2 O 2 (30%), 2.5 wt% PG, 26.3 wt% water Formulation U: 4.5 wt% NH 4 Cl, 20 wt% HF (49%), 10 wt% HEDP (60%), 3 wt% Dowfax3B2 (45%), 0.3 wt% Pluronic®31R2, 33.4 wt% H 2 O 2 (30%), 5 wt% PG, 23.8 wt% water

[0116] Foaming height experiments as described in example 1 were performed at room temperature and the results are shown in Table 6.

Table 6: Foaming at room temperature for different removal compositions

Example 4

[0117] Formulation E as described in Example 1 was prepared. It was diluted 2:1 with 30% hydrogen peroxide (i.e., 2 parts concentrate to 1 part 30% H2O2) prior to use. The formulation with H 2 O 2 was loaded with copper ions as indicated in Table 7. Tungsten wafers (about 5600 A thick on a barrier layer about 80 nm thick) were immersed in the compositions at 21 0 C for 5 or 10 minutes, removed and rinsed with DI water and electron micrographs of the wafers obtained.

Table 7: Formulations AB-AE

^ Cu ions were obtained by dissolving 1 200 mm Cu wafer (16.5 kA) in 50 g of formulation E

[0118] Referring to the electron micrographs in Figures 1A-1E, which correspond to the results associated with immersion in Formulations E and AB-AE, respectively, it can be seen that the presence of copper ions at a concentration as low 0.4 wt%, based on the total weight of the composition removed the tungsten layer and the underlying barrier layer completely in just 10 minutes at room temperature.

Example 5

[0119] The following formulations were prepared:

Formulation BA: 40 wt% HF (49%), 0.1 wt% Brij 35, 59.9 wt% water

Formulation BB: 40 wt% HF (49%), 0.5 wt% Brij 35, 59.5 wt% water

Formulation BC: 40 wt% HF (49%), 1 wt% Brij 35, 59 wt% water

Formulation BD: 40 wt% HF (49%), 0.1 wt% PEG-PPG-PEG block copolymer, 59.9 wt% water

Formulation BE: 40 wt% HF (49%), 0.5 wt% PEG-PPG-PEG block copolymer, 59.5 wt% water

Formulation BF: 40 wt% HF (49%), 1 wt% PEG-PPG-PEG block copolymer, 59 wt% water

Formulation BG: 40 wt% HF (49%), 0.1 wt% PPG-PEG-PPG block copolymer, 59.9 wt% water

Formulation BH: 40 wt% HF (49%), 0.5 wt% PPG-PEG-PPG block copolymer, 59.5 wt% water

Formulation BI: 40 wt% HF (49%), 1 wt% PPG-PEG-PPG block copolymer, 59 wt% water

Formulation BJ: 40 wt% HF (49%), 0.1 wt% DDBSA, 59.9 wt% water

Formulation BK: 40 wt% HF (49%), 0.5 wt% DDBSA, 59.5 wt% water

Formulation BL: 40 wt% HF (49%), 1 wt% DDBSA, 59 wt% water

Formulation BM: 40 wt% HF (49%), 0.1 wt% Biosoft S-100, 59.9 wt% water

Formulation BN: 40 wt% HF (49%), 0.5 wt% Biosoft S-100, 59.5 wt% water

Formulation BO: 40 wt% HF (49%), 1 wt% Biosoft S-100, 59 wt% water

[0120] F-20 coupons of BLACK DIAMOND having a k value of greater than or equal to 2.7 (Advantiv, 5000 A) were immersed in Formulations BA-BO for 20 minutes at 70 0 C. Two repeats were performed for each sample on each coupon. At the conclusion of 20 minutes, the chemistry was manually aspirated from the cell and placed in centrifuge tubes for visual analysis. The coupon and the solution were rated (where appropriate) as full film remaining, significant residue, slight residue (barely visible) or all clear. The results using the BLACK DIAMOND k>2.7 are provided in Table 8.

Table 8: Results of immersion of BLACK DIAMOND coupons (k>2.7) in Formulations BA-BO

[0121] It can be seen that the compositions including Brij 35 or PEG-PPG-PEG block copolymers successfully removed all of the BLACK DIAMOND from the coupon and the resulting composition was free of residues. Further, the compositions including DDBSA and Biosoft S-IOO successfully removed all of the BLACK DIAMOND from the coupon.

[0122] Notably, when testing the formulations with a coupon of BLACK DIAMOND having a k value of 2.4, the formulations including PEG-PPG-PEG or DDBSA effectively removed all of the BLACK DIAMOND from the coupon and the resulting composition was free of residues.

Example 6

[0123] The following formulations CA and CB were prepared for COD testing using the COD combustion technique. Specifically, the test determines the quantity of oxygen required to oxidize reduced compounds in a water sample. Oxidizing agents, catalysts and samples were processed for 2 hours at 150 0 C:

Formulation CA: 40 wt% HF (49%), 3 wt% PEG-PPG-PEG block copolymer, 57 wt% water Formulation CB: 40 wt% HF (49%), 5 wt% PEG-PPG-PEG block copolymer, 55 wt% water

[0124] Formulations CA and CB were diluted 250:1, 500:1 and 1000:1 with water and the COD value in mg/L determined. The results are provided in Table 9.

Table 9: COD values for diluted Formulations CA and CB.

I Formulation Dilution COD average (mg/L) |

[0125] To show the usefulness of megasonics in the removal of materials, formulation DA was prepared as follows:

Formulation DA: 20.1 wt% HF, 57.5 wt% butyl carbitol, 1.5 wt% sulfolane, 10 wt% H 2 O 2 , 10.9 wt% water

[0126] p-SiCOH was immersed in formulation DA at 35°C and subjected to megasonics for 10 minutes. For p-SiCOH of k values 3.0, 2.7, 2.4 and 2.2, all of the p-SiCOH was stripped with no remaining residue. Further, the remaining surfaces were smooth. Similarly, formulation DA removed BLACK DIAMOND II from the surface of a wafer in just 10 minutes at 35°C using megasonics.

* * *

[0127] Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features, and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features, and embodiments, within their spirit and scope.