Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
WAFER RECLAMATION COMPOSITIONS AND METHODS
Document Type and Number:
WIPO Patent Application WO/2008/157345
Kind Code:
A2
Abstract:
Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition preferably includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and/or reuse of said structure. Processes include the monitoring and modifying said compositions.

Inventors:
VISINTIN PAMELA (US)
JIANG PING (US)
KORZENSKI MICHAEL (US)
KING MACKENZIE (US)
HAN JIANWEN (US)
HILGARTH MONICA (US)
LIU JUN (US)
ZHOU RENJIE (US)
MINSEK DAVID (US)
Application Number:
PCT/US2008/066906
Publication Date:
December 24, 2008
Filing Date:
June 13, 2008
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ADVANCED TECH MATERIALS (US)
VISINTIN PAMELA (US)
JIANG PING (US)
KORZENSKI MICHAEL (US)
KING MACKENZIE (US)
HAN JIANWEN (US)
HILGARTH MONICA (US)
LIU JUN (US)
ZHOU RENJIE (US)
MINSEK DAVID (US)
International Classes:
C11D7/08; G03F7/42
Foreign References:
US20040224866A1
US20050049157A1
US6586382B1
US5073289A
US20060183654A1
JP2006083376A
JPH07283182A
Attorney, Agent or Firm:
FUIERER, Tristan (Research Triangle Park, North Carolina, US)
Download PDF:
Claims:

THE CLAIMS

What is claimed is:

1. A removal composition, comprising at least one mineral acid, at least one etchant, at least one oxidizing agent, and optionally water, wherein said removal composition is suitable for removing SiC and/or SiC:N from a microelectronic device structure having said material thereon.

2. The removal composition of claim 1, wherein the at least one mineral acid comprises an acid selected from the group consisting of HCl, HNO 3 , H 2 SO 4 , HBr, HI, and HClO 4 .

3. The removal composition of claims 1 or 2, wherein the at least one etchant comprises a fluoride source selected from the group consisting of hydrogen fluoride (HF); xenon difluoride (XeF 2 ); ammonium fluoride (NH 4 F); tetraalkylammonium fluoride (NR 4 F); alkyl hydrogen fluoride (NRH 3 F); ammonium hydrogen bifluoride (NH 5 F 2 ); dialkylammonium hydrogen fluoride (NR 2 H 2 F); trialkylammonium hydrogen fluoride (NR 3 HF); trialkylammonium trihydrogen fluoride (NR 3 : 3 HF); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex; amine hydrogen fluoride complexes and combinations thereof, where R is selected from the group consisting of straight-chained Ci-Ce alkyl groups, branched Ci-Cβ alkyl groups.

4. The removal composition of claim 3, wherein the amine comprises a species selected from the group consisting of pyridine, 2-ethylpyridine, 2-methoxypyridine, 3-methoxypyridine, 2-picoline, pyridine derivatives, dimethylpyridine, piperidine, piperazine, triethylamine, triethanolamine, ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, diglycol amine, monoethanolamine, pyrrole, isoxazole, 1 ,2,4-triazole, bipyridine, pyrimidine, pyrazine, pyridazine, quinoline, isoquinoline, indole, imidazole, N-methylmorpholine-N-oxide (NMMO) , trimethylamine-N- oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N- oxide, N-ethylpyrrolidine-N-oxide, 1-methylimidazole, diisopropylamine, diisobutylamine, aniline, aniline derivatives, and combinations thereof.

5. The removal composition of claims 1 or 2, wherein the at least one oxidizing agent comprises a species selected from the group consisting of hydrogen peroxide, FeCl 3 (both hydrated and unhydrated), oxone, ammonium peroxomonosulfate, ammonium chlorite, ammonium chlorate, ammonium iodate, ammonium perborate, ammonium perchlorate, ammonium periodate, ammonium persulfate, ammonium

hypochlorite, sodium persulfate, sodium hypochlorite, potassium iodate, potassium permanganate, potassium persulfate, nitric acid, potassium persulfate, potassium hypochlorite, tetramethylammonium chlorite, tetramethylammonium chlorate, tetramethylammonium iodate, tetramethylammonium perborate, tetramethylammonium perchlorate, tetramethylammonium periodate, tetramethylammonium persulfate, tetrabutylammonium peroxomonosulfate, peroxomonosulfuric acid, ferric nitrate, urea hydrogen peroxide, peracetic acid, and combinations thereof.

6. The removal composition of claims 1 or 2, further comprising material residue selected from the group consisting of SiC, SiC:N, and combinations thereof.

7. The removal composition of claim 1, comprising H 2 SO 4 , oxone and at least one etchant, wherein the at least one etchant comprises a fluoride source selected from the group consisting of HF, ammonium bifluoride, KF, and combinations thereof.

8. A method of removing silicon carbide from a microelectronic device structure, said method comprising contacting a microelectronic device structure comprising a microelectronic device substrate and SiC with the removal composition of claims 1 or 7 for sufficient time and under sufficient conditions to substantially remove SiC from the microelectronic device structure.

9. A method of using an indicator to monitor the change in concentration of at least one component of a removal composition, said method comprising:

(a) introducing an indicator to a removal composition, wherein the indicator is in a first state, signifying that the removal composition is useful to substantially remove at least one material from a microelectronic device structure;

(b) introducing the microelectronic device structure to the removal composition; and

(c) monitoring the indicator, wherein a transition of the indicator to a second state signifies a change in concentration of at least one component of the removal composition.

10. The method of claim 9, wherein the indicator comprises a photonic crystal, a colorant, or combinations thereof.

11. The method of claim 10, wherein the colorant comprises an oxidation-reduction indicator, an acid-base indicator, or combinations thereof.

12. The method of claim 9, wherein the first state of the indicator may be colorless, a first color in the visible spectrum, or a first wavelength in the ultraviolet spectrum, wherein the second state of the indicator may be colorless, a second color in the visible spectrum, or a second wavelength in the ultraviolet spectrum, and wherein the first state and the second state are not the same.

13. The method of claim 9, wherein the material to be removed is selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof.

14. The method of claim 9, further comprising adding an aliquot of the at least one component to the removal composition.

15. The method of claim 9, wherein the indicator is provided as a dye additive or an indicator strip.

16. The method of claim 10, wherein the photonic crystals comprise a reducing agent.

17. The method of claim 9, wherein the second state corresponds to an endpoint of the removal composition.

18. A process for reducing evaporation of components from a removal composition, said method comprising layering evaporation-reducing materials on a container having said removal composition disposed therein to minimize evaporation, wherein said removal composition is suitable for removing at least one material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof from a microelectronic device structure having said material thereon.

19. The process of claim 18, wherein the evaporation-reducing materials float on the removal composition disposed in said container.

20. The process of claims 18 or 19, wherein the evaporation-reducing materials comprise

polytetrafluoroethylene.

21. A removal composition, comprising at least one etchant, water, and an indicator, wherein said composition is further characterized by comprising at least one of the following components (I) or (II):

(I) at least one amine; or

(II) at least one organic solvent, and wherein said removal composition is suitable for removing at least one material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectric material, suicide material, nitride material, oxide material, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof from a microelectronic device structure having said material thereon.

22. The composition of claim 21, wherein the indicator comprises a species selected from the group consisting of Malachite green oxalate, Crystal violet, Methyl violet 2B, Ethyl violet, New fuchsin, Victoria blue B, Victoria pure blue BO, Toluidine blue O, Luxol brilliant green BL, Disperse blue 1, Brilliant blue R, Victoria R, Quinea green B, Thionin, Meldolas blue, Methylene green, Lissamine green B, Alkali blue 6B, Brilliant green, Spirit soluble HLK BASF, Victoria green S extra, Acid violet 17, Eriochrome black T, Eriochrome blue black B, D & C green no. 2, Spirit soluble fast RR, Spirit soluble fast red 3B, D & C red no. 22, Nitro red, Congo red, Cresol red, Brilliant cresyl blue ALD, Arsenazo 1, Basic red 29, Bismarck brown R, Methylene violet, Methylene violet 3RAX, Mordant brown 1, Reactive black 5, Mordant brown 48, Acid brown AX987, Acid violet AX990, Basic red 15, Mordant red 19, Bromopyrogallol red, and combinations thereof.

23. A method for removing material from a microelectronic device having same thereon, said method comprising:

(a) contacting the microelectronic device with a removal composition for sufficient time at a first temperature to substantially remove at least one material from the microelectronic device; and

(b) contacting the microelectronic device with a rinse composition for sufficient time at a second temperature to substantially remove residue buildup from the microelectronic device, wherein the first temperature is less than the second temperature.

24. The method of claim 23, wherein the method further comprises at least one additional process selected from the group consisting of: rinsing the microelectronic device with additional solvent at a third

temperature; drying the microelectronic device; polishing the microelectronic device; and combinations thereof, wherein the third temperature is less than the second temperature.

Description:

WAFER RECLAMATION COMPOSITIONS AND METHODS

FIELD

[0001] The present invention relates to broadly to compositions and processes useful for the removal of material layers, e.g., low-k dielectrics, from a substrate or article having said material thereon, for reclaiming, reworking, recycling and/or reuse of said substrate or article, and to products manufactured using same, and to methods of monitoring and modifying said compositions.

DESCRIPTION OF THE RELATED ART

[0002] The escalating requirements for performance associated with high density, ultra large scale integration (ULSI) semiconductor wiring have increasingly required the use of low dielectric constant (low-k) insulating layers to increase signal transport speeds as device sizes have decreased. [0003] Typical low-k materials include carbon doped oxides (CDO) deposited using commercially available precursors such as SiLK™, AURORA™, CORAL™, or BLACK DIAMOND™, for example using the proprietary BLACK DIAMOND™ process. Such CDO's are typically formed using chemical vapor deposition (CVD) processes from organosilane and organosiloxane precursors. CVD carbon doped oxide low-k dielectrics typically consist of a porous, low density material having an overall dielectric constant less than about 3.2 and are used in a variety of semiconductor structures, typically by forming multiple layers of the CDO's within which other semiconductor structures, such as metal interconnect lines and vias, are formed. For example, CDO's may be used as dielectric insulating layers (inter-metal dielectric (IMD) layers), capping layers and/or as gap filling material for certain structures. [0004] Frequently, a microelectronic device wafer, for example a silicon semiconductor wafer, must be scrapped and hopefully recycled following the unacceptable processing of a layer during a multi-layer device manufacturing process or qualification process. Any number of processing problems may occur, for example, the non-uniform deposition of a layer or a subsequent etching error. A number of quality control testing methods are performed following selected processing steps whereby the acceptability of the semiconductor wafer may be rejected and "scrapped" for various reasons resulting in a significant non-productive cost.

[0005] The prior art practice has been to send the rejected or scrapped process wafers to wafer suppliers for processing, whereby a material layer, e.g., dielectric layers such as CDO layers, are removed from the semiconductor wafer using chemical and mechanical methods for reuse of said wafer. Following the successful removal of dielectric layers and other features overlying the wafer, the wafer is

recycled or reused in a new multi-layer semiconductor device manufacturing process. As semiconductor wafer manufacturing moves to larger diameter wafers, for example 12 inch wafers, scrapping and recycling a process wafer off-site becomes increasingly more unattractive because of the high nonproductive cost.

[0006] There is a need in the art to develop a process whereby materials, e.g., low-k dielectric layers, including CDO layers, may be removed from microelectronic devices, e.g., semiconductor wafers, using improved compositions, said compositions being compatible with existing manufacturing processes. Preferably, the process of using said compositions to remove materials, e.g., low-k dielectric layers, from the microelectronic devices does not require a high energy-consuming oxidizing step. [0007] Towards that end, an improved composition and process is provided whereby at least one material, e.g., metal stack materials, etch stop layers, photoresist, barrier layers, and/or dielectric layers, including high-k and low-k layers, may be removed from microelectronic device structures for reclaiming, reworking, recycling, and/or reuse of said structures, whereby the compositions and processes are compatible with existing manufacturing processes and components. Importantly, the underlying device substrate, e.g., silicon, is preferably undamaged by said removal composition. [0008] In addition to the removal of the material layers while concurrently minimizing the damage to the underlying substrate material, compositions may be formulated to comply with local environmental requirements. For example, high fluoride concentrations and high organic solvent concentrations may make a composition difficult to use in high volume manufacturing due to wastewater disposal issues. Depending on the level of chemical oxygen demand (COD) of the formulation, whereby the COD of a solution is a measure of the amount of organic compounds that can be fully oxidized to carbon dioxide in the presence of a strong oxidant under acidic conditions, the formulation may not be allowed in the facility wastewater for direct return to the environment. For example, in Switzerland, the COD of a wastewater sample must be reduced to between 200 and 1000 mg/L before wastewater or industrial water can be returned to the environment (Pupunat, L., Sollberger, F., Rychen, P., "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/p56.pdf).

[0009] If the wastewater contains only fluoride sources (without organic solvent), a fluoride treatment system may be employed to remove the fluoride from wastewater first, and then the water may be discharged to the environment. If the wastewater contains only organic solvent(s) (without fluoride source), an organic disposal system, such as an incinerator, may be employed. Disadvantageously, incineration systems may not accept wastewater samples containing high fluoride concentrations because the fluoride source may damage the incinerator materials of construction. [0010] Accordingly, in addition to providing an improved composition and process for the removal

of at least one material from microelectronic device structures for reclaiming, reworking, recycling, and/or reuse of said structures, the composition and/or process of using said composition preferably complies with local regulatory standards associated with the disposal of said composition.

SUMMARY

[0011] The present invention broadly relates to compositions useful for the removal of at least one material, e.g., dielectric and/or other material layers, from a microelectronic device structure having said material thereon, for reclaiming, reworking, recycling, and/or reuse of said microelectronic device structure, methods of monitoring, modifying and using said removal compositions, and products or intermediate products manufactured using the same.

[0012] In one aspect, a removal composition is described, said removal composition comprising at least one etchant and water, wherein said composition is further characterized by comprising one of the following components (I) and (II):

(I) at least one amine; or

(II) at least one organic solvent, wherein said removal composition is suitable for removing at least one removable material from a microelectronic device having said material thereon. In one embodiment, the removal composition may further comprise an indicator.

[0013] In another aspect, a method of recycling a microelectronic device structure is described, said method comprising: contacting a microelectronic device structure comprising a microelectronic device substrate and at least one removable material with a removal composition for sufficient time and under sufficient conditions to substantially remove at least one removable material from the microelectronic device structure to yield a reclaimed microelectronic device substrate.

[0014] In yet another aspect, a method for removing material from a microelectronic device having same thereon is described, said method comprising:

(a) contacting the microelectronic device with a removal composition for sufficient time at a first temperature to substantially remove at least one removable material from the microelectronic device; and

(b) contacting the microelectronic device with a rinse composition for sufficient time at a second temperature to substantially remove residue buildup from the microelectronic device, wherein the first temperature is less than the second temperature.

[0015] In another aspect, the a removal composition is described, said removal composition comprising at least one mineral acid, at least one etchant, at least one oxidizing agent, and optionally

water, wherein said removal composition is suitable for removing SiC and/or SiC :N from a microelectronic device structure having said material thereon.

[0016] In still another aspect, a process for reducing evaporation of components from a removal composition is described, said process comprising layering evaporation-reducing materials on a container having said removal composition disposed therein to minimize evaporation, wherein said removal composition is suitable for removing at least one removable material from a microelectronic device structure having said material thereon.

[0017] In still another aspect, a kit is described, said kit comprising a base formulation in a first container and at least one additive in a second container, wherein the second container is combined with the first container at the point of use to produce a removal composition that may substantially remove at least one removable material from a microelectronic device structure to yield a recyclable or reusable microelectronic device substrate.

[0018] Another aspect relates to a method of using an indicator to monitor the change in concentration of at least one component of a removal composition, said method comprising:

(a) introducing an indicator to a removal composition, wherein the indicator is in a first state, signifying that the removal composition is useful to substantially remove at least one removable material from a microelectronic device structure;

(b) introducing the microelectronic device structure to the removal composition; and

(c) monitoring the indicator, wherein a transition of the indicator to a second state signifies a change in concentration of at least one component of the removal composition.

The first state of the indicator may be colorless, a first color in the visible spectrum, or a first wavelength in the ultraviolet spectrum. The second state of the indicator may be colorless, a second color in the visible spectrum, or a second wavelength in the ultraviolet spectrum, and the first state and the second state are not the same.

[0019] Yet another aspect relates to a method of removing conductive ink from a microelectronic device structure having same thereon, said method comprising: analyzing the conductive ink to determine the compositional makeup; and combining components to form a removal composition to substantially remove the conductive ink from a microelectronic device structure.

[0020] Still another aspect relates to a salt bridge comprising a tube, a capillary and electrolytic gel, wherein the tube is cylindrical along one axis, the capillary emerges from a first end of the tube, and a second end of the tube accommodates a reference electrode, and wherein the electrolytic gel fills the capillary and at least a portion of the tube. [0021] Other aspects, features and embodiments of the invention will be more fully apparent from

the ensuing disclosure and appended claims.

BRIEF DESCRIPTION OF THE DRAWINGS

[0022] Figure IA illustrates a salt bridge of the invention having a micropipette tip Luggin capillary.

[0023] Figure IB illustrates a salt bridge of the invention having a curved Luggin capillary having a uniform inner diameter.

[0024] Figure 1C illustrates a salt bridge of the invention having a straight Luggin capillary having a uniform inner diameter.

[0025] Figure 2 illustrates the salt bridge of the invention in use in a corrosion determination experiment.

DETAILED DESCRIPTION

[0026] The present invention generally relates to removal compositions and processes useful for the removal of at least one material layer (e.g., dielectric materials (both high-k and low-k), metal stack materials, etch stop layers, barrier layer materials, suicides, ferroelectrics, photoresist, anti-reflective coatings, post-etch residue, etc.), from a microelectronic device structure having said material thereon, for reclaiming, reworking, recycling and/or reuse of said microelectronic device structure. Said reclaiming, reworking, recycling, and/or reuse may be off-site or in-house.

[0027] "Microelectronic device" corresponds to semiconductor substrates, solar cells (photovoltaics), flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the terms "microelectronic device," "microelectronic substrate" and "microelectronic device structure" are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly. The microelectronic device can be patterned, blanketed, a control and/or a test device. A "rejected microelectronic device" structure is intended to capture all structures that can be reclaimed, reworked, and/or cleaned according to the methods of the invention.

[0028] The "microelectronic device structure" includes a "microelectronic device substrate" with at least one material thereon, where the at least one material is compositionally or crystallographically different than the microelectronic device substrate. As defined herein, "microelectronic device substrate" corresponds to any substrate including, but not limited to: bare silicon; polysilicon; germanium; III/V compounds such as aluminum nitride, gallium nitride, gallium arsenide, indium phosphide; titanites; II/IV

compounds; II/VI compounds such as CdSe, CdS, ZnS, ZnSe and CdTe; silicon carbide; sapphire; silicon on sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; poly-crystalline silicon (doped or undoped); mono-crystalline silicon (doped or undoped); amorphous silicon, copper indium (gallium) diselenide; and combinations thereof. The "material" or "material layer(s)" may include, but is/are not limited to, at least one substance selected from the group consisting of doped epitaxial silicon, undoped epitaxial silicon, post-etch residue, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, a ferroelectric, a suicide, a nitride, an oxide, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof. At least one of the material layers may be doped with at least one ion-implanted ion such as boron, phosphorus and arsenic. As defined herein, "miscellaneous materials" include molybdenum-containing materials, lanthanum-containing materials, rhodium-containing materials, manganese-containing materials such as MnO x , carbon nanotubes, SrTiO 3 , ZrO 2 , YVO 4 , LiNbO 3 , TeO 3 , and combinations thereof. [0029] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0030] As used herein, the term "semi-aqueous" refers to a mixture of water and organic components. The semi-aqueous removal compositions must not substantially damage the layer to be retained located adjacent to the material to be removed using said composition. Depending on the desired results, the "retained layers" may consist of just the microelectronic device substrate (with the doped or undoped epitaxial silicon layer if originally deposited thereon). Alternatively, depending on the desired results, e.g., reclaiming or reworking, "retained layers" may include the microelectronic device substrate as well as at least one material selected from the group consisting of the doped epitaxial silicon, undoped epitaxial silicon, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, doped regions, a ferroelectric, a suicide, a nitride, an oxide, miscellaneous materials, and combinations thereof. "Not substantially damaging] the layer to be retained located adjacent to the material removed" means that less than 100 A of retained layers are removed, more preferably less than 50 A, even more preferably less than 20 A, even more preferably less than 10 A, and most preferred less than 1 A of the retained layers are removed using the compositions of the invention. It is to be understood by one skilled in the art that a "layer" may be a blanketed layer or a patterned layer. Notably, the "removable materials/layers" are selected from the group consisting of post-etch residue, low-k dielectric, a high-k dielectric, an etch stop material, a metal stack material, a barrier layer material, a ferroelectric, a suicide, a nitride, an oxide, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions (not including the doped epitaxial layer), and combinations thereof. [0031] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric

material in a layered microelectronic device, wherein the material has a dielectric constant less than about 4.0. Preferably, the low-k dielectric material includes low-polarity materials such as silicon oxide, silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), and carbon-doped oxide (CDO) glass. For purposes of this description, low-k dielectric material further includes silicon nitride materials. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities. [0032] As defined herein, "metal stack materials" and "metals" correspond to: tantalum, tantalum nitride, titanium nitride, titanium, nickel, cobalt, tungsten, and suicides thereof; copper-containing layers; aluminum-containing layers; Al/Cu layers; alloys of Al; alloys of Cu; cobalt-containing layers such as CoWP and CoWBP; gold-containing layers; Au/Pt layers; hafnium oxides; hafnium oxysilicates; zirconium oxides; lanthanide oxides; titanates; nitrogen-doped analogues thereof; ruthenium; iridium; cadmium; lead; selenium; silver; MoTa; and combinations and salts thereof on the microelectronic device. [0033] As defined herein, "high-k dielectric" materials correspond to: hafnium oxides (e.g., HfO 2 ); zirconium oxides (e.g., ZrO 2 ); hafnium oxysilicates; hafnium silicates; zirconium silicates; titanium silicates; aluminum oxides; lanthanum-doped analogous thereof (e.g., LaAlOs); aluminum silicates; titanates (e.g., Ta 2 O 5 ); oxides and nitrides of hafnium and silicon (e.g., HfSiON); lanthanum-doped analogues thereof (e.g., HFSiON (La)); barium strontium titanate (BST); oxides of hafnium and aluminum (e.g., Hf x ALO 2 ); strontium titanate (SrTiOs); barium titatnate (BaTiOs); and combinations thereof.

[0034] As defined herein, "barrier layer material" corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g. copper, into the dielectric material. Preferred barrier layer materials include silicon-rich nitrides, silicon-rich oxynitrides, tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and suicides.

[0035] As defined herein, "ferroelectrics" include, but are not limited to: barium titanate (BaTiO 3 ); lead titanate (PbTiO 3 ); lead zirconate titanate (PZT); lead lanthanum zirconate titanate (PLZT); lead magnesium niobate (PMN); Potassium Niobate (KNbO 3 ); Potassium Sodium Niobate (K x Na Lx NbO 3 ); Potassium Tantalate Niobate (K(Ta x Nb Lx )O 3 ); Lead niobate (PbNb 2 O 6 ); bismuth titanate (Bi 4 Ti 3 Oi 2 ); lead bismuth niobate (PbBi 2 Nb 2 O 9 ); lithium niobate (LiNbO 3 ); lithium tantalate (LiTaO 3 ); strontium bismuth tantalate; strontium bismuth tantalate niobate; strontium tantalite; strontium titanate; and combinations and salts thereof.

[0036] As defined herein, "etch stop layers" include silicon carbide (SiC), silicon carbon nitride (SiCN), silicon carbon oxide (SiCO), silicon oxynitride (SiON), copper, silicon germanium (SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs, and combinations and salts thereof.

[0037] As defined herein, "oxides" include any of the oxide compounds defined in the other layers as well as piezoelectrics such as (Pb,Sr)(Zr,Ti)θ3, pyroelectrics such as (Pb,Ca)(Zr,Ti)θ3, superconductors such as YBCO, electrodes such as indium tin oxide, thermal barrier materials such as Zrθ 2 , Ceθ 2 , Y 2 O3, MgO, AI 2 O3, and Siθ 2 , optical coatings such as Tiθ 2 , Ta 2 O 5 , Y 2 O3, and SC 2 O3, and conductive membranes such as La ( i_ x) Sr x Ga ( i_ y) M y θ3 where M = Fe, Co, Ni, La ( i_ x) Sr x MnO 3 , and La (i _ X) Ca x MnO 3 .

[0038] As defined herein, "polymer-containing buildup" corresponds to the material that builds up on the backside and the bevel edge of the microelectronic device substrate during manufacturing and includes any of the materials deposited on the microelectronic device to that point including, but not limited to, low-k dielectric, a high-k dielectric, etch stop material, metal stack material, barrier layer material, ferroelectrics, suicides, nitrides, oxides, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), miscellaneous materials, dopants, and combinations thereof. [0039] As used herein, "reclaiming" the microelectronic device structure corresponds to the substantial removal of at least one material adjacent to a layer(s) to be retained without substantially damaging the layer(s) to be retained, wherein said material(s) to be removed include, but are not limited to, post-etch residue, etch stop-layers, metal stack materials, barrier layer materials, ferroelectrics, suicides, nitrides, oxides, dielectrics (low-k and/or high-k), polymer-containing buildup, doped regions (not including the doped epitaxial layer), and combinations thereof. The layer(s) to be retained are selected from the group consisting of a microelectronic device substrate, doped epitaxial silicon, undoped epitaxial silicon, etch stop-layers, metal stack materials, barrier layer materials, ferroelectrics, suicides, nitrides, dielectrics (low-k and/or high-k), doped regions, and combinations thereof. Reclaiming may be performed off-site or in-house. It is to be appreciated that the material to be removed and the layer to be retained cannot be the same substance. For example, the material to be removed may include low-k dielectric material and the layer to be retained may be the microelectronic device substrate. It is to be appreciated that one skilled in the art, using this disclosure, can determine which composition and process may be use to remove specific materials while retaining specific layers.

[0040] As defined herein, "substantial removal" or "substantially remove" correspond to the removal of at least 90 wt.% of the material(s) desired to be removed, more preferably, at least 95 wt.%, even more preferably, at least 97 wt.%, even more preferably, at least 98 wt.%, and most preferably at least 99 wt.%. [0041] As used herein, "reworking" the microelectronic device structure corresponds to the substantial removal of at least one of photoresist material, anti-reflective coating (ARC), polymer- containing buildup, post-etch residue, electroplated copper, and combinations thereof, subsequent to lithographic development and failure of a quality control test. Alternatively, reworking includes the removal of polymer-containing buildup on the backside and/or bevel edge of the microelectronic device

structure. Reworking may be performed off-site or in-house. Subsequent to reworking, the microelectronic device structure may be recoated, baked, and re-patterned according to photolithographic techniques known in the art.

[0042] As defined herein, an "endpoint" corresponds to a range whereby the removal composition is no longer efficiently and productively removing the removable materials from the rejected microelectronic device. The endpoint can be the result of many different factors including, but not limited to, a saturated (e.g., loaded) removal composition, and/or the exhaustion of one or more components of the removal composition.

[0043] As defined herein, "recycling" is defined as reclaiming and reusing or reworking and reusing the retained layers of the microelectronic device subsequent to material removal as described herein. For example, the recycled microelectronic device may be reintroduced into the fabrication processing stream, may be used as a control or test device, or may be used in an unrelated process.

[0044] As defined herein, "substantial elimination" of pitting refers to a decrease in pitting relative to that typically observed using other removal compositions. Preferably, the extent of pitting is less than 10 % of what is observed using other removal compositions, more preferably less than 5%, and most preferably less than 2 %.

[0045] It is to be understood that the microelectronic device structure to be reclaimed includes a substrate selected from the group consisting of bare silicon; polysilicon; germanium; III/V compounds such as gallium nitride, gallium arsenide, indium phosphide; titanites; II/IV compounds; II/VI compounds such as CdSe, CdS, ZnS, ZnSe and CdTe; silicon carbide; sapphire; silicon on sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; and combinations thereof, and can be any diameter or thickness conventionally used in the art. For example, substrate diameters conventionally used in the art include 200 mm, 300 mm, 4 inch, 6 inch, and in the future 450 mm. A 300 mm substrate has a thickness of 750 μm, and the thickness of the remaining substrates is directly proportional to the diameter relative to the 300 mm substrate.

[0046] The requirements of a successful reclamation include, but are not limited to, zero or negligible front-side, bevel edge, and/or backside silicon pitting; less than 25 particles at 0.25 μm, less than 50 particles at 0.12 μm, or less than 100 particles at 0.09 μm, a total thickness variation (TTV) of less than about 5 μm, a surface metal contamination of less than 1 x 10 10 atoms cm "2 ; and/or the thickness of a reclaimed substrate (devoid of any other retained layers) is within 5 %, preferably within 2%, and most preferably within 1%, of the thickness of the original substrate. As defined herein, "total thickness variation" corresponds to the absolute difference between the maximum and the minimum thickness of a microelectronic device wafer as determined using a thickness scan or series of point thickness measurements known in the art.

[0047] The requirements of a successful wafer rework include, but are not limited to, the substantial removal of photoresist, polymeric-containing buildup, and/or electroplated copper from the outermost edge and backside of the device substrate without substantial damage to the layer(s) to be retained, which reduces particle and metal contamination during subsequent processing.

The Removal Compositions

[0048] Compositions may be embodied in a wide variety of specific formulations, as hereinafter more fully described.

[0049] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed. [0050] In a first aspect, removal compositions useful in removing one or more of post-etch residue, low-k dielectric, high-k dielectric, barrier layer material, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, doped regions and/or miscellaneous materials from the surface of a microelectronic device structure for reclaiming or reworking of said microelectronic device substrate are described, and methods of making and using the same. The removal compositions of the first aspect will also usefully remove SiCN. The compositions of the first aspect may comprise, consist of or consist essentially of an etchant source, wherein the etchant source is preferably a fluoride source such as hydrofluoric acid (HF).

[0051] In one embodiment of the first aspect, the compositions may comprise, consist of, or consist essentially of at least one amine species, at least one etchant, optionally at least one organic solvent, optionally at least one additional acid species, optionally at least one chelating agent, and optionally water, present in the following ranges, based on the total weight of the composition: component % by weight amine(s) about 0.1% to about 70.0% etchant(s) about 0.01% to about 70.0% optional organic solvent(s) 0 to about 80.0% optional additional acid(s) 0 to about 80% optional chelating agent(s) O to about 10% optional water 0 to about 90%

[0052] In general, the specific proportions and amounts of amine(s), etchant source(s), optional organic solvent(s), optional additional acid(s), optional chelating agent(s), and optional water, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the

material(s) to be removed and/or processing equipment, as readily determinable within the skill of the art without undue effort.

[0053] Compositions of the first aspect have a pH value in a range from about 1 to about 7, more preferably about 2.5 to about 4.5, most preferably about 3 to about 3.5, when diluted 20:1 with deionized water.

[0054] The etchant may include, but is not limited to, fluorides, amines, and/or hydroxide salts including at least one of: hydrogen fluoride (HF); xenon difluoride (XeF 2 ); ammonium fluoride (NH 4 F); tetraalkylammonium fluoride (NR 4 F); alkyl hydrogen fluoride (NRH 3 F); ammonium hydrogen bifluoride (NH 5 F 2 ); dialkylammonium hydrogen fluoride (NR 2 H 2 F); trialkylammonium hydrogen fluoride (NR 3 HF); trialkylammonium trihydrogen fluoride (NR 3 :3HF); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex; amine hydrogen fluoride complexes, where R may be the same as or different from one another and is selected from the group consisting of straight-chained or branched Ci-C 6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl) and where the amine includes straight-chained or branched Ci-C 20 alkylamines, substituted or unsubstituted C 6 -Ci 0 arylamines, glycolamines, alkanolamines, and amine -N-oxides including, but not limited to: pyridine; 2-ethylpyridine; 2-methoxypyridine and derivatives thereof such as 3-methoxypyridine; 2-picoline; pyridine derivatives; dimethylpyridine; piperidine; piperazine; triethylamine; triethanolamine; ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, diglycol amine; monoethanolamine; pyrrole; isoxazole; 1 ,2,4-triazole; bipyridine; pyrimidine; pyrazine; pyridazine; quinoline; isoquinoline; indole; imidazole; N-methylmorpholine-N-oxide (NMMO); trimethylamine-N- oxide; triethylamine-N-oxide; pyridine-N-oxide; N-ethylmorpholine-N-oxide; N-methylpyrrolidine-N- oxide; N-ethylpyrrolidine-N-oxide; 1 -methylimidazole; diisopropylamine; diisobutylamine; aniline; aniline derivatives; and combinations thereof. Alternatively, the etchant may comprise a hydroxide salt including, but not limited to, an alkali hydroxide, an alkaline earth metal hydroxide, a quaternary amine hydroxide, and combinations thereof. Preferably, the etchant comprises hydrogen fluoride. [0055] The amine species may include, but are not limited to, straight-chained or branched Ci-C 20 alkylamines, substituted or unsubstituted C 6 -Ci 0 arylamines, glycolamines, alkanolamines, and amine-N- oxides including, but not limited to, pyridine; 2-ethylpyridine; 2-methoxypyridine and derivatives thereof such as 3-methoxypyridine; 2-picoline; pyridine derivatives; dimethylpyridine; piperidine; piperazine; triethylamine; triethanolamine; ethylamine; methylamine; isobutylamine; tert-butylamine; tributylamine; dipropylamine; dimethylamine; diglycol amine; monoethanolamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; pyrimidine; pyrazine; pyridazine; quinoline; isoquinoline; indole; imidazole; N- methylmorpholine-N-oxide (NMMO); trimethylamine-N-oxide; triethylamine-N-oxide; pyridine-N- oxide; N-ethylmorpholine-N-oxide; N-methylpyrrolidine-N-oxide; N-ethylpyrrolidine-N-oxide; 1-

methylimidazole; diisopropylamine; diisobutylamine; aniline; aniline derivatives; polyamines; and combinations thereof. Preferably, the amine species comprises isoxazole, TAZ, or combinations thereof. [0056] Alternatively, the amine species may comprise a combined amine -hydrogen fluoride salt. Accordingly, the removal compositions of the present invention may include at least one amine -hydrogen fluoride salt, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one chelating agent, and optionally water. Amine-hydrogen fluoride salts are non-volatile and as such, changes in the solution pH due to evaporation of the amine species is avoided. Amine-hydrogen fluoride salts contemplated herein include, but are not limited to, any of the above-enumerated amines in combination with HF to form an amine-hydrogen fluoride salt. Preferably, the amine-hydrogen fluoride salt species, when used, comprises isoxazole:HF and/or NMM0:HF. It is to be appreciated that the mole ratio of amine: hydrogen fluoride salt may vary from about 1:1 to about 20:1 depending on the conditions of the reaction and the nature of the low-k dielectric material to be removed.

[0057] Water may be included in the compositions of the first aspect in part because of its ability to solubilize the fluoride species. Preferably, the water is deionized.

[0058] The organic solvent(s), when present, serve as a solvent, assist in the penetration and dissolution of organic residues, wet the surface of the microelectronic device structure to facilitate material removal and/or passivate the underlying adjacent materials (e.g., the microelectronic device substrate). Organic solvents contemplated herein include, but are not limited to, alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, glycol ethers, amines, ketones, aldehydes, alkanes, alkenes, alkynes, and amides, more preferably alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, and glycol ethers such as methanol, ethanol, isopropanol, butanol, and higher alcohols (including diols, triols, etc.), 2,2,3, 3,4,4,5,5-octafluoro-l-pentanol, lH,lH,9H-perfluoro-l-nonanol, perfluoroheptanoic acid, 1 H, 1 H,7H-dodecafluoro- 1 -heptanol, perfluoropentanoic acid, 1 H, 1 H, 8H,8H-dodecafluoro- 1 ,8 -octanediol, 2,2,3,3,4,4,5, 5-octafluoro-l,6-hexanediol, 5H-perfluoropentanoic acid, n-butyl heptafluorobutyrate, tetrahydrofuran (THF), N-methylpyrrolidinone (NMP), cyclohexylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, methyl formate, dimethyl formamide (DMF), dimethylsulfoxide (DMSO), tetramethylene sulfone (sulfolane), diethyl ether, phenoxy-2-propanol (PPh), propriopheneone, ethyl lactate, ethyl acetate, ethyl benzoate, acetonitrile, acetone, ethylene glycol, propylene glycol, dioxane, butyryl lactone, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, amphiphilic species (diethylene glycol monomethyl ether, Methylene glycol monomethyl ether, diethylene glycol monoethyl ether, Methylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), Methylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol

methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n- propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof), branched fluorinated or non-fluorinated ether-linkage carboxylic acids (CH 3 CH 2 ) n O(CH 2 ) m COOH, where n = 1 to 10 and m = 1 to 10), unbranched fluorinated or non- fluorinated ether-linkage carboxylic acids (CH 3 CH 2 ) n O(CH 2 ) m COOH, where n = 1 to 10 and m = 1 to 10), branched fluorinated or non-fluorinated non-ether linkage carboxylic acids (CH 3 (CH 2 ) n COOH, where n = 1 to 10), unbranched fluorinated or non-fluorinated non-ether linkage carboxylic acids (CH 3 (CH 2 ) n COOH, where n = 1 to 10), dicarboxylic acids, tricarboxylic acids, and combinations thereof. In addition, the solvent may comprise other amphiphilic species, i.e., species that contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups and the hydrophilic properties may generally be imparted by inclusion of either ionic or uncharged polar functional groups. Preferably, the organic solvent comprises sulfolane, butyl carbitol, dipropylene glycol propyl ether, or mixtures thereof.

[0059] The optional additional acid(s) assist in breaking up and solubilizing the cross-linked polymer bonds in the low-k dielectric material. The additional acids may be organic and/or inorganic and include, but are not limited to, boric acid, oxalic acid, succinic acid, citric acid, lactic acid, acetic acid, trifluoroacetic acid, tetrafluoroboric acid, hydrofluoric acid, hydrochloric acid, formic acid, fumaric acid, acrylic acid, malonic acid, maleic acid, malic acid, L-tartaric acid, methyl sulfonic acid, trifluoromethanesulfonic acid, iodic acid, mercaptoacetic acid, thioacetic acid, glycolic acid, sulfuric acid, nitric acid, propynoic acid, pyruvic acid, acetoacetic acid, and combinations thereof. [0060] Chelating agent(s) may be added to reduce or eliminate metal contaminating species on the surface of the device during wafer reclamation. Chelating agent(s) contemplated herein include, but are not limited to: β-diketonate compounds such as acetylacetonate, l,l,l-trifluoro-2,4-pentanedione, and l,l,l,5,5,5-hexafluoro-2,4-pentanedione; carboxylates such as formate and acetate and other long chain carboxylates; and amides (and amines), such as bis(trimethylsilylamide) tetramer. Additional chelating agents include amines and amino acids (i.e. glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine), citric acid, acetic acid, maleic acid, oxalic acid, malonic acid, succinic acid, phosphonic acid, phosphonic acid derivatives such as hydroxyethylidene diphosphonic acid (HEDP), 1 -hydroxy ethane- 1,1 -diphosphonic acid, nitrilo-tris(methylenephosphonic acid), nitrilotriacetic acid, iminodiacetic acid, etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), and (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6-thithiol trisodium salt solution, 1,3,5-

triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates (R 1 (CH 2 CH 2 O) 2 NR 2 CS 2 Na) with one alkyl group (R 2 = hexyl, octyl, deceyl or dodecyl) and one oligoether (R^CH 2 CH 2 O) 2 , where R 1 = ethyl or butyl), ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, 2-hydroxypyridine 1 -oxide, ethylendiamine disuccinic acid, sodium triphosphate penta basic, and combinations thereof. Unlike non-fluorinated beta-diketones, which may need to be combined with a base to form a deprotonated compound capable of chelation, fluorinated beta- diketone chelating agents can be used in the absence of a base. The chelating agent may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. It is further contemplated that in addition to chelating agent(s), other components may be added to the composition to dilute, maintain and/or increase the concentration of other components in the composition.

[0061] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, oxidants, etc. For example, about 0.01 wt. % to about 10 wt. % surfactant may be added to the removal composition of the first aspect of the invention. Surfactants contemplated include nonionic, anionic, cationic (based on quaternary ammonium cations) and/or zwitterionic surfactants. For example, suitable non-ionic surfactants may include fluoroalkyl surfactants, ethoxylated fluorosurfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, and alkylphenol polyglycidol ether, as well as combinations comprising at least one of the foregoing. In a preferred embodiment, the nonionic surfactant may be an ethoxylated fluorosurfactant such as ZONYL® FSO-100 fluorosurfactant (DuP ont Canada Inc., Mississauga, Ontario, Canada). Anionic surfactants contemplated in the compositions of the present invention include, but are not limited to, fluorosurfactants such as ZONYL® UR and ZONYL® FS-62 (DuPont Canada Inc., Mississauga, Ontario, Canada), sodium alkyl sulfates, ammonium alkyl sulfates, alkyl (Ci 0 -Ci 8 ) carboxylic acid ammonium salts, sodium sulfosuccinates and esters thereof, e.g., dioctyl sodium sulfosuccinate, alkyl (C I0 -C I8 ) sulfonic acid sodium salts, and the di-anionic sulfonate surfactants DowFax (The Dow Chemical Company, Midland, Mich., USA). Cationic surfactants contemplated include alkylammonium salts such as cetyltrimethylammonium bromide (CTAB) and cetyltrimethylammonium hydrogen sulfate. Suitable zwitterionic surfactants include ammonium carboxylates, ammonium sulfates, amine oxides, N-dodecyl-N,N-dimethylbetaine, betaine, sulfobetaine, alkylammoniopropyl sulfate, and the like. Alternatively, the surfactants may include water soluble

polymers including, but not limited to, polyethylene glycol (PEG), polyethylene oxide (PEO), polyvinyl pyrrolidone (PVP), cationic polymers, nonionic polymers, anionic polymers, hydroxyethylcellulose (HEC), acrylamide polymers, poly(acrylic acid), carboxymethylcellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropylmethylcellulose, polyvinylpyrrolidone K30, BIOCARE™ polymers, DOW™ latex powders (DLP), ETHOCEL™ ethylcellulose polymers, KYTAMER™ PC polymers, METHOCEL™ cellulose ethers, POLYOX™ water soluble resins, SoftCAT™ polymers, UCARE™ polymers, UCON™ fluids, and combinations thereof. The water soluble polymers may be short-chained or long-chained polymers and may be combined with the nonionic, anionic, cationic, and/or zwitterionic surfactants of the invention. When surfactants are included in the compositions of the invention, preferably de foaming agents are added in a range from O to 5 wt. %, based on the total weight of the composition. Defoaming agents contemplated include, but are not limited to, fatty acids, alcohols (simple or polyol) and amines such as caprylic acid diglyceride, lecithin, magnesium carbonate, polyethylene homopolymers and oxidised homopolymer M3400 , dimethopolysiloxane -based, silicone -based, AGITAN™, and fatty acid polyether types such as LUMITEN™, oils, and combinations thereof.

[0062] Specific embodiments of the first aspect of the removal composition may be in concentrated form and include the following, wherein the components may be present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight amine(s) about 1% to about 30.0% about 5% to about 20.0% hydrofluoric acid about 5% to about 60.0% about ] [5% to about 30.0% organic solvent(s) about 5% to about 98% about 25% to about 70% additional acid(s) about 5% to about 35% about 10% to about 30% water about 0.01 % to about 50% about 0.01 to about 50%

or component % by weight preferred/% by weight amine-hydrogen fluoride salt about 1% to about 40.0% about 5% to about 30.0% hydrofluoric acid about 0.01% to about 5.0% about 1% to about 32% organic solvent(s) about 40% to about 90% about 50% to about 85% additional acid(s) about 1% to about 20% about 5% to about 20% water about 0.01% to about 50% about 0.01% to about 50%

or component % by weight preferred/% by weight amine-hydrogen fluoride salt about 1% to about 40.0% about 30% to about 35.0% hydrofluoric acid about 0.01% to about 5.0% about 1% to about 2% organic solvent(s) about 45% to about 99% about 55% to about 70%

water about 0.01% to about 25% about 0.01% to about 25%

or component % by weight preferred/% by weight amine about 1% to about 60% about 20% to about 40% hydrofluoric acid about 40% to about 99% about 35% to about 45% water about 0.01% to about about 0.01% to about

50% 50%

or component % by weight preferred/% by weight amine about 1% to about 30.0% about 5% to about 25% hydrofluoric acid about 5% to about 60% about 15% to about 50% organic solvent(s) about 1% to about 80% about 30% to about 75% water about 0.01% to about 80% about 0.01% to about 70%

or component % by weight preferred/% by weight amine about 0.1 to about 50% about 5% to about 35% hydrofluoric acid about 10% to about 75% about 15% to about 70% water about 0.01% to about 90% about 0.01% to about 90%

and the pH of a 20:1 dilution of the removal composition in deionized water is in a range from about 2.5 to about 4.5. Preferably, the removal composition of the first aspect contains less than 30 wt. %, preferably less than 10 wt%, more preferably less than 2wt%, even more preferably less than 1 wt% and most preferred is devoid of tetrahydrofurfuryl alcohol. In the broad practice, the removal composition of the first aspect may comprise, consist of, or consist essentially of any of the foregoing embodiments. [0063] In one embodiment of the first aspect, the removal composition is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.

[0064] In another embodiment of the first aspect, the removal composition may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. Importantly, the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition. Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other

words, if the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the first aspect when cleaning the backside and/or bevel edge, the front side should be protected. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition of the first aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0065] Further, the foregoing embodiments of the removal composition of the first aspect may further include residue material, wherein said residue material includes at least one of the materials removed using said composition. Preferably, the low-k dielectric material comprises silicon-containing compounds that dissolve in the removal composition. In one embodiment, the removal composition includes at least one amine species, hydrofluoric acid, water, material residue, optionally at least one organic solvent, optionally at least one chelating agent, and optionally at least one additional acid species. In another embodiment, the removal composition includes at least one amine -hydrogen fluoride salt species, additional hydrofluoric acid, material residue, water, optionally at least one organic solvent, optionally at least one chelating agent, and optionally at least one additional acid species. Importantly, even with residue material contained therein, the removal composition of the first aspect of the invention remains viable for continued/recycled use.

[0066] The embodiments of the first aspect may be formulated in the following Formulations A-BB, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation A: Tetrafluoroboric acid 4.7 wt%; Triethanolamine:HF 11.7 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; Butyl carbitol 15.0 wt%; Water 17.3 wt%

Formulation B: Tetrafluoroboric acid 4.7 wt%; Pyridine:HF 16.0 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; Butyl carbitol 15.0 wt%; Water 13.0 wt%

Formulation C: Tetrafluoroboric acid 5.9 wt%; Pyridine:HF 8.0 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; Butyl carbitol 19.0 wt%; Water 15.8 wt%

Formulation D: Acetic acid 17.0 wt%; Pyridine:HF 27.0 wt%; HF 1.2 wt%; Ethylene glycol 27.6 wt%; Sulfolane 10.0 wt%; DMSO 16.0 wt%; Water 1.2 wt%

Formulation E: Pyridine:HF 32.0 wt%; HF 1.3 wt%; Ethylene glycol 32.4 wt%; Sulfolane 13.0 wt%; DMSO 20.0 wt%; Water 1.3 wt%

Formulation F: Pyridine:HF 32.0 wt%; Propylene glycol 35.0 wt%; Sulfolane 13.0 wt%; DMSO 20.0 wt%

Formulation G: Pyridine:HF 31.1 wt%; HF 1.4 wt%; Propylene glycol 34.1 wt%; Sulfolane 12.6 wt%; DMSO 19.4 wt%; Water 1.4 wt%

Formulation H: Pyridine:HF 32.0 wt%; HF 1.7 wt%; Ethylene glycol 39.6 wt%; Sulfolane 10.0 wt%; DMSO 15.0 wt%; Water 1.7 wt%

Formulation I: Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.2 wt%; Ethylene glycol 22.1 wt%; Sulfolane 10.0 wt%; DMSO 15.0 wt%; Water 16.7 wt%

Formulation J: Acetic acid 13.0 wt%; 1 ,2,4-Triazole 7.0 wt%; HF 16.2 wt%; Ethylene glycol 22.1 wt%; Sulfolane 10.0 wt%; DMSO 15.0 wt%; Water 16.7 wt%

Formulation K: Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 15.0 wt%; Water 24.7 wt%

Formulation L: Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 10.0 wt%; NMP 13.0 wt%; Water 16.7 wt%

Formulation M: Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 10.0 wt%; Methyl carbitol 13.0 wt%; Water 16.7 wt%

Formulation N: Acetic acid 13.0 wt%; Isoxazole 7.0 wt%; HF 16.3 wt%; Ethylene glycol 24.0 wt%; Sulfolane 10.0 wt%; Dipropylene glycol methyl ether 13.0 wt%; Water 16.7 wt%

Formulation O: Acetic acid 15.0 wt%; Isoxazole 9.0 wt%; HF 17.2 wt%; Ethylene glycol 25.9 wt%; Sulfolane 15.0 wt%; Water 17.9 wt%

Formulation P: Isoxazole 10.3 wt%; HF 20.4 wt%; Ethylene glycol 30.7 wt%; Sulfolane 17.2 wt%; Water 21.4 wt%

Formulation Q: acetic acid 21.1 wt%; Isoxazole 12.0 wt%; HF 23.0 wt%; Sulfolane 20.0 wt%; Water 23.9 wt%

Formulation R: acetic acid 18.0 wt%; Isoxazole 10.2 wt%; HF 20.2 wt%; Sulfolane 30.4 wt%; Water 21.2 wt%

Formulation S: acetic acid 26.4 wt%; Isoxazole 15.0 wt%; HF 28.7 wt%; Water 29.9 wt% Formulation T: Isoxazole 15.2 wt%; HF 29.1 wt%; Sulfolane 25.4 wt%; Water 30.3 wt% Formulation U: Isoxazole 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation V: 2-ethylpyridine 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation W: 2-Methoxypyridine 20.4 wt%; HF 39.0 wt%; Water 40.6 wt% Formulation X: Piperidine 20.4 wt%; HF 39.0 wt%; Water 40.6 wt%

Formulation Y: NMMO 8.0 wt%; HF 17.6 wt%; Sulfolane 15.0 wt%; Butyl carbitol 33.0 wt%; Water 26.4 wt%

Formulation Z: 2-Methoxypyridine 7.0 wt%; HF 15.7 wt%; Sulfolane 61.0 wt%; Water 16.3 wt% Formulation AA: NMMO 7.0 wt%; HF 15.7 wt%; Water 77.3 wt%

Formulation BB: NMMO 7.0 wt%; HF 15.7 wt%; Sulfolane 10.0 wt%; Water 67.3 wt%

[0067] Preferably, the range of weight percent ratios of the components are: about 0.1:1 to about 10:1 etchant(s) (e.g., HF and/or amine:HF) relative to amine(s), preferably about 1:1 to about 5:1, and most preferably about 2:1 to about 3:1.

[0068] In a second aspect, removal compositions useful in removing at least one material selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, barrier layer material, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, doped regions, miscellaneous materials, and combinations thereof from the surface of a microelectronic device structure are described. The removal compositions of the second aspect also usefully remove Al and SiCN. Preferably, the compositions of the second aspect are substantially devoid of amine species. By reducing the amount of amine present, the overall cost of the removal composition decreases and many supply chain problems are minimized. In addition, amines are known to react exothermically with HF, which can potentially lead to manufacturing issues such as particle generation. As defined herein, "substantially devoid" corresponds to less than about 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. % of the composition, based on the total weight of said composition. [0069] The removal composition of the second aspect may include at least one etchant source, e.g., a fluoride species such as hydrofluoric acid, and at least one organic solvent. More specifically, the compositions of the second aspect may comprise, consist of, or consist essentially of at least one etchant, e.g., HF, at least one organic solvent, optionally water, optionally at least one organic acid, and optionally at least one chelating agent, present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 50.0% organic solvent(s) about 20% to about 70.0% optional organic acid(s) 0 to about 80.0% optional chelating agent(s) O to about 10% water 0 to about 80%

[0070] In general, the specific proportions and amounts of etchant source(s), organic solvent(s), optional water, optional organic acid(s), and optional chelating agent(s), in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric, high-k dielectric, barrier layer material, ferroelectrics, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, doped regions, miscellaneous materials, and combinations thereof and/or processing equipment, as readily determinable within the skill of the art without undue effort.

[0071] Preferably, the second aspect includes at least 10 wt % HF, based on the total weight of the composition. When copper stack material is not to be removed, the removal composition of the second aspect is devoid of oxidizer and/or carbonate -containing species. Further, the amount of water present in the removal composition of the second aspect is preferably in a range from 10 wt % to 80 wt. %, more preferably 10 wt% to about 75 wt%, based on the total weight of the composition.

[0072] Compositions of the second aspect have a pH value in a range from about 1 to about 7, more preferably about 2.5 to about 4.5, most preferably about 2.8 to about 3.5, when diluted 20:1 with deionized water.

[0073] The preferred etchant(s), organic solvent(s), optional chelating agent(s), and optional organic acid(s) species were previously introduced hereinabove. Preferably, the water is deionized.

[0074] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, oxidants, etc. For example, about 0.01 wt. % to about 10 wt. % surfactant may be added to the removal composition of the second aspect, as described in the first aspect hereinabove. When surfactants are included in the compositions, preferably defoaming agents are added in a range from 0 to 5 wt. %, based on the total weight of the composition. The defoaming agents were described in the first aspect.

[0075] Preferably, an embodiment of the second aspect may be present in concentrated form and includes the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred % by weight hydrofluoric acid about 5% to about 70% about 15% to about 30% organic solvent(s) about 10% to about 80% about 50% to about 76% water about 0.01% to 80% about 0.01°/ O to about 80%

and the pH of a 20:1 dilution of the removal composition of the second aspect in deionized water is in a range from about 2.5 to about 4.5. Optionally, about 0.01 wt. % to about 10 wt. % surfactant may be added.

[0076] In one embodiment of the second aspect, the removal composition is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.

[0077] In another embodiment of the second aspect, the removal composition of the second aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. Importantly, the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily,

require protecting the front-side of the structure from exposure to the composition. Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, if the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the second aspect when cleaning the backside and/or bevel edge, the front side should be protected. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition of the second aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0078] Further, the removal composition of the second aspect may further include material residue, wherein said residue material includes at least one of the materials removed using said composition. Preferably, the materials dissolve in the removal composition and the removal composition remains viable for its intended use.

[0079] The removal compositions of the second aspect may be formulated in the following Formulations CC-HH, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation CC: HF 20.1 wt%; Butyl carbitol 57.5 wt%; Sulfolane 1.5 wt%; Water 20.9 wt% Formulation DD: HF 37.4 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; Water 38.7 wt% Formulation EE: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; Water 56.0 wt% Formulation FF: 10.04% HF, 10.8% butyl carbitol, 2.2% sulfolane and 76.96% water Formulation GG: HF 20.1 wt%; Butyl carbitol 10.8 wt%; Sulfolane 2.2 wt%; Water 66.9 wt% Formulation HH: HF 20.1 wt%; Butanol 10.8 wt%; Sulfolane 2.2 wt%; Water 66.9 wt%

[0080] Most preferably, the second aspect relates to a removal composition including hydrogen fluoride, diethylene glycol butyl ether, sulfolane and water. The range of weight percent ratios of the components are: about 0.1:1 to about 10:1 solvent(s) relative to etchant(s) (e.g., HF), preferably about 0.5:1 to about 5:1, and most preferably about 1 : 1 to about 3:1.

[0081] In a particularly preferred embodiment of the second aspect, the removal composition may comprise, consist of, or consist essentially of water, sulfolane, diethylene glycol butyl ether, and hydrogen fluoride, wherein the amount of water is in a range from 10 wt. % to about 75 wt. %, based on the total

weight of the composition. Preferably, the composition is substantially devoid of amine. [0082] In a third aspect, the removal compositions include an etchant source, e.g., a fluoride source such as hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, and optionally water. Preferably, the composition is substantially devoid of amine. This compositional embodiment is particularly useful for the removal of low-k dielectric material, etch stop layers, metals, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, and/or the metal film stacks without damaging the underlying device substrate and without the re-deposition or precipitation of copper salts or other contaminants on the surface of said substrate. Oxidizing agents contemplated herein include, but are not limited to, hydrogen peroxide (H 2 O 2 ), FeCl 3 (both hydrated and unhydrated), periodic acid (H 5 IO 6 ), oxone (2KHSO 5 KHSO 4 K 2 SO 4 ), ammonium polyatomic salts (e.g., ammonium peroxomonosulfate, ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), ammonium hypochlorite (NH 4 ClO)), sodium polyatomic salts (e.g., sodium persulfate (Na 2 S 2 O 8 ), sodium hypochlorite (NaClO)), potassium polyatomic salts (e.g., potassium iodate (KIO 3 ), potassium permanganate (KMnO 4 ), potassium persulfate, nitric acid (HNO 3 ), potassium persulfate (K 2 S 2 O 8 ), potassium hypochlorite (KClO)), tetramethylammonium polyatomic salts (e.g., tetramethylammonium chlorite ((N(CH 3 ) 4 )C1O 2 ), tetramethylammonium chlorate ((N(CH 3 ) 4 )C1O 3 ), tetramethylammonium iodate ((N(CH 3 ) 4 )IO 3 ), tetramethylammonium perborate ((N(CH 3 ) 4 )BO 3 ), tetramethylammonium perchlorate ((N(CH 3 ) 4 )C1O 4 ), tetramethylammonium periodate ((N(CH 3 ) 4 )IO 4 ), tetramethylammonium persulfate ((N(CH 3 ) 4 )S 2 O 8 )), tetrabutylammonium polyatomic salts (e.g., tetrabutylammonium peroxomonosulfate), peroxomonosulfuric acid, ferric nitrate (Fe(NO 3 ) 3 ), urea hydrogen peroxide ((CO(NH 2 ) 2 )H 2 O 2 ), peracetic acid (CH 3 (CO)OOH), and combinations thereof. The oxidizing agent may be introduced to the composition at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ.

[0083] In the broad practice of the third aspect, the removal composition may comprise, consist of, or consist essentially of at least one etchant source, e.g., hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, and optionally water. In general, the specific proportions and amounts of etchant source(s), organic solvent(s), oxidizing agent(s), and optional water, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of low-k dielectric material, etch stop layers, metal stack materials, metals, nitrides, suicides, oxides, photoresist, polymer-containing material, ARC material, and combinations thereof, and/or processing equipment, as readily determinable within the skill of the art without undue effort. [0084] The preferred etchant(s) and organic solvent(s) were previously introduced hereinabove. Preferably, the water is deionized.

[0085] Preferably, the removal compositions of the third aspect may be present in concentrated form and may comprise, consist of or consist essentially of the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight hydrofluoric acid about 10% to about 60% about 15% to about 50% organic solvent(s) about 10% to about 80% about 20% to about 75% water about 0.01% to about 80% about 0.01% to about 80% oxidizing agent about 0.1% to about 15% about 1% to about 11%

and the pH of a 20:1 dilution of the removal composition of the third aspect in deionized water is in a range from about 2.5 to about 4.5.

[0086] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, chelating agents, dispersants, pH stabilizing agents, etc. For example, about 0.01 wt. % to about 10 wt. % surfactant may be added to the removal composition of the third aspect, as described in the first aspect hereinabove. When surfactants are included in the compositions of the invention, preferably defoaming agents are added in a range from 0 to 5 wt. %, based on the total weight of the composition. The defoaming agents were described in the first aspect.

[0087] Further, the removal composition of the third aspect may further include material residue selected from the group consisting of low-k dielectric material, etch stop layers, metal stack materials, metals, suicides, nitrides, oxides, photoresist and combinations thereof. Preferably, the material residue dissolves in the removal composition and the removal composition remains viable for continued use. [0088] The removal compositions of the third aspect may be formulated in the following Formulations II-KK, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation II: HF 18.3 wt%; Butyl carbitol 52.3 wt%; Sulfolane 1.3 wt%; Water 19 wt%; H 2 O 2 9.1 wt% Formulation JJ: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; Water 55.0 wt%

Formulation KK: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; HNO 3 0.97 wt%; Water

55.3 wt%

[0089] In one embodiment of the third aspect, the removal composition is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.

[0090] In another embodiment of the third aspect, the removal composition of the third aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the

backside and/or bevel edge of the structure is removed. Importantly, the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition. Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, if the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the third aspect when cleaning the backside and/or bevel edge, the front side should be protected. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition of the third aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0091] In a fourth aspect, the removal compositions include at least one etchant source, e.g., a fluoride source such as hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, at least one copper chelating agent, and optionally water. Preferably, the composition of the fourth aspect is substantially devoid of amine. This compositional embodiment is particularly useful for the removal of post-etch residue, low-k dielectric material, high-k dielectric material, metals and metal film stacks, nitrides, suicides, oxides, barrier layer material, ferroelectrics, photoresist, ARC materials, polymer- containing buildup, doped regions and/or the miscellaneous materials without damaging the underlying device substrate and without the re-deposition or precipitation of copper salts or other contaminants on the surface of said substrate. The removal composition of the fourth aspect also usefully removes SiCN. [0092] In the broad practice of the fourth aspect, the removal composition may comprise, consist of, or consist essentially of at least one etchant, e.g., HF, at least one organic solvent, at least one oxidizing agent, at least one chelating agent, and optionally water. In general, the specific proportions and amounts of etchant source(s), organic solvent(s), oxidizing agent(s), chelating agent(s), and optional water, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, metals and metal film stacks, nitrides, suicides, oxides, barrier layer material, ferroelectrics, photoresist, ARC materials, polymer-containing buildup, doped regions and/or the miscellaneous materials, and/or processing equipment, as readily determinable within the skill of the art without undue effort.

[0093] The preferred organic solvent(s), chelating agent(s), and oxidizing agent(s) were previously introduced hereinabove. Preferably, the water is deionized. [0094] Preferably, an embodiment of the fourth aspect are present in concentrated form and may

comprise, consist of, consist essentially of, the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight hydrofluoric acid about 5% to about 55% about 10% to about 45% organic solvent(s) about 5% to about 70% about 10% to about 60% water 0 to about 90% about 0.01% to 90% oxidizing agent about 0.1% to about 15% about 1% to about 10% chelating agent about 0.01% to about 5% about 0.1% to about 2%

and the pH of a 20:1 dilution of the removal composition of the fourth aspect in deionized water is in a range from about 2.5 to about 4.5.

[0095] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., surfactants, rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, etc. For example, about 0.01 wt. % to about 10 wt. % surfactant may be added to the removal composition of the fourth aspect, as described in the first aspect hereinabove. When surfactants are included in the compositions of the invention, preferably de foaming agents are added in a range from 0 to

5 wt. %, based on the total weight of the composition. The defoaming agents were described in the first aspect.

[0096] The removal composition of the fourth aspect may further include material residue selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, metals and metal film stacks, nitrides, suicides, oxides, barrier layer material, ferroelectrics, photoresist, ARC materials, polymer-containing buildup, doped regions, miscellaneous materials, and combinations thereof.

Preferably, the material residue dissolves in the removal composition and the removal composition remains viable for continued use.

[0097] This embodiment may be formulated in the following Formulations LL-QQ, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation LL: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; CDTA 0.15 wt%; Water 54.85 wt%

Formulation MM: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; EDTA 0.15 wt%; Water 54.85 wt%

Formulation NN: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt% H 2 O 2 1 wt%; MEA 0.15 wt%; Water 54.85 wt%

Formulation OQ: HF 10.04 wt%; Butyl carbitol 10. 8 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; CDTA 0.15 wt%; Water 75.81 wt%

Formulation PP: HF 10.04 wt%; Butyl carbitol 10.8 wt%; Sulfolane 2.2 wt%; H 2 O 2 1 wt%; acac 2 wt%;

Water 73.96 wt%

Formulation QQ: HF 10.04 wt%; Butyl carbitol 10.8 wt%; Sulfolane 2.2 wt%; H 2 O 2 5 wt%; CDTA 0.15 wt%; Water 71.81 wt%

Formulation RR: HF 20.1 wt%; Butyl carbitol 21.7 wt%; Sulfolane 2.2 wt%; H 2 O 2 5 wt%; CDTA 0.15 wt%; Water 50.85 wt%

[0098] Preferably, the range of weight percent ratios of the components are: about 0.1:1 to about 10:1 etchant(s) (e.g., HF) relative to oxidant(s), preferably about 0.5:1 to about 5:1, and most preferably about 1:1 to about 5:1; about 0.1 :1 to about 10:1 solvent(s) relative to oxidant(s), preferably about 1:1 to about 5:1, and most preferably about 2:1 to about 5:1; about 0.001 :1 to about 0.1 chelating agent(s) relative to oxidant(s), preferably about 0.01 :1 to about 0.05:1.

[0099] Importantly, the chelating agent and/or the oxidizing agent may be introduced to the composition of the fourth aspect at the manufacturer, prior to introduction of the composition to the device wafer, or alternatively at the device wafer, i.e., in situ. It is further contemplated that in addition to chelating agent(s) and/or oxidizing agent(s), other components may be added to the composition to dilute, maintain and/or increase the concentration of other components in the composition. [0101] It is known in the art that HF in the presence of metallic contaminants, including copper, causes pitting of microelectronic device substrates including silicon. To substantially eliminate this detrimental pitting effect, hydrochloric acid may be added to the removal composition of the fourth aspect to minimize pitting of the microelectronic device substrate during the reclamation process. For example, about 0.01 wt. % to about 5 wt. % concentrated HCl, preferably about 0.1 wt.% to about 4 wt.% concentrated HCl, and more preferably about 0.5 wt.% to about 3 wt.% concentrated HCl, based on the total weight of the composition, may be added to the removal composition of the fourth aspect. One skilled in the art will be able to calculate new weight percents when an HCl solution that is not concentrated is used instead. Put another way, the range of weight percent ratios of the components are: about 0.1 :1 to about 10:1 oxidant(s) relative to concentrated HCl, preferably about 1 :1 to about 7:1, and most preferably about 1:1 to about 5:1; about 0.1 :1 to about 25:1 etchant(s) (e.g., HF) relative to concentrated HCl, preferably about 1 :1 to about 20:1, and most preferably about 5:1 to about 15:1; about 0.001 :1 to about 1 :1 chelating agent(s) relative to concentrated HCl, preferably about 0.01:1 to about 0.3:1; and about 1 :1 to about 30:1 solvent(s) relative to concentrated HCl, preferably about 5:1 to about 25:1, and most preferably about 5:1 to about 20:1.

[0102] In one embodiment of the fourth aspect, the removal composition is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.

[0103] In another embodiment of the fourth aspect, the removal composition of the fourth aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. Importantly, the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition. Such a process may include the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, if the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the fourth aspect when cleaning the backside and/or bevel edge, the front side should be protected. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition of the fourth aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0104] The fifth aspect relates to removal compositions compliant with national and international environmental standards, so-called "green" removal compositions. Diethylene glycol butyl ether and other ethylene-containing solvents are HAP chemicals and can be detrimental to the environment. For example, diethylene glycol butyl ether has a very high chemical oxygen demand (COD) level, which is the mass of oxygen consumed per liter of solution. Because of its high COD level, diethylene glycol butyl ether has been either banned or limited to very low levels depending on the country. [0105] A "green" removal composition according to the fifth aspect may include an etchant source, e.g., a fluoride source such as hydrofluoric acid, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, and optionally at least one chelating agent, present in the following ranges, based on the total weight of the composition: component % by weight etchant(s) about 0.01% to about 50.0% surfactant(s) about 0.01% to about 5.0% optional organic solvent(s) 0 to about 20.0% optional organic acid(s) 0 to about 80.0% optional chelating agent(s) O to about 10% optional oxidizing agent(s) O to about 10% water 0 to about 99%

and the pH of a 20:1 dilution of the removal composition of the fourth aspect in deionized water is in a range from about 2.5 to about 4.5.

[0106] The green removal composition may comprise, consist of, or consist essentially of at least one etchant, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, and optionally at least one chelating agent. In general, the specific proportions and amounts of etchant source(s), surfactant(s), optional water, optional organic solvent(s), optional organic acid(s), optional oxidizing agent(s), and optional chelating agent(s), in relation to each other, may be suitably varied to provide the desired removal action of the composition for the materials selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, polymer-containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof, and/or processing equipment, as readily determinable within the skill of the art without undue effort.

[0107] The preferred etchant(s), surfactant(s), optional organic solvent(s), optional chelating agent(s), optional oxidizing agent(s), and optional organic acid(s) species were previously introduced hereinabove. Preferably, the water is deionized and preferably, the surfactant includes a species selected from the group consisting of dodecylbenzene sulfonic acid sodium salt (DDBSA), DowFax, and combinations thereof. Given the nature of the green removal composition, preferably the composition is substantially devoid of ethylene and/or diethylene glycol ethers and other HAP organic solvents. For example, if an organic solvent is present, preferably it includes a propylene and/or dipropylene glycol ether.

[0108] Such compositions may optionally include additional components, including active as well as inactive ingredients, e.g., rheology agents, stabilizers, passivators, dispersants, pH stabilizing agents, etc. [0109] The green removal composition may further include material residue selected from the group consisting of post-etch residue, low-k dielectric material, high-k dielectric material, barrier layer materials, ferroelectrics, nitrides, suicides, oxides, polymer-containing buildup, ARC materials, doped regions, miscellaneous materials, and combinations thereof. Preferably, the materials dissolve in the green removal composition and the removal composition remains viable for its intended use. [0110] The green removal compositions may be formulated in the following Formulations G1-G5, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation Gl : HF 20.1 wt%; Sulfolane 2 wt%; DowFax 3B2 0.5 wt.%; Water 77.4 wt% Formulation G2: HF 20.1 wt%; Sulfolane 2 wt%; DowFax 3B2 0.1 wt.%; Water 77.8 wt% Formulation G3: HF 20.1 wt%; Sulfolane 2 wt%; DDBSA 0.5 wt.%; Water 77.4 wt% Formulation G4: HF 20.1 wt%; Sulfolane 2 wt%; DowFax 3B2 0.1 wt.%; Water 77.8 wt%

Formulation G5: HF 20.1 wt%; Sulfolane 2.2 wt%; DowFax 3B2 0.5 wt.%; H 2 O 2 5 wt.%; HEDP 5 wt.%; Water 67.2 wt%

Formulation G6: HF 20.1 wt%; HCl (cone) 1 wt.%; Sulfolane 2.2 wt%; DowFax 3B2 0.5 wt.%; H 2 O 2 5 wt.%; HEDP 5 wt.%; Water 66.2 wt%

[0111] Most preferably, the green removal compositions are formulated in the following concentrated embodiments, wherein all percentages are by weight, based on the total weight of the formulation:

component of % by weight preferably (% by most preferably (% by weight) weight)

HF about 0.01% to about about 5% to about about 20% to about 90% 90% 90% surfactant(s) about 0.01% to about about 0.05% to about about 0.1% to about 3% 15% 5% organic 0 to about 25% about 0.01% to about about 1% to about 10% solvent(s) (non- 10% ethylene glycol ethers) water about 0.01% to 99% about 0.01% to 99% about 0.01% to 99%

[0112] Most preferably, the green removal composition includes hydrogen fluoride, sulfolane, at least one surfactant, and water. The range of weight percent ratios of the components are: about 0.01 :1 to about 1 :1 solvent(s) relative to etchant(s), preferably about 0.05:1 to about 0.25:1, and most preferably about 0.075:1 to about 0.2:1; and about 1 :1 to about 40:1 solvent(s) relative to surfactant(s), preferably about 2:1 to about 30:1, and most preferably about 3:1 to about 25:1.

[0113] Alternatively, the green removal compositions are formulated in the following concentrated embodiments, wherein all percentages are by weight, based on the total weight of the formulation:

component of % by weight preferably (% by most preferably (% by weight) weight)

HF about 0.01% to about about 5% to about about 20% to about 75% 90% 85% surfactant(s) about 0.01% to about about 0.05% to about about 0.1% to about 3% 15% 5% organic 0 to about 25% about 0.01% to about about 1% to about 10% solvent(s) (non- 10% ethylene glycol ethers) oxidizing 0 to about 25% about 0.1% to about about l% to about 18% agent(s) 20%

chelating 0 to about 25% about 0.1% to about about 1 % to about 18% agent(s) 20% water about 0.01% to 99% about 0.01% to 99% about 0.01% to 99%

[0114] The range of weight percent ratios ofthe components are: about 0.1 :1 to about 15:1 solvent(s) relative to surfactant(s), preferably about 1:1 to about 10:1, and most preferably about 2:1 to about 7:1; about 10:1 to about 60:1 etchant(s) relative to surfactant(s), preferably about 15:1 to about 55:1, and most preferably about 25:1 to about 50:1; about 0.1 :1 to about 25:1 oxidant(s) relative to surfactant(s), preferably about 1:1 to about 20:1, and most preferably about 5:1 to about 15:1; and about 0.1 :1 to about 25:1 chelating agent(s) relative to surfactant(s), preferably about 1 :1 to about 20:1, and most preferably about 5:1 to about 15:1.

[0115] Similar to the fourth aspect, hydrochloric acid may be added to the removal composition of the fifth aspect to minimize pitting ofthe microelectronic device substrate during the reclamation process. For example, about 0.01 wt. % to about 5 wt. % concentrated HCl, preferably about 0.1 wt.% to about 4 wt.% concentrated HCl, and more preferably about 0.5 wt.% to about 3 wt.% concentrated HCl, based on the total weight of the composition, may be added to the removal composition of the fifth aspect. One skilled in the art will be able to calculate new weight percents when an HCl solution that is not concentrated is used instead. Put another way, the range of weight percent ratios of the components are: about 0.1 :1 to about 10:1 oxidant(s) relative to concentrated HCl, preferably about 1 :1 to about 8:1, and most preferably about 1:1 to about 7:1; about 0.1 :1 to about 25:1 etchant(s) (e.g., HF) relative to concentrated HCl, preferably about 1 :1 to about 20:1, and most preferably about 5:1 to about 20:1; about 0.01 :1 to about 2:1 surfactant(s) relative to concentrated HCl, preferably about 0.1 :1 to about 1 :1; about 0.1 :1 to about 10:1 chelating agent(s) relative to concentrated HCl, preferably about 1:1 to about 8:1, and most preferably about 1:1 to about 7:1; about 0.1 :1 to about 10:1 solvent(s) relative to concentrated HCl, preferably about 0.5:1 to about 5:1, and most preferably about 0.5:1 to about 4:1.

[0116] In one embodiment of the fifth aspect, the removal composition is used to reclaim the microelectronic device structure. In other words, one removable layer or more than one removable layer may be removed from the microelectronic device structure.

[0117] In another embodiment of the fifth aspect, the removal composition ofthe fifth aspect may be used to rework the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. Importantly, the process of removing the polymer-containing buildup from the backside and/or bevel edge ofthe structure may, but not necessarily, require protecting the front-side of the structure from exposure to the composition. Such a process may include the positioning ofthe structure in a single wafer tool that protects the front side ofthe wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the front side may be protected

by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, if the front side of the structure includes patterned and/or blanketed material(s) that should not be exposed to the removal composition of the fifth aspect when cleaning the backside and/or bevel edge, the front side should be protected. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition of the fifth aspect to simultaneously remove material from the front side (e.g., low-k dielectric material, etc.) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0118] The low-k dielectric materials removed using the removal compositions of the first through the fifth aspects include CORAL™, BLACK DIAMOND™ (hereinafter BD), derivatives of CORAL, derivatives of BD, AURORA®, derivatives of AURORA®, etc. As used herein, "derivatives of CORAL" and "derivatives of BD" correspond to CORAL and BD materials, respectively, that were deposited using alternative, often proprietary, deposition processes. The utilization of a different processing technique will result in a CORAL and BD material that differs from CORAL™ and BLACK DIAMOND™, respectively.

[0119] Importantly, the removal compositions of the first though fifth aspects are effective at concurrently removing at least one of polymer-containing buildup, metal stack materials, low-k dielectric layers, high-k dielectric layers, etch stop layers, nitrides, suicides, oxides, barrier layers, photoresist, post- etch residue, miscellaneous materials, doped regions (other than doped epitaxial Si) and/or other material from a surface of the microelectronic device. For example, the removal compositions may effectively remove low-k dielectric material from the front side of the microelectronic device while concurrently removing polymer and other residue from the backside and/or bevel edge of the microelectronic device, as readily determined by one skilled in the art. As such, as applied to microelectronic device manufacturing operations, the removal compositions described herein are usefully employed to remove at least one material selected from the group consisting of low-k dielectric material, high-k dielectric material, etch stop layers, metal stack materials, nitrides, suicides, oxides, photoresist, barrier layers, polymer-containing buildup, ferroelectrics, miscellaneous materials, doped regions (other than doped epitaxial Si) and combinations thereof, from microelectronic device structures in a single reclamation or rework step for recycling and/or reuse of said structures. Importantly, the removal compositions of the first through fifth aspects satisfy the aforementioned reclamation requirements as well as the rework/clean requirements. Furthermore, because of the low TTV, the chemical mechanical polishing (CMP) step that is typical of current reclaiming practices, i.e., to planarize the substrate subsequent to the wet removal of the materials, may not be needed to planarize the front-side or backside of the wafer before reuse. Alternatively, the parameters of the CMP step may be altered such that the energy requirements are substantially reduced, e.g., the length of time of the polish is shortened, etc. Most preferably, the TTV is

less than 3%, more preferably less than 1% and most preferably less than 0.5%, subsequent to the removal of the materials from the microelectronic device substrate.

[0120] In addition, the removal compositions of the first through fifth aspects satisfy the rework requirements, e.g., effectuate the substantial removal of photoresist, polymeric -containing buildup, and/or electroplated copper from the outermost edge and backside of the device substrate without substantial damage to the layer(s) to be retained. Importantly, unlike rework compositions in the prior art (e.g., physical polish of the edge, a dry plasma etch, combustion, etc.) the at least one material to be removed from the microelectronic device structure may be removed in a single step with a wet solution. [0121] It should be appreciated that any of the removal compositions of the first through fifth aspects disclosed herein may be used during (CMP) processes, i.e., to planarize copper and remove barrier layer materials, to accelerate the removal of CDO and other low-k dielectric materials, as readily determinable by one skilled in the art. Importantly, when the application requires stopping on a copper layer, for example during CMP processing, and the removal composition (e.g., any of the first through fifth aspects) includes at least one chelating agent, the removal composition preferably further includes at least one copper passivator species. Contemplated copper passivator species include, but are not limited to, 1,2,4- triazole, benzotriazole (BTA), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5- mercapto-l,2,4-triazole, 1 -amino- 1,2,4-triazo Ie, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, l-amino-l,2,3-triazole, l-amino-5-methyl-l,2,3-triazole, 3-amino-l,2,4-triazole, 3-mercapto-l,2,4- triazole, 3-isopropyl-l,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, Cl, Br or I), naphthotriazole, 2-mercaptobenzoimidizole (MBI), 2-mercaptobenzothiazole, 4-methyl-2- phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole (ATA), 5-amino-l,3,4-thiadiazole-2 -thiol, 2,4- diamino-6-methyl-l,3,5-triazine, thiazole, triazine, methyltetrazole, l,3-dimethyl-2-imidazolidinone, 1,5- pentamethylenetetrazole, 1 -phenyl-5 -mercaptotetrazole, diaminomethyltriazine, mercaptobenzothiazole, imidazoline thione, mercaptobenzimidazole, 4-methyl -4H- 1 ,2,4-triazole-3 -thiol, 5-amino-l,3,4- thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, indiazole, and combinations thereof. Di- and poly- carboxylic acids such as oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, iminodiacetic acid, and combinations thereof are also useful copper passivator species. It is also contemplated herein that the removal compositions may be diluted with a solvent such as water and used as a post-chemical mechanical polishing (CMP) composition to remove post-CMP residue including, but not limited to, particles from the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process. When used in post-CMP applications, the concentrated removal compositions may be diluted in a range from about 1 :1 to about 1000:1 solvent to concentrate, wherein the solvent can be water and/or organic solvent.

[0122] In yet another aspect, the removal compositions of the first through fifth aspects may be buffered to a pH in a range from about 5 to about 8, preferably about 5.5 to about 7, to minimize corrosion of the materials of construction in the fab, e.g., steel drainage systems and other tools, as readily determinable by one skilled in the art. Contemplated buffering species include, but are not limited to organic quaternary bases, alkali bases, alkaline earth metal bases, organic amines, alkoxides, amides, and combinations thereof. More specifically, the buffering species may include benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, benzyltributylammonium hydroxide, dimethyldiethylammonium hydroxide, tetramethyl ammonium hydroxide, tetraethyl ammonium hydroxide, tetrapropyl ammonium hydroxide, tetrabutyl ammonium hydroxide, ammonium hydroxide, potassium hydroxide, cesium hydroxide, rubidium hydroxide, alkyl phosphonium hydroxides, and derivatives thereof, Aniline, Benzimidazole, Benzylamine, 1 -Butanamine, n-Butylamine, Cyclohexanamine, Diisobutylamine, Diisopropylamine, Dimethylamine, Ethanamide, Ethanamine, Ethylamine, Ethylenediamine, 1 -Hexanamine, 1 ,6-Hexanediamine, Pyrazine, Pyridazine, Urea, N- methylpyrrolidone, diglycolamine, pyridine, triethylamine, monoethanolamine, triethanolamine, aminoethylethanolamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, 2 methoxy pyridine, isoxazole, 1,2,4 triazole and derivatives and combinations thereof.

[0123] In a sixth aspect of the invention, the removal compositions are formulated to remove silicon carbide (SiC) and nitrogen-doped SiC (SiC:N) from a microelectronic device having same thereon. Silicon carbide and SiC :N are widely used in the industry because they can be operated at high temperatures, at high power, and at high frequency. Currently, SiC and SiC:N are etched using reactive ion etching, plasma etching, and electrochemical or photoelectrochemical etching. It would be a significant advance in the art to formulate an aqueous solution that would be capable of efficiently and effectively etching SiC and SiC :N.

[0124] Towards that end, the removal composition of the sixth aspect includes at least one etchant source, e.g., a fluoride source, at least one mineral acid, at least one oxidizing agent, and optionally water, wherein the removal composition is useful for removing SiC and/or SiC:N from a microelectronic device structure having same thereon. It is to be understood that some of the components may include water and as such, the optional water component represents additional water added to the solution, not the cumulative amount.

[0125] In the broad practice of the sixth aspect, the removal composition may comprise, consist of, or consist essentially of at least one etchant, at least one mineral acid, at least one oxidizing agent, and optionally water. In general, the specific proportions and amounts of etchant source(s), mineral acid(s), oxidizing agent(s), and optional water, in relation to each other, may be suitably varied to provide the

desired removal action of the composition for SiC, SiC:N and/or processing equipment, as readily determinable within the skill of the art without undue effort.

[0126] The preferred mineral acids include HCl, HNO 3 , H 2 SO 4 , HBr, HI, HClO 4 , H 2 SO 3 , HNO 2 ,

HClO 3 , and H 3 PO 4 , most preferably H 2 SO 4 . The preferred oxidizing agents include the ones listed herein, most preferably H 2 O 2 , oxone, ammonium persulfate, and combinations thereof. The preferred etchants include HF, ammonium fluoride, ammonium bifluoride (NH 5 F 2 ), borofluoric acid, pyridine:HF, triethanolamine:HF, potassium fluoride, ammonium silicon fluoride ((NH 4 ) 2 SiF 6 ), and combinations thereof.

[0127] Preferably, an embodiment of the sixth aspect is present in concentrated form and may comprise, consist of, consist essentially of, the following components present in the following ranges, based on the total weight of the composition: component % by weight preferred/% by weight etchant(s) about 0.01 wt.% to about 40 about 3 wt.% to about 20 wt.% wt.% mineral acid(s) about 30 wt.% to about 95 about 55 wt.% to about 75 wt.% wt.% oxidizing agent(s) about 5 wt.% to about 40 about 15 wt.% to about 30 wt.% wt.% optional water O to about 25 wt.% O to about 12 wt.%

and the pH is in a range from about 0 to about 4, preferably about 1 to about 3.

[0128] The removal composition of the sixth aspect may further include SiC and/or SiC:N material residue therein. Preferably, the material residue dissolves in the removal composition and the removal composition remains viable for continued use.

[0129] This aspect may be formulated in the following Formulations Sl -S 19, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation Sl: H 2 SO 4 (cone) 73.5 wt%; oxone 24.5 wt%; HF (49%) 2 wt%

Formulation S2: H 2 SO 4 (cone) 84.7 wt%; oxone 9.6 wt%; HF (49%) 5.7 wt%

Formulation S3: H 2 SO 4 (cone) 68 wt%; oxone 22.7 wt%; HF (49%) 9.3 wt%

Formulation S4: H 2 SO 4 (cone) 68 wt%; H 2 O 2 24.5 wt%; HF (49%) 2 wt%

Formulation S5: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; HF (49%) 9.1 wt%

Formulation S6: H 2 SO 4 (cone) 56.8 wt%; oxone 22.7 wt%; HF (49%) 9.1 wt%; H 2 O 11.4 wt%

Formulation S7: H 2 SO 4 (cone) 45.5 wt%; oxone 22.7 wt%; HF (49%) 9.1 wt%; H 2 O 22.7 wt%

Formulation S8: H 2 SO 4 (cone) 56.8 wt%; oxone 22.7 wt%; HF (49%) 20.5 wt%

Formulation S9: H 2 SO 4 (cone) 45.5 wt%; oxone 22.7 wt%; HF (49%) 31.8 wt%

Formulation SlO: H 2 SO 4 (cone) 68.2 wt%; oxone 18.2 wt%; HF (49%) 9.1 wt%; (NH 4 ) 2 S 2 O 8 4.5 wt%

Formulation SI l: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; NH 4 F 9.1 wt%

Formulation S12: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; (NH 4 ) 2 SiF 6 9.1 wt%

Formulation S13: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; HBF 4 9.1 wt%

Formulation S14: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; pyridine:HF 9.1 wt%

Formulation S 15: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; NH 5 F 2 9.1 wt%

Formulation S 16: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; TEA:HF 9.1 wt%

Formulation S 17: H 2 SO 4 (cone) 68.2 wt%; oxone 22.7 wt%; KF 9.1 wt%

Formulation S 18: H 2 SO 4 (cone) 62.5 wt%; oxone 20.83 wt%; NH 5 F 2 8.33 wt%; NH 4 F 8.33 wt%

Formulation S 19: H 2 SO 4 (cone) 62.5 wt%; oxone 20.83 wt%; NH 5 F 2 8.33 wt%; KF 8.33 wt%

[0130] Preferably, the range of weight percent ratios of the components are: about 1 :1 to about 20:1 mineral acid(s) relative to etchant(s), preferably about 3:1 to about 15:1; and about 0.1 :1 to about 10:1 oxidizing agent(s) relative to etchant(s), preferably about 1 :1 to about 5:1.

Processes and Kits

[0131] The removal compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the removal compositions may be readily formulated as single -package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the removal composition, e.g., more dilute or more concentrated, and it will be appreciated that the removal compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. In one embodiment, the concentrates of the removal compositions is anhydrous and water may be added by the user at the fab. [0132] Accordingly, another aspect relates to concentrated formulations of the compositions described in the first through fifth aspects with low amounts of water and/or solvent, or alternatively without water and/or solvent, wherein water and/or solvent may be added prior to use to form the removal compositions described herein. The concentrated formulations may be diluted in a range from about 1:10

to 100:1 solvent to concentrate, wherein the solvent can be water and/or organic solvent. In one embodiment, the concentrates of the removal compositions are anhydrous and water may be added by the user at the fab.

[0133] Another aspect relates to a kit including, in one or more containers, one or more components adapted to form the removal compositions described herein. The kit may include, in one or more containers, at least one amine, hydrofluoric acid, optionally at least one organic solvent, optionally at least one chelating agent, optionally at least one additional acid, and optionally water for combining as is or with diluent (e.g., water and/or organic solvent) at the fab. Alternatively, the kit may include at least one amine, hydrofluoric acid, at least one organic solvent, at least one additional acid, and optionally water, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab. In still another embodiment, the kit may include at least one amine, hydrofluoric acid, at least one organic solvent, and optionally water, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab. In yet another alternative, the kit may include, in one or more containers, at least one amine -hydrogen fluoride salt, additional hydrofluoric acid, at least one organic solvent, optionally water, and optionally at least one additional acid, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab. [0134] Alternatively, the kit may include, in one or more containers, hydrofluoric acid, at least one organic solvent, optionally at least one chelating agent, optionally water, and optionally at least one organic acid, for combining as is or with diluent (e.g., water and/or organic solvent) at the fab. In another embodiment, the kit may include, in one or more containers, hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, at least one copper chelating agent, and optionally water, for combining as is or with diluent (e.g., water, organic solvent and/or oxidizing agent) at the fab. In still another embodiment, the kit may include, in one or more containers, hydrofluoric acid, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one organic acid and at least one chelating agent for combining as is or with diluent (e.g., water, organic solvent and/or oxidizing agent) at the fab. It should be appreciated that the kit may include any of the components of the foregoing embodiments, in any combination, as readily determined by one skilled in the art. [0135] The containers of the kit should be chemically rated to store and dispense the component(s) contained therein. For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).

[0136] In another aspect, the kits may be packaged and directed to the removal of very specific materials. Tuning the kit to the user's requirements has the advantage of minimizing the overall cost of removal composition to the user as well as lowering the exposure of the microelectronic device structure/substrate to unnecessary chemicals. For example, if the user intends to remove oxides from the microelectronic device structure, then etchant, e.g., HF, based additives are appropriately added. If the

user intends to remove metals, then select oxidizing agents and/or chelating agents are appropriately added. If the user intends to remove photoresist and other polymer-containing materials, then water soluble organic solvents are appropriately added.

[0137] Towards this end, an embodiment of this aspect is a base formulation to which selected components are added based on the requirements of the user. For example, the base formulation may be an etchant-containing formulation, e.g., an HF-containing formulation, including at least one additional component selected from the group consisting of water, at least one organic solvent, at least one surfactant, and combinations thereof. If the material(s) to be removed includes at least one oxide and at least one metal, the kit preferably includes the base formulation and a first additive for mixing with the base formulation, wherein the first additive includes at least one oxidizing agent, optionally at least one chelating agent, and optionally water. Preferably, the first additive includes at least one oxidizing agent, e.g., H 2 O 2 , and at least one chelating agent. Instructions are preferably provided to instruct the user on what ratio of the base formulation relative to the first additive to combine, optionally with additional water, to substantially remove the oxide and metal materials. If the material(s) to be removed includes at least one oxide, polymer-containing material(s), and photoresist, the kit preferably includes the base formulation and a second additive for mixing with the base formulation, wherein the second additive includes at least one organic solvent, at least one amine and/or water. Instructions are preferably provided to instruct the user on what ratio of the base formulation relative to the second additive to combine, optionally with additional water, to substantially remove the oxide, polymer-containing material(s) and photoresist. If the material(s) to be removed includes at least one oxide, at least one metal, polymer- containing material(s), and photoresist, the kit preferably includes the base formulation, the first additive and the second additive for mixing with the base formulation. Instructions are preferably provided to instruct the user on what ratio of the base formulation relative to the first additive relative to the second additive to combine, optionally with additional water, to substantially remove the oxide, metal, polymer- containing material(s) and photoresist. The base formulation, the first additive and the second additive are preferably packaged in separate containers for shipment. The containers of the kit should be chemically rated to store and dispense the component(s) contained therein. For example, the containers of the kit may be NOWPak® containers described herein. If the material(s) to be removed further includes at least one chalcogenide, preferably at least one inorganic acid such as HCl, HNO 3 or H 2 SO 4 may be added to the base formulation.

[0138] In one embodiment of this aspect, the base formulation includes HF and the second additive includes at least one amine, e.g., NMMO. In another embodiment of this aspect, the base formulation includes HF and at least one organic solvent, preferably diethylene glycol butyl ether and sulfolane. In still another embodiment of this aspect, the base formulation includes HF and at least one organic solvent,

e.g., diethylene glycol butyl ether and/or sulfolane, and the second additive includes at least one oxidizing agent such as H 2 O 2 and at least one chelating agent such as CDTA. In yet another embodiment, the base formulation includes HF and at least one surfactant and the first additive includes at least one oxidizing agent such as H 2 O 2 and at least one chelating agent such as HEDP. In still another embodiment, the base formulation includes HF, at least one surfactant and at least one organic solvent such as sulfolane. [0139] In addition to a liquid solution, it is also contemplated herein that the removal compositions may be formulated as foams, fogs, dense fluids (i.e., supercritical or subcritical, wherein the solvent is CO 2 , etc., in addition to or in lieu of water and/or organic solvent(s)).

[0140] Importantly and advantageously, the removal compositions dissolve or delaminate at least one removable material from the microelectronic device substrate in a single step (i.e., all of the material(s) to be removed may be removed by contacting the rejected microelectronic device substrate with a single composition for a single immersion). Most preferably, the removable materials are dissolved or delaminated in a single step, with the provision that no mechanical polishing is necessary prior to recycling and/or reuse. As defined herein, "dissolution" covers the process whereby a solid solute (e.g., the material to be removed) enters a solvent to form a solution. "Dissolution" is also intended to include the etching, decomposition, chemical polishing and combinations thereof, of the material to be removed. Dissolution has the advantage of minimizing the generation of particulate matter that may subsequently settle on said substrate as well as substantially eliminating clogging of the removal equipment.

[0141] Advantageously, the remaining layers of the microelectronic device structure following the removal process are substantially smooth and undamaged, preferably without the need to planarize the front side and/or backside prior to additional manufacturing processes, i.e., deposition processes of new layers of materials, e.g., low-k dielectric, high-k dielectric, photoresist, metal stack layers, etch stop layers, etc. For example, if following reclamation, the remaining layers include just the microelectronic device substrate and epitaxial Si layer, the substrate is preferably ready for recycling/reuse without the need for an expensive and structurally compromising mechanical polish.

[0142] In yet another aspect, to methods of removal of at least one material selected from the group consisting of low-k dielectric layers, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layers, photoresist, ARC materials, post-etch residue, polymer-containing buildup, doped regions, and combinations thereof from a microelectronic device having said layers thereon is described. For example, low-k dielectric materials may be removed while maintaining the integrity of the underlying substrate and etch stop layers (e.g., SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs), and metal stack materials. Alternatively, low-k dielectric layers and metal stack materials may be removed while maintaining the integrity of the

underlying substrate and/or etch stop layers. In another alternative, low-k dielectric layers, etch stop layers and metal stack materials may be removed while maintaining the integrity of the underlying substrate.

[0143] In a further aspect, the removal compositions of the invention may be used to clean the microelectronic device structure, whereby the polymer-containing buildup on the backside and/or bevel edge of the structure is removed. In one embodiment, the process of removing the polymer-containing buildup from the backside and/or bevel edge of the structure includes the positioning of the structure in a single wafer tool that protects the front side of the wafer using an inert gas, e.g., nitrogen gas and/or a deionized water spray. Alternatively, the front side may be protected by depositing a thick layer of photoresist or other protective coating polymer on the front side. In other words, the front side of the structure, which includes the blanketed or patterned layers that are not to be damaged, is not be exposed to the removal composition when cleaning the backside and/or bevel edge. In another embodiment, both the front side and the backside/bevel edge is exposed to the removal composition to simultaneously remove material from the front side (e.g., low-k dielectric material) and the backside/bevel edge (e.g., polymer-containing buildup and copper-containing material).

[0144] Microelectronic device wafers may be reworked off-site or in-house. In-house reworking and recycling has the advantage of increasing the overall yield, decreasing the overall costs and reducing the cycle time between the diagnostic process and the rework.

[0145] In a removal application, a removal composition is contacted in any suitable manner to the rejected microelectronic device having material to be removed thereon, e.g., by spraying a removal composition on the surface of the device, by dipping (in a volume of a removal composition) of the device including the removable material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has a removal composition absorbed thereon, by contacting the device including the material to be removed with a recirculating removal composition, or by any other suitable means, manner or technique, by which a removal composition is brought into removal contact with the material to be removed. The contacting conditions include a period of time and conditions sufficient to remove at the removable material. Further, batch or single wafer processing is contemplated herein. The removal process using a removal compositions may include a static clean, a dynamic clean, or sequential processing steps including dynamic cleaning, followed by static cleaning of the device in a removal composition, with the respective dynamic and static steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.

[0146] The removal compositions may be used with a large variety of conventional cleaning tools, including Verteq single wafer megasonic Goldfmger, OnTrak systems DDS (double-sided scrubbers), Laurell spin-spray tools, SEZ single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion

™/Reflexion LK™, and Megasonic batch wet bench systems.

[0147] As applied to microelectronic device manufacturing operations, removal compositions are usefully employed to remove at least one removable material from microelectronic device structures for reclaiming, reworking, recycling and/or reuse of said structures. In addition, it should be appreciated that removal compositions may be used during chemical mechanical polishing processes to accelerate the removal of CDO and other low-k dielectric materials or post-CMP processes to remove post-CMP residue material.

[0148] When removing at least one removable material from microelectronic device structures having same thereon, a removal composition typically is contacted with the device structure for a time of from about 30 seconds to about 60 minutes, more preferably about 75 sec to about 5 min, the preferred time being dependent on the thickness of the layer(s) to be removed, at temperature in a range of from about 20 0 C to about 90 0 C, preferably about 25°C to about 60 0 C, most preferably about 25°C to about 50 0 C. Notably, when SiC is to be removed, preferably the temperature is in a range from about 60 0 C to about 90 0 C. When etch stop layers are to be removed, the contacting time may be in a range of from about 5 minutes to about 3 hours at temperature in a range of from about 25°C to about 80°, depending on the thickness of the etch stop layer. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to substantially remove the material(s) from the device structure.

[0149] Following the achievement of the desired removal action, the removal composition is readily removed from the microelectronic device to which it has previously been applied, e.g., by rinse, wash, drying, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions described herein. For example, the microelectronic device may be rinsed with deionized water. In addition, the microelectronic device may be dried with nitrogen gas, isopropanol, or SEZ (spin process technology).

[0150] When used, dense fluids may be applied at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the SCF-based composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, preferably in a range of from about 1,500 to about 4,500 psi, preferably in a range of from about 3,000 to about 4,500 psi. Typical contacting times in a range of from about 1 minute to about 30 minutes and a temperature of from about 35°C to about 75°C, preferably in a range of from about 60 0 C to about 75°C, although greater or lesser contacting durations and temperatures may be advantageously employed where warranted. The removal process using the dense fluid compositions may include a static soak, a dynamic contacting mode, or sequential processing steps including dynamic flow, followed by a static soak, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.

[0151] Removal compositions may be monitored and controlled using statistical process controls (SPC) during contact of the compositions with the rejected microelectronic device structures. For example, the SPC of the removal composition bath may be monitored and several inputs controlled, including temperature of the bath, pH of the bath, concentration of the major components of the bath, concentration of the byproducts, and feed chemical purity. Preferably, the removal composition is monitored using in-line monitoring, wherein in-line sampling equipment may be communicatively coupled with standard analytical tools to monitor bath weight loss (which is an indication of water and/or amine loss), fluoride concentration, H 2 O 2 concentration, pH, etc. By monitoring and/or controlling at least one of these parameters, the life of the removal composition bath may be extended, which maximizes process efficiency. The purpose of the SPC is to maintain a substantial steady state of several parameters of the removal composition as processing occurs over time, as readily determined by one skilled in the art.

[0152] For example, the removal composition may be sampled, manually and/or automatically, and the concentration of a component in the removal composition may be analyzed, using standard analytical techniques, and compared to the initial concentration of said component in the removal composition. An aliquot of a solution of said component may be added, either manually and/or automatically, to the bath to boost the concentration of the component to initial levels, as readily determined by one skilled in the art. It should be appreciated that the maintenance of the concentration of several components in the removal composition is dependent on how much loading of material(s) to be removed has occurred in said composition. As more and more compounds are dissolved therein, the solubility of many active components will actually decrease and eventually fresh removal composition will be required. [0153] Towards this end, the SPC relates in one aspect to a multicomponent fluid composition monitoring and compositional control system, in which a component analysis is effected by titration or other analytical procedure, for one or more components of interest, and a computational means then is employed to determine and responsively adjust the relative amount or proportion of the one or more components in the multicomponent fluid composition, in order to maintain a predetermined compositional character of the multicomponent fluid composition. The SPC system preferably comprises (i) an analyzer unit, constructed and arranged to monitor the concentration of one or more components of the multicomponent fluid using a real-time methodology, and (ii) a control unit constructed and arranged to compare the results of the analyzer unit to pre-programmed specifications and responsively control dispensing of the aforementioned one or more components into the multicomponent fluid as required to maintain a predetermined concentration of the aforementioned one or more components in the multicomponent fluid used in the fluid-using processing facility. In another aspect, an SPC process of monitoring and compositionally controlling a multicomponent fluid used in a processing facility is

described, such process including conducting a real-time component analysis of the multicomponent fluid by titration or other analytical procedure, for one or more components of interest, and computationally and responsively adjusting in real time the relative amount or proportion of the one or more components in the multicomponent fluid composition, to maintain a predetermined compositional character of the multicomponent fluid composition utilized in the fluid-using processing facility.

[0154] As an example, an SPC system for generating hydrogen peroxide at a point of use comprising a hydrogen peroxide-using processing facility may comprise an electrochemical cell constructed and arranged for generating hydrogen peroxide, and a hydrogen peroxide monitoring and concentration control assembly including a analysis unit, e.g., a Karl Fischer analysis unit, comprising means for sampling fluid from the electrochemical cell and analyzing same, wherein the hydrogen peroxide monitoring and concentration control assembly includes means for real-time determination of concentration of the hydrogen peroxide based on the analysis. The process for generating hydrogen peroxide at a point of use including a hydrogen peroxide-using processing facility includes generating hydrogen peroxide in an electrochemical cell, and monitoring hydrogen peroxide in an analysis unit, e.g., a Karl Fischer analysis unit, including sampling fluid from the electrochemical cell and analyzing same, and determining in real time the concentration of the hydrogen peroxide based on the analysis. [0155] As another example, the control unit functions as a process controller and is used to accurately control the automatic replenishment of the solvent components, in particular water, guaranteeing optimum and stable processing over an extended period of time. Once the component analyzer determines the relative composition of the solvent system, the process controller can restore the system to the correct component ratio. Specific limits are pre-programmed into the process controller for the specific component(s) being targeted for analysis. The results from the component analyzer are compared to these specification limits and, if determined to be below the minimum specification value, amounts of the target component can be injected into the solvent solution to restore the required component ratio. By maintaining the component ratio of the solvent system within predetermined limits, the effective bath life of the solvent mixture can be extended. Accordingly, the SPC invention in another aspect relates to a means and method of in situ monitoring and H 2 O injection of compositions used for the reclamation and/or reworking of rejected microelectronic device structures. Using the concentration analysis and solvent replenishment system of the invention to analyze the solution and adjust the water level, the bath life can be increased by at least 100%. This results in substantial savings in a) chemicals, b) downtime for chemical changes, and c) chemical disposal costs.

[0156] These and other SPC embodiments are disclosed in U.S. Patent Nos. 7,214,537 and 7,153,690, both in the name of Russell Stevens, et al., and both of which are hereby incorporated by reference in their entirety.

[0157] With regards to the analysis of HF in a removal composition, the analyzer unit of the SPC may include: (a) a combination of temperature, electrical conductivity, viscosity and ultrasonic propagation velocity values may be analyzed and used to calculate the concentration of HF (see, e.g., U.S. Patent No. 6,350,426 in the name of Sota et al.); (b) fluoride ion-selective electrodes; (c) spectrophotometry; (d) colorimetrically using boronic acid chemistry; and (e) spectrofluorometrically using boronic acid fluorophores (see, e.g., PCT/US2004/022717 filed June 28, 2004 in the name of University of Maryland Biotechnology Institute); to determine the concentration of fluoride in the removal composition. H 2 O 2 monitoring techniques include iodometric or permanganate titrations, colorimetric processes such as the oxidation of titanium (IV) salt and the oxidation of cobalt (II) and bicarbonate in the presence of H 2 O 2 to form a carbonato-cobaltate (III) complex, and the scopoletin procedure using horseradish-derived peroxidase.

[0158] Analysis units may include, but are not limited to, UV-Vis spectrophotometers, IR spectrometers, near IR spectrometers, fluorometers, atomic spectrometers including inductively coupled plasma spectrometers and atomic absorption spectrometers, titration units, electrochemical units and chromatographic units.

[0159] Surprisingly, the inventors discovered that the same microelectronic device structure may be reclaimed, for example, material(s) are removed to reclaim the substrate or to reclaim the substrate plus the layer(s) to be retained, multiple times. For example, the same substrate may be processed to deposit at least one material layer and subsequently reclaimed greater than or equal to two times, preferably greater than or equal to 5 times, more preferably greater than or equal to 10 times, and most preferably greater than or equal to 20 times, depending on the method and the material being deposited, said reclamation satisfies the reclamation requirements described herein each time. Importantly, the reclamation process preferably is a single step removal process (i.e., all of the material(s) to be removed are done so using a single composition in a single step) and preferably no post-reclamation planarization is needed prior to subsequent processing. That said, it should be appreciated by one skilled in the art that some deposition methods and some materials damage the substrate and as such, some planarization may be needed to successfully reclaim the substrate. Planarization will have the effect of limiting the number of times a substrate may be reclaimed.

[0160] In addition, the inventors surprisingly discovered that the same microelectronic device structure may be reworked, for example, photoresist and ARC material(s) are removed from the microelectronic device structure, upwards of ten times. For example, the same structure may be photolithographically processed and subsequently reworked to remove the erroneously positioned photoresist pattern greater than or equal to two times, preferably greater than or equal to five times, and most preferably, greater than or equal to ten times, wherein said rework does not substantially damage the

layer(s) to be retained. In addition, the inventors surprisingly discovered that the backside and/or bevel edge of the microelectronic device structure may be readily cleaned, for example, polymer-containing buildup and/or metals are removed from the backside and/or bevel edge of the microelectronic device structure without resorting to the methods used in the art (e.g., physical polishing, dry plasma etching, combustion, etc.).

[0161] Further, the inventors surprisingly discovered that the potency of a bath of the removal compositions may last greater than or equal to two days, preferably greater than or equal to five days, and most preferably, greater than or equal to ten days, at temperature in a range from about room temperature to about 60 0 C. In other words, a ten-day old bath at temperature in a range from about room temperature to about 60 0 C may be used to successfully reclaim, rework, and/or clean (the backside and/or bevel edges) a microelectronic device structure, according to the requirements provided herein, assuming the bath is not "loaded" with material(s) to be removed. As defined herein, a "loaded" composition corresponds to a volume of removal composition that can no longer dissolve and/or delaminate at least one material(s) to be removed from the microelectronic device structure, as readily determined by one skilled in the art. A loaded removal composition can correspond to the undersaturation, saturation, or supersaturation of a particular material to be removed using the removal composition, the active component(s) in the composition, as well as byproducts thereof.

[0162] Another surprising discovery was the potency of the removal composition bath with use. An unloaded bath of the removal composition having a volume in a range from about 5 L to about 50 L, efficaciously removed the material(s) to be removed from greater than or equal to 50, preferably greater than or equal to 200, more preferably greater than or equal to 500, even more preferably greater than or equal to 1000, and most preferably greater than or equal to 2500 rejected microelectronic device structures having a 300 mm X 750 μm substrate, depending on the number of layers of material(s) that must be removed, as readily determined by one skilled in the art.

[0163] In a further aspect, an article comprising a microelectronic device is described, wherein said microelectronic device comprises a microelectronic device structure or microelectronic device substrate that has been reclaimed, reworked, recycled and/or reused using the method described herein, said method comprising contacting a microelectronic device structure with a removal composition for sufficient time and under sufficient conditions to substantially remove at least one removable material. The recycled or reused microelectronic device structure or microelectronic device substrate may subsequently comprise one or more layers deposited thereon, including at least one of a low-k dielectric layer, high-k dielectric material, etch stop layer, metal stack material, nitride layer, suicide layer, oxide layer, ferroelectric layer, barrier layer materials, doped regions, and combinations thereof, in a subsequent microelectronic device manufacturing process.

[0164] In still another aspect, an article comprising a reworked microelectronic device structure or reworked microelectronic device substrate and at least one additional material layer selected from the group consisting of low-k dielectric material, high-k dielectric materials, etch stop layers, metal stack materials, nitrides, suicides, oxides, ferroelectrics, barrier layer materials, photoresist, ARC material, doped regions, and combinations thereof is described, wherein the at least one additional material layer was deposited onto the microelectronic device structure or substrate subsequent to reworking. The article may further comprise an intermediate layer positioned between the microelectronic device structure or substrate and the at least one additional material layer.

[0165] In a further aspect, a method of manufacturing an article comprising a microelectronic device is described, wherein said microelectronic device comprises a microelectronic device structure or microelectronic device substrate that has been reclaimed, reworked, recycled, and/or reused using the method of the present invention, said method comprising contacting a microelectronic device structure with a removal composition for sufficient time and under sufficient conditions to substantially remove at least one removable material. The method of manufacturing the article may further comprise the deposition of one or more layers on the recycled or reused microelectronic device structure or microelectronic device substrate, wherein said one or more layers include at least one of a low-k dielectric layer, high-k dielectric material, etch stop layer, metal stack material, nitride layer, suicide layer, oxide layer, ferroelectric layer, barrier layer, doped region, and combinations thereof, in a subsequent microelectronic device manufacturing process.

[0166] In another aspect, a method of removing post-etch and/or post-ash residue from the microelectronic device wafer having same thereon using the removal compositions described herein is described.

[0167] In yet another aspect, a method of cleaning the backside and/or bevel edge of a microelectronic device structure is described, said method comprising: positioning the structure in a tool that protects the front side of the structure using nitrogen gas and/or deionized water spray; and contacting the backside and/or bevel edge of the structure with a removal composition, wherein the removal composition substantially removes polymer-containing buildup from the backside and/or bevel edge of the microelectronic device substrate.

[0168] Another aspect relates to a method of processing a microelectronic device using the compositions described herein whereby the temperature of the processing bath is decreased. Presently, most facilities process microelectronic devices at higher bath temperatures so to minimize the processing time. Unfortunately, the higher bath temperatures result in an increase in water and/or HF evaporation, and hence a decrease in the efficiency of the bath. Specifically, the method relates to the lowering of the temperature of the removal composition during material removal, e.g., immersion, spraying, etc.,

followed by a hot rinse with solvent, water, or a solvent/water mixture to remove unwanted residue buildup that occurred during the material removal process. Following the hot rinse, the wafer may be optionally: rinsed with additional solvent (e.g., at room temperature); dried, (e.g., with an IPA vapor dry); polished; and/or otherwise prepared for additional processing, e.g., deposition of new material layers, as readily determined by one skilled in the art. Preferably, the hot rinse solvent comprises water and/or an organic solvent, e.g., methanol, ethanol, isopropanol, ethylene glycol, propylene glycol, diethylene glycol butyl ether, dipropylene glycol methyl ether. In a further embodiment, megasonics or agitation may be used in conjunction with the hot rinse to assist in the removal of the residue buildup. [0169] For example, a method for removing material from a microelectronic device having same thereon may comprise:

(a) contacting the microelectronic device with a removal composition for sufficient time at a first temperature to substantially remove at least one material from the microelectronic device; and

(b) contacting the microelectronic device with a rinse composition for sufficient time at a second temperature to substantially remove residue buildup from the microelectronic device, wherein the difference between the first temperature and the second temperature is in a range from about 40 0 C to about 90 0 C. For example, the first temperature may be in a range from about 5°C to about 30 0 C and the second temperature may be in a range from about 45°C to about 99°C. Accordingly, the first temperature is lower than the second temperature. Applicable times for material removal are in a range from about 1 minute to about 60 minutes, preferably about 1 minute to about 30 minutes, and most preferably about 1 minute to about 10 minutes, the preferred time being dependent on the thickness of the layer(s) to be removed. Applicable times for the hot rinse are in a range from about 1 minute to about 60 minutes, preferably about 1 minute to about 30 minutes, and most preferably about 1 minute to about 10 minutes, the preferred time being dependent on the extent of residue buildup on the microelectronic device. As introduced, megasonics or agitation may be used during the hot rinse to assist in the removal of the residue buildup. Preferably, the hot rinse composition comprises water. The hot rinse preferably uses fresh rinse composition every time although it is contemplated that the rinse composition may be recirculated, if necessary. The microelectronic devices may be processed as single wafers or as a batch and the hot rinse process may be repeated more than once, in part (e.g., just part (a) or just part (b)) or in whole (e.g., part (a) and part (b)).

[0170] An alternative to lowering the bath temperature to minimize evaporation is to include a layer of material(s) on the bath to minimize evaporative effects. Notably, the layer has to include a material or materials that will not substantially dissolve or intermingle in the compositions of the bath. For example, TEFLON® coated materials or TEFLON® materials that float on the surface of the bath, i.e., are less dense than the bath, may be used to completely cover the bath and slow evaporation, thereby increasing

the bath life. TEFLON® coated materials may include hollow, lightweight shapes such as spheres and other polygonal shapes. The shapes may be symmetrical or unsymmetrical. Alternatively, the TEFLON® coated materials may be a shape that is designed to easily fit over the bath, e.g., a floating lid. [0171] Yet another aspect relates to methods of using external visible indicators to identify the endpoints of the removal composition bath. For example, some of the removal compositions include an oxidizing agent, e.g., H 2 O 2 , and it is known that the effectiveness of the removal composition decreases concomitantly with a decrease in the concentration of said oxidizing agent. If the user were able to externally visualize the concentration of oxidizing agent at any time after initiation of cleaning, the user may be able to increase the concentration of oxidizing agent, either manually or automatically, and thus increase the life of the bath.

[0172] Towards this end, the external indicators may be a strip consisting of colorants or functional photonic crystals film. The colorant strips may include an oxidation-reduction indicator or an acid-base indicator, and an organic binder, wherein the strip material and the organic binder do not chemically react with the removal compositions, the rejected microelectronic devices, or the indicator material. The colorants may include, but are not limited to, Methylene violet, Lissamine green B, Alkali blue 6 B, Malachite green oxalate, Toluidine blue O, Brilliant green, or combinations thereof. The organic binder may be selected from synthetic or natural polymers or resins, including but not limited to, cellulose acetate butyrate, ethyl cellulose, ethyl cellulose, acrylic resins, shellac, and combinations thereof. The strip substrate may include, but is not limited to, polymer materials, such as polyester, polyethylene, or polystyrene films, papers, and the like. When a strip is dipped in a fresh removal composition including oxidizing agent, e.g., hydrogen peroxide, the indicator will change to a predetermined color (or remain colorless) based on the indicator chosen and the concentration of H 2 O 2 in the removal composition. Following initiation of reclamtion, the strip will undergo visible changes which will indicate that the concentration of the H 2 O 2 is decreasing. Based on the color change, the user will be able to ascertain the approximate concentration of H 2 O 2 and how much H 2 O 2 should be added to the removal composition to bring the concentration approximately back to acceptable compositional levels. Accordingly, another aspect relates to a kit including indicator strips, a color chart, and conversion charts to assist the user in quickly determining how much H 2 O 2 should be added to the removal composition based on the color change. Importantly, the indicator strips may be reusable or designed for one-time use. It should be appreciated that an ultraviolet radiation indicator may be used in place of the visible indicator, wherein all changes are monitored by a UV-VIS spectrophotometer or equivalent thereof.

[0173] In an alternate embodiment, photonic crystal strips including a functional reducing agent, organic polymeric or inorganic photonic crystals, and a substrate may be used to externally monitor the removal composition. When the strip including the photonic crystals is dipped into the removal

composition, the hydrogen peroxide will react with the functional reducing agent, whereby the lattice spacing and hence the diffraction of the photonic crystals change. With the diffraction change, color changes in the UV-VIS, visible, or near IR electromagnetic range may be observed or detected using the naked eye or UV-VIS-Near IR spectroscopy. Based on the spectroscopic change, the user will be able to ascertain the approximate concentration of H 2 O 2 and how much H 2 O 2 should be added to the removal composition to bring the concentration approximately back to acceptable compositional levels. Accordingly, another aspect relates to a kit including the photonic crystal strips, a spectroscopic chart, and conversion charts to assist the user in quickly determining how much H 2 O 2 should be added to the removal composition based on the spectroscopic change.

[0174] In still another aspect, a variation of the indicator teaching includes including a visible indicator in the removal composition, wherein the visible indicator changes from one color to another when the removal composition is no longer efficacious for the removal of materials from the rejected microelectronic device, e.g., the bath endpoint. For example, the visible indicator may be present in the one or more containers of a removal composition kit whereby upon mixing the indicator is activated. Alternatively, the indicator may be manufactured separately as a solid or a liquid that is added to the removal composition prior to or during material removal action. In yet another alternative, the indicator may be included in one or more containers of a removal composition kit and the indicator is already active. When the composition has reached its endpoint, the indicator will undergo the transition from one "color" to another "color." It is to be appreciated that the transition may be from colorless to a color in the visible spectrum, from a color in the visible spectrum to another color in the visible spectrum, or from a color in the visible spectrum to colorless. The indicator may be a dye additive, for example, Malachite green oxalate, Crystal violet, Methyl violet 2B, Ethyl violet, New fuchsin, Victoria blue B, Victoria pure blue BO, Toluidine blue O, Luxol brilliant green BL, Disperse blue 1, Brilliant blue R, Victoria R, Quinea green B, Thionin, Meldolas blue, Methylene green, Lissamine green B, Alkali blue 6B, Brilliant green, Spirit soluble HLK BASF, Victoria green S extra, Acid violet 17, Eriochrome black T, Eriochrome blue black B, D & C green no. 2, Spirit soluble fast RR, Spirit soluble fast red 3B, D & C red no. 22, Nitro red, Congo red, Cresol red, Brilliant cresyl blue ALD, Arsenazo 1, Basic red 29, Bismarck brown R, Methylene violet, Methylene violet 3RAX, Mordant brown 1, Reactive black 5, Mordant brown 48, Acid brown AX987, Acid violet AX990, Basic red 15, Mordant red 19, Bromopyrogallol red, and combinations thereof. Various combinations of these dyes can be used in the indicator compositions. Accordingly, any of the removal compositions described herein may further include an indicator therein. [0175] In yet another aspect, a salt bridge used for corrosion measurement in HF-based removal compositions is described. Hydrogen fluoride readily corrodes the glass-made reference electrodes, making the measurement of corrosion virtually impossible, especially at HF concentrations typical of the

removal compositions. One commercial alternative is the glass, U-shaped salt bridge with a Luggin capillary connecting the testing solution and a reference electrode container having an HF-free solution, e.g., a KCl solution. Disadvantageously, a glass vessel will not work with the removal compositions of the invention because of the concentration of HF and the U-shape is inconvenient for testing because of the necessity of the separate reference electrode container.

[0176] To overcome these disadvantages, an all-in-one salt bridge is disclosed herein. The salt bridge includes a plastic tube (or some other material that is resistant to the removal compositions described herein, e.g., TEFLON®-coated materials) as a secondary container for the reference electrode and PTFE tubing as the Luggin capillary. Alternatively, the Luggin capillary may be a gel loading micropipette tip composed of PTFE. The Luggin capillary and a small section of the tube are filled with an electrolyte gel, e.g., Agar in KCl, before the gel sets. "Small section" corresponds to less than about 15 % of the total volume of the tube, preferably less than about 10%, and most preferably less than about 8% of the total volume of the tube. The salt bridge may be stored in a 1 M KCl solution when not in use. Examples of the salt bridge of the invention are shown schematically in Figure 1. For example, Figure IA is the schematic of the salt bridge with the micropipette tip Luggin capillary. Figures IB and 1C illustrate the salt bridge with Luggin capillaries having uniform inner diameters, one of which is curved at the tip (Figure IB).

[0177] It is to be appreciated by one skilled in the art that although the tube is shown as being cylindrical about one axis, wherein the inner diameter is uniform, a tube for the salt bridge of the invention may be polygonal in shape and does not have to have a uniform inner diameter. [0178] In use, a Ag/AgCl reference electrode is inserted into the salt bridge tube and the Luggin capillary of the salt bridge may be inserted into a container filled with a composition, e.g., the removal composition. In addition, a counter electrode, e.g., a Pt electrode, and a working electrode are immersed in the composition. The working electrode may be constructed by taping the material to be tested (for corrosivity) to a TEFLON® plate with TEFLON® tape. Corrosion experiments, as readily determined by one skilled in the art, may thereafter be carried out.

[0179] In a further aspect, a wet bench tool for processing wafers comprising at least three baths is described, wherein the first bath comprises a removal composition, the second bath comprises a rinse composition, and the third bath comprises a neutralizing bath for use subsequent to the removal composition bath but prior to the rinse bath, said bath being useful for neutralizing the high fluoride content of the removal composition that remains on the device wafer following immersion therein. As such, in yet another aspect, a method of substantially removing material(s) from the microelectronic device structure using a removal composition, neutralizing the wafer surface using a buffer rinse step and rinsing the neutralized wafer with water is described.

[0180] In a preferred embodiment, the present aspect relates to a method of removing at least one removable material from a microelectronic device structure having said material(s) thereon, said method comprising: contacting the microelectronic device with a removal composition for sufficient time to substantially remove at least one removable material from the microelectronic device;

contacting the microelectronic device having removal composition thereon with a neutralizing composition to neutralize the removal composition on the microelectronic device; and

rinsing the microelectronic device having neutralized removal composition thereon with a rinsing solution to remove the neutralized removal composition therefrom.

[0181] Preferably, the neutralizing compositions include at least one buffering species wherein the pH of the neutralized removal composition is in a range from about 5 to about 9, more preferably in a range from about 6 to about 8, and most preferably about 7. Buffering species contemplated herein include, but are not limited to, commercial color-coded buffer solutions or customized solutions including bases such as hydroxides, carbonates, phosphates, diphosphates, etc., and base/salt mixtures. Preferably, the rinsing solution comprises water.

[0182] In yet another aspect, a multiple step removal process to substantially eliminate pitting of the microelectronic device substrate during reclamation processing is described. The multiple step process includes at least one step to remove metal(s) and barrier layer material(s) and at least another step to remove all other non-metal layers (e.g., post-etch residue, low-k dielectrics, high-k dielectrics, etch stop materials, ferroelectrics, suicides, nitrides, oxides, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof). For example, the step to remove metal(s) and barrier layer material(s) may include contacting the microelectronic device structure with a first composition including at least one oxidizing agent and at least one chelating agent to yield a microelectronic device structure that is substantially devoid of metal(s) and barrier layer material(s). The step to remove all other non-metal layer(s) may include contacting the microelectronic device structure that is substantially devoid of metal(s) and barrier layer material(s) with a removal composition described herein, e.g., the removal compositions of the second aspect or the fifth aspect and any other removal composition that is devoid of oxidizing agent, to yield a microelectronic device substrate. It should be appreciated that a rinse step may be incorporated between the step to remove metal(s) and barrier layer material(s) and the step to remove all other non-metal layer(s). Further, it should be appreciated that the aforementioned neutralizing bath may be incorporated into the process subsequent to the step to remove all other non-metal layer(s) to

neutralize the high fluoride content of the removal composition that remains on the device wafer following immersion therein. Regardless of whether the neutralizing bath is used or not, the microelectronic device substrate may be rinsed, dried, and further processed for recycling and/or reuse as described herein. Contacting conditions for each of the steps of the process include temperature in a range from about 20 0 C to about 50 0 C for time in a range from about 10 sec to about 30 min, preferably about 1 min to about 15 min. The range of weight percent ratios of the components in the first composition is about 0.1 :1 to about 5:1 chelating agent(s) relative to oxidant(s), preferably about 0.33:1 to about 3:1, and most preferably about 0.6:1 to about 2:1.

[0183] Another aspect relates to the removal of conductive inks from a microelectronic device structure having same thereon including, but not limited to, flat panel displays, antennas, batteries, and RFID technologies, using removal compositions. "Conductive inks" include, but are not limited to, conductive metals (e.g., Ag, Cu, Al), carbon, adhesive polymer binders, conductive polymers, nanoparticles, conductive carbon nanotubes, and combinations thereof. The components of the removal composition are dependent on the compositional makeup of the conductive ink, as readily determined by one skilled in the art. Depending on the compositional makeup, the removal compositions described herein may be used as is, after modification by adding additional component(s), or alternatively, new removal compositions may be formulated. For example, the removal composition preferably includes at least one organic solvent, most preferably at least one amine, as previously introduced, to assist with the removal of adhesive polymer layers and/or conductive polymers. At least one acid and/or at least one oxidizing agent may be present in the removal composition when the conductive ink to be removed from the microelectronic device substrate includes conductive metals, carbon, conductive polymers, and/or carbon nanotubes. Acids contemplated include, but are not limited to, sulfuric acid, p-toluene sulfuric acid, hydroxyacetic acid, HF, HCl, nitric acid, sulfuric acid, and phosphoric acid. The oxidizing agents contemplated include the species previously introduced herein and preferably include, but are not limited to, H 2 O 2 , persulfate salts (e.g., sodium, potassium, ammonium), oxone, peroxydisulfate salts, organic peroxides, and combinations thereof. In still another embodiment, the removal composition includes at least one base and at least one oxidizing agent when the conductive ink to be removed from the microelectronic device substrate includes conductive metal, carbon and/or conductive polymers. Bases contemplated include ammonium hydroxide, sodium peroxide, potassium hydroxide, and hydroxide species having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched CpC 6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C 6 -Ci 0 aryl, e.g., benzyl. In yet another embodiment, the removal composition includes at least one surfactant and at least one chelating agent when the conductive ink to be removed from the microelectronic device

substrate includes conductive metal, carbon nanotubes and/or conductive polymers. Surfactant(s) and chelating agent(s) were previously introduced herein. In a particularly preferred embodiment, the removal composition further includes conductive ink, wherein the conductive ink may be dissolved and/or suspended in the removal composition. Importantly, even with conductive ink contained therein, the removal composition remains viable for continued use.

[0184] In still another aspect, a kit for the multiple step removal process is described, having one or more containers, including the first composition, the removal composition, and/or the neutralizing composition. The kit may include instructions on how to use the compositions of the multiple step removal process to substantially eliminate pitting of the microelectronic device substrate during reclamation processing. The first composition, the removal composition and/or the neutralizing composition may be provided premixed in their own individual containers. It is also contemplated that the components of the first composition may be provided in one or more containers for mixing at the point of use (with each other and/or water) to make the first composition, that the components of the removal composition may be provided in one or more containers for mixing at the point of use (with each other and/or water) to make the removal composition, and/or the components of the neutralizing composition may be provided in one or more containers for mixing at the point of use (with each other and/or water) to make the neutralizing composition. The containers of the kit should be chemically rated to store and dispense the component(s) contained therein. For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). [0185] In yet another aspect, a method of reclaiming, reworking, reusing and/or recycling a DNA chip, also referred to as a DNA microarray, using the removal compositions is described. DNA chips typically are typically produced on glass substrates and nucleic acids are deposited thereon using photolithographic techniques. As such, there will be occasions where the DNA chip is rejected and would otherwise be scrapped if it were not reclaimed, reworked, reused and/or recycled.

[0186] Following processing, the compositions may be further processed to lower the chemical oxygen demand (COD) of the waste water stream in the fabrication facility. For example, mixed aqueous-organic formulations containing both organic solvents and inorganic biotoxic compounds such as fluorides may be treated with (1) carbon, preferably a polyvinylidene chloride (PVDC) monolith carbon having micropores less than 1 nm wide, which will "scrub" the organic solvent from the composition, (2) a metal carbonate, such as alkali or alkaline earth metal carbonate, which can react with the fluoride ions and neutralize any acid present, and/or (3) a calcium silicate, such as Ca 3 SiO 5 -Ca 2 SiO 4 -XH 2 O, which can react with the fluoride ions and neutralize any acid present. The treatments may be sequential or in a one- step mixed bed approach. The waste water stream of the fab should be exposed to the treatment(s) until the COD is lowered to promulgated acceptable levels.

[0187] The features and advantages are more fully shown by the illustrative examples discussed below.

Example 1

[0188] Blanketed SiC:N and SiC on a bare Si wafer, both having a thickness of about 550 A, were immersed in the various embodiments of the sixth aspect of the invention at temperatures in a range from 60 0 C to 80 0 C. Following immersion in the removal composition, the wafers were removed, rinsed and dried and the etch rate determined. The results for the SiC :N and the SiC wafers are provided in Table 1 and Table 2, respectively. Table 1: Etch rate of SiC :N at 80 0 C (unless noted otherwise).

Table 2: Etch rate of SiC at 80 0 C.

[0189] Notably, the presence of added water may decrease the rate of SiC etching. The best etch rate of SiC observed, about 9 A min "1 , is an improvement over the prior art, however, there was simultaneous backside etching of the Si wafer. To avoid backside etching, it is proposed that the backside by protected much like the front-side is during reworking, e.g., positioning the wafer in a single wafer tool that protects the back side of the wafer using an inert gas, e.g., nitrogen, and/or a deionized water spray. Alternatively, the back side may be protected by depositing a thick layer of photoresist or other protective coating

polymer on the back side including an one-sided adhesive material that is resistant to the removal composition and is sized to cover the back side of the wafer.

* * *

[0190] Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features, and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features, and embodiments, within their spirit and scope.