Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
APPARATUS AND METHOD FOR AN AUTOMATED OPTICAL INSPECTION OF A SUBSTRATE
Document Type and Number:
WIPO Patent Application WO/2019/174710
Kind Code:
A1
Abstract:
A system for an optical inspection of a substrate is provided. The system comprises at least a first processing and a second processing chamber. The system comprises at least a transfer chamber for receiving the substrate from the first processing chamber and for transferring said substrate to the second processing chamber. The transfer chamber is provided with an inspecting device for performing an optical inspection on the substrate processed in the first processing chamber.

Inventors:
HEYMANNS MATTHIAS (DE)
BANGERT STEFAN (DE)
VERCESI TOMMASO (DE)
ZANG SEBASTIAN GUNTHER (DE)
Application Number:
PCT/EP2018/056068
Publication Date:
September 19, 2019
Filing Date:
March 12, 2018
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
APPLIED MATERIALS INC (US)
HEYMANNS MATTHIAS (DE)
BANGERT STEFAN (DE)
VERCESI TOMMASO (DE)
ZANG SEBASTIAN GUNTHER (DE)
International Classes:
C23C14/04; C23C14/54; C23C14/56; G03F9/00; H01L21/67; H01L21/68
Domestic Patent References:
WO2012039383A12012-03-29
Foreign References:
US20160355924A12016-12-08
US20120037819A12012-02-16
US20120237682A12012-09-20
KR20160014253A2016-02-11
Other References:
None
Attorney, Agent or Firm:
ZIMMERMANN & PARTNER PATENTANWÄLTE MBB (DE)
Download PDF:
Claims:
CLAIMS

1. An apparatus for an optical inspection of a substrate processed in at least a first processing chamber and a second processing chamber, the apparatus comprising: an inspecting device for performing an optical inspection between the first processing chamber and the second processing chamber on the substrate processed in the first processing chamber.

2. The apparatus according to claim 1, said device being configured for the optical inspection of a substrate in an essentially vertical position.

3. The apparatus according to any one of the preceding claims, wherein the inspection device detects an offset mask value, said offset mask value corresponding to a relative position of the substrate with respect to a mask element.

4. The apparatus according to any one of the preceding claims, wherein the inspecting device comprises at least: light source for illuminating the substrate; one or more image capturing devices for taking one or more images of at least a portion of the substrate; and processing device for processing the captured images.

5. The apparatus according to any one of the preceding claims, wherein the inspecting device further comprises at least optics 68 for detecting fluorescence light.

6. The apparatus according to any one of the preceding claims, wherein the inspection device is positioned to inspect the substrate being maintained under vacuum conditions.

7. The apparatus according to any one of the preceding claims, wherein the inspection device is an in-line inspection system.

8. A system for an optical inspection of a substrate, the system comprising: at least a first processing chamber and a second processing chamber; and at least a transfer chamber for receiving the substrate from the first processing chamber and for transferring said substrate to the second processing chamber, wherein the transfer chamber is provided with an inspecting device for performing an optical inspection on the substrate processed in the first processing chamber.

9. A method for an in-line optical inspection of a substrate, the method comprising:

receiving the substrate from a first processing chamber; performing an optical inspection on the substrate processed in the first processing chamber in accordance with deposition parameters; obtaining information data on a quality of the substrate; sending back said information data to the first processing chamber; and adapting the deposition parameters for processing a subsequent substrate in the first processing chamber.

10. The method according to claim 9, wherein performing the optical inspection comprises: lighting the substrate; capturing images of at least a portion of the substrate; and processing the images of the substrate taken at different lighting conditions.

11. The method according to claim 10, wherein the portion of the substrate comprises a device pixel and/or a control pixel.

12. The method according to any one of claims 9 to 11, wherein obtaining information data comprises calculating an offset mask value, said offset mask value corresponding to a relative position of the substrate with respect to a mask element located between said substrate and a deposition source.

13. The method according to claim 12, further comprising calculating an offset mask value of the substrate by averaging the information data from captured images taken by a plurality of image capturing devices and for a plurality of portions of the substrate.

14. The method according to any one of claims 9 to 13, wherein performing an optical inspection comprises taking at least an image of the substrate under fluorescence illumination.

15. A system for aligning a position of a substrate relative to a mask element coupled to said substrate, wherein the substrate and the mask element are in an essentially vertical position, the system comprising: an inspecting device for optically inspecting a relative position of the mask element with respect to the substrate, the mask element being used for processing the substrate in a processing chamber and the inspecting device being located following said processing chamber, a processing device for calculating a corresponding offset mask value, and an adjusting device for adjusting the position of the mask element relative to the substrate in response to said calculated offset mask value.

Description:
APPARATUS AND METHOD FOR AN AUTOMATED OPTICAL INSPECTION

OF A SUBSTRATE

FIELD

[0001] Embodiments of the present disclosure relate to apparatuses, systems, and methods for an optical inspection of a substrate, more specifically of a large area substrate coated with depositing material. Also, the embodiments of the present disclosure relate to apparatuses, systems, and methods for an optical inspection of a substrate inline within a processing system, and to systems for aligning the position of a substrate relative to a mask element.

BACKGROUND

[0002] Several methods are known for depositing a material on a substrate. As an example, substrates may be coated by using an evaporation process, a physical vapor deposition (PVD) process, such as a sputtering process, a spraying process, etc., or a chemical vapor deposition (CVD) process. The process can be performed in a processing chamber of a deposition apparatus, where the substrate to be coated is located. A deposition material is provided in the processing chamber. A plurality of materials, such as organic material, molecules, metals, oxides, nitrides, and carbides may be used for deposition on a substrate. Further, other processes like etching, structuring, annealing, or the like can be conducted in processing chambers.

[0003] For example, coating processes may be considered for large area substrates, e.g. in display manufacturing technology. Coated substrates can be used in several applications and in several technical fields. For instance, an application can be organic light emitting diode (OLED) panels. Further applications include insulating panels, microelectronics, such as semiconductor devices, substrates with thin film transistors (TFTs), color filters, or the like. OLEDs are solid-state devices composed of thin films of (organic) molecules that create light with the application of electricity. As an example, OLED displays can provide bright displays on electronic devices and use reduced power compared to, for example, liquid crystal displays (LCDs). In the processing chamber, the organic molecules are generated (e.g., evaporated, sputtered, or sprayed etc.) and deposited as layer on the substrates. The particles can for example pass through a mask having a boundary or a specific pattern to deposit material at desired positions on the substrate, e.g. to form an OLED pattern on the substrate.

[0004] An aspect related to the quality of the processed substrate, in particular of the deposited layer, is the alignment of the substrate with respect to the mask. As an example, the alignment should be accurate and steady in order to achieve good process results. Lor this purpose, reference points (fiducials) present on the substrate and on the mask are used to correctly align the mask with the substrate before the deposition process. However, the relation between these reference points can be susceptible to external interferences, such as vibrations, manufacturing tolerance, handling, deformation, etc. Therefore, an automated optical inspection (AOI) can be provided to obtain the so-called“offset values”, which define how the mask and the substrate have to be aligned in order to match the mask pattern to the backplane (e.g. substrate) pattern.

[0005] The approach of using the automated optical inspection is effective when the substrate is coated in a horizontal position. Lor example, an AOI check in horizontal position can use the results of measurement at the end of a manufacturing line of a dummy glass deposition to send a feedback for adjusting the mask offset.

[0006] When the substrate and the mask are maintained in an essentially vertical position during the deposition, additional aspects emerge which influence the alignment between the mask and the substrate. A traditional end of line automated optical inspection is less effective. In fact, since in a vertical system both the substrate and the mask are subjected to the gravitational force acting in the same direction of the system configuration, this force could cause a relative drift between the substrate and the mask, particularly for large area substrates. Lurthermore, for the processing, the substrate may be moved from a horizontal configuration to a vertical configuration and vice versa. This may affect the substrate alignment relative to the mask. In this case, a standard AOI check, for example in a chamber at the end of the line using a dummy glass deposition, may not be sufficient. [0007] In view of the above, there is a need for apparatuses, systems, and methods, which can provide for an improved automated optical inspection of the substrate, even when this is coated in a vertical position.

SUMMARY

[0008] According to one embodiment, an apparatus for an optical inspection is provided. The apparatus is configured to inspect a substrate processed in at least a first processing chamber and a second processing chamber. The apparatus includes an inspecting device for performing an optical inspection between the first processing chamber and the second processing chamber on the substrate processed in the first processing chamber.

[0009] According to another embodiment, a system for an optical inspection of a substrate is provided. The system includes at least a first processing and a second processing chamber, and at least a transfer chamber for receiving the substrate from the first processing chamber and for transferring said substrate to the second processing chamber, wherein the transfer chamber is provided with an inspecting device for performing an optical inspection on the substrate processed in the first processing chamber.

[0010] According to another embodiment, a method for an in-line optical inspection of a substrate is provided. The method includes receiving the substrate from a first processing chamber, performing an optical inspection on the substrate processed in the first processing chamber in accordance with deposition parameters, obtaining information data on the quality of the substrate, sending back said information data to the first processing chamber, and adapting the deposition parameters for processing a subsequent substrate in the first processing chamber.

[0011] According to another embodiment, a system for aligning the position of a substrate relative to a mask element coupled to said substrate is provided. The substrate and the mask element are in an essentially vertical position. The system includes an inspecting device for optically inspecting the relative position of the mask element with respect to the substrate, the mask element being used for processing the substrate in a processing chamber and the inspecting device being located following said processing chamber, a processing device for calculating a corresponding offset mask value, and an adjusting device for adjusting the position of the mask element relative to the substrate in response to said calculated offset mask value.

BRIEF DESCRIPTION OF THE DRAWINGS

[0012] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments. The accompanying drawings relate to embodiments of the disclosure and are described in the following:

FIG. 1 shows a schematic side view of a manufacturing system for processing a substrate in a vertical position;

FIG. 2 shows a schematic view of a deposition process for manufacturing OLEDs on a substrate;

FIG. 3A shows a schematic front view of a holding arrangement for supporting a substrate and a mask in a vertical orientation during layer deposition in a processing chamber;

FIG. 3B shows a schematic side view of the holding arrangement of FIG. 3A;

FIG. 4 shows a schematic view of a substrate coupled with a mask and a detail on the comer of the substrate;

FIG. 5 shows a schematic representation of an apparatus for an optical inspection of a substrate according to an embodiment of the present disclosure;

FIG. 6 shows a schematic representation of an inspecting device according to an embodiment of the present disclosure;

FIG. 7 shows a schematic representation of a system for an optical inspection of a substrate according to an embodiment of the present disclosure;

FIG. 8 shows a flowchart for illustrating a method for an in-line optical inspection of a substrate according to an embodiment of the present disclosure, and FIG. 9 shows a schematic representation of a system for aligning the position of a substrate relative to a mask element according to an embodiment of the present disclosure.

FIG. 10A is a schematic view of a vacuum processing system according to embodiments of the present disclosure having two or more vacuum cluster chambers and a plurality of processing chambers connected to one or more of the vacuum cluster chambers; and

FIG. 10B is a schematic view of the vacuum processing system of FIG. 10A and illustrates an exemplary substrate traffic or flow of substrates within the vacuum processing system according to embodiments of the present disclosure.

DETAILED DESCRIPTION OF EMBODIMENTS

[0013] Reference will now be made in detail to the various embodiments of the disclosure, one or more examples of which are illustrated in the figures. Within the following description of the drawings, the same reference numbers refer to same components. Only the differences with respect to individual embodiments are described. Each example is provided by way of explanation of the disclosure and is not meant as a limitation of the disclosure. Further, features illustrated or described as part of one embodiment can be used on, or in conjunction with, other embodiments to yield yet a further embodiment. It is intended that the description includes such modifications and variations.

[0014] The embodiments described herein can be utilized for inspecting large area coated substrates, e.g., for manufactured displays. The substrates or substrate receiving areas for which the apparatuses and methods described herein are configured can be large area substrates having a size of e.g. 1 m 2 or above. For example, a large area substrate or carrier can be GEN 4.5, which corresponds to about 0.67 m 2 substrates (0.73x0.92m), GEN 5, which corresponds to about 1.4 m 2 substrates (1.1 m x 1.3 m), GEN 7.5, which corresponds to about 4.29 m 2 substrates (1.95 m x 2.2 m), GEN 8.5, which corresponds to about 5.7m 2 substrates (2.2 m x 2.5 m), or even GEN 10, which corresponds to about 8.7 m 2 substrates (2.85 m x 3.05 m). Even larger generations such as GEN 11 and GEN 12 and corresponding substrate areas can similarly be implemented. For example, for OLED display manufacturing, half sizes of the above mentioned substrate generations, including GEN 6, can be coated by evaporation of an apparatus for evaporating material. The half sizes of the substrate generation may result from some processes running on a full substrate size, and subsequent processes running on half of a substrate previously processed.

[0015] The term “substrate” as used herein may particularly embrace substantially inflexible substrates, e.g., a wafer, slices of transparent crystal such as sapphire or the like, or a glass plate. However, the present disclosure is not limited thereto and the term “substrate” may embrace flexible substrates such as a web or a foil. The term “substantially inflexible” is understood to distinguish over“flexible”. Specifically, a substantially inflexible substrate can have a certain degree of flexibility, e.g. a glass plate having a thickness of 0.5 mm or below, wherein the flexibility of the substantially inflexible substrate is small in comparison to the flexible substrates.

[0016] A substrate may be made of any material suitable for material deposition. For instance, the substrate may be made of a material selected from the group consisting of glass (for instance soda-lime glass, borosilicate glass etc.), metal, polymer, ceramic, compound materials, carbon fiber materials, metal or any other material or combination of materials which can be coated by a deposition process.

[0017] FIG. 1 illustrates a manufacturing system 1000 for processing a substrate in a vertical position. The apparatuses, the systems, and the methods according to the present disclosure may be part of such a manufacturing system 1000 or a similar manufacturing system. Among other things, the manufacturing system 1000 includes a load lock chamber 1010, which is connected to a horizontal substrate handling chamber 1020. The substrate can be transferred from the glass handling chamber 1020 to a vacuum swing module 1030, wherein the substrate is loaded in a horizontal position on a carrier. After loading the substrate on the carrier in the horizontal position, the vacuum swing module 1030 rotates the carrier having the substrate provided thereon in a vertical or essentially vertical orientation.

[0018] The carrier having the substrate provided thereon is then transferred through a first rotation and transport chamber 1040 and at least one further rotation and transport chamber (1041-1045) having an essentially vertical orientation. In the rotation and transport chambers (1040-1045) the substrate may be rotated for example by 90°, 180°, 270°, or 360° when the substrate is received from a processing chamber and may be transferred to another vacuum chamber, the substrate being maintained in the vertical position. One or more deposition apparatuses 1050 can be connected to the rotation and transport chambers. Further, other substrate processing chambers or other vacuum chambers can be connected to one or more of the rotation and transport chambers.

[0019] As described with respect to FIGS. 10A and 10B, a rotation chamber may also be referred to as a cluster chamber or a vacuum rotation module. According to embodiments described herein, two or more cluster chambers, i.e. rotation chambers or vacuum rotation chambers, may be provided in an in-line arrangement.

[0020] After processing of the substrate, the carrier having a substrate thereon is transferred from a rotation and transport chamber into the vacuum swing module 1030 or an optional further vacuum swing module 1031 in the vertical orientation. In other words, the processed substrate can exit the system being transferred back to the vacuum swing module 1030 or passing through an optional part 1070 of the system comprising the further vacuum swing module 1031. The vacuum swing module 1030 or the further vacuum swing module 1031 may rotate the carrier having a substrate thereon from the vertical orientation to a horizontal orientation. Thereafter, the substrate can be unloaded into the glass handling chamber 1020 or a further horizontal glass handling chamber 1021. The processed substrate may be unloaded from the processing system 1000 through a load lock chamber, e.g. load lock chamber 1010 or load lock chamber 1011, for example after the manufactured device is encapsulated in one of the thin-film encapsulation chambers 1060 or 1061.

[0021] The processing chamber may be a vacuum chamber or a vacuum deposition chamber. The term“vacuum”, as used herein, can be understood in the sense of a technical vacuum having a vacuum pressure of less than, for example, 10 mbar. The system 1000 can include one or more vacuum pumps, such as turbo pumps and/or cryo-pumps, connected to the vacuum chamber for generation of the vacuum inside the vacuum chamber. [0022] According to some embodiments, and as shown in FIG. 1, the one or more rotation and transport chambers 1040-1045 are provided along a line for providing an in line transportation system portion of the system.

[0023] FIG. 2 shows a schematic view of a deposition process for manufacturing OLEDs on a substrate 10, whereas FIGS 3 A and 3B show an example of an holding arrangement 40 for supporting a substrate 10 on a substrate carrier 11 and a mask 20 on a mask carrier 21 during layer deposition in a processing chamber, wherein the substrate 10 and the mask 20 are maintained in an essentially vertical position.

[0024] As shown in FIG. 2, for manufacturing OLEDs, organic molecules can be provided by a deposition source 30 (e.g., evaporated) and deposited on the substrate 10. A mask arrangement including a mask 20 is positioned between the substrate 10 and the deposition source 30. The mask 20 has a specific pattern, e.g., provided by a plurality of openings or holes 22, so that organic molecules pass through the openings or holes 22 (e.g., along a path 32) to deposit a patterned layer or film of an organic compound on the substrate 10. A plurality of layers or films can be deposited on the substrate 10 using different masks or positions of the mask 20 with respect to the substrate 10, e.g., to generate pixels, for example, with different color properties. As an example, a first layer or film can be deposited to generate red pixels 34, a second layer or film can be deposited to generate green pixels 36, and a third layer or film can be deposited to generate blue pixels 38. The layer(s) or film(s), e.g., an organic material, can be arranged between two electrodes, such as an anode and a cathode (not shown). At least one electrode of the two electrodes can be transparent.

[0025] The substrate 10 and the mask 20 can be arranged in a vertical orientation during the deposition process. In FIG. 2, arrows indicate a vertical direction Y and a horizontal direction X. As used throughout the present disclosure, the term "vertical direction" or "vertical orientation" is understood to distinguish over "horizontal direction" or "horizontal orientation". That is, the "vertical direction" or "vertical orientation" relates to a substantially vertical orientation e.g. of the holding arrangement and the substrate, wherein a deviation of a few degrees, e.g. up to 10° or even up to 15°, from an exact vertical direction or vertical orientation is still considered as a "substantially vertical direction" or a "substantially vertical orientation". The vertical direction can be substantially parallel to the force of gravity.

[0026] FIG. 3A shows a schematic view of a holding arrangement 40 for supporting a substrate carrier 11 and a mask carrier 21 during layer deposition in a processing chamber that can be used in systems and apparatuses according to embodiments described herein. FIG. 3B shows a side view of the holding arrangement 40 shown in Fig. 3A.

[0027] Alignment systems used on vertical- operated tools can work from outside of a processing chamber, i.e., from the atmospheric side. The alignment system can be connected to a substrate carrier and a mask carrier with stiff arms, e.g., extending through a wall of the processing chamber. For an alignment system outside of the vacuum, a mechanical path between mask carrier or mask and substrate carrier or substrate is long, making the system susceptible to external interference (vibrations, heating, etc.) and tolerances.

[0028] Additionally or alternatively, an actuator of an alignment system may be included within the vacuum chamber. Accordingly, a length of a stiff arm may be reduced. For example, an actuator that may mechanically contact the substrate carrier and the mask carrier can be at least partially provided between a track for the mask carrier and a track for the substrate carrier.

[0029] The holding arrangement 40 may include two or more alignment actuators connectable to at least one of the substrate carrier 11 and the mask carrier 21, wherein the holding arrangement 40 is configured to support the substrate carrier 11 in, or parallel to, a first plane, wherein a first alignment actuator 41 of the two or more alignment actuators may be configured to move the substrate carrier 11 and the mask carrier 21 relative to each other at least in a first direction Y, wherein a second alignment actuator 42 of the two or more alignment actuators may be configured to move the substrate carrier 11 and the mask carrier 21 relative to each other at least in the first direction Y and a second direction X different from the first direction Y, and wherein the first direction Y and the second direction X are in the first plane. The two or more alignment actuators can also be referred to as "alignment blocks". Accordingly, the alignment blocks or alignment actuators can change the position of the substrate 10 relative to the mask 20. For example, a mask carrier and/or a substrate carrier can be transported in a processing area in a levitated state to reduce particle generation. In the processing area, the mask carrier and the substrate carrier can be mechanically contacted by one or more alignment actuators.

[0030] As shown in FIG. 3B, the mask 20 can be attached to the mask carrier 21 and the holding arrangement 40 is configured for supporting at least one of the substrate carrier 11 and the mask carrier 21, particularly both the substrate carrier 11 and the mask carrier 21, in a substantially vertical orientation, in particular during layer deposition. The deposition occurs along the direction Z according to the arrow illustrated in FIG. 3B.

[0031] By moving the substrate carrier 11 and the mask carrier 21 relative to each other at least in the first direction Y and the second direction X using the two or more alignment actuators, the substrate carrier 11 can be aligned with respect to the mask carrier 21 or mask 20, and the quality of the deposited layers can be improved.

[0032] For performing an adjustment of the position of the mask 20 relative to the substrate 10, by actuation of the alignment blocks, an optical inspection can be performed in order to check possible variances or deviations relative to a correct alignment. As mentioned above, fiducial reference points may be taken into account for this purpose. Fiducials are pattern recognition markers that may e.g. be solder mask openings, e.g., with a round bare copper in the center. For example, fiducials are located near corner edges of the substrate/mask element and are recognized using an image detection system that compares the detected images with stored information data. By measuring the location of the fiducials relative to the substrate - stored for example in a system’s memory - it is possible to compute the degree to which parts, e.g. the mask, are moved relative to the substrate to ensure an accurate placement.

[0033] FIG. 4 shows an example of a substrate 10 coupled with a mask 20 for the deposition of an organic material in order to form devices 12 having e.g. pixels with different properties employed in apparatuses and systems according to the present disclosure. As shown in FIG. 4, the mask 20 is provided with fiducials 22 at the comers in order to align the substrate 10 to the mask 20 before the deposition. [0034] FIG. 5 shows an apparatus 50 for an optical inspection according to an embodiment of the present disclosure. The apparatus 50 can be configured to optically inspect a substrate 10 processed in at least a first processing chamber 51 and a second processing chamber 52. The apparatus 50 can comprise an inspecting device 60 for performing an optical inspection between the first processing chamber 51 and the second processing chamber 52 on the substrate 10 processed in the first processing chamber 51. In other words, the apparatus 50 can be located along a transportation path of e.g. an in-line processing system of the substrate 10, i.e. a transportation path between the first processing chamber 51 and the second processing chamber 52.

[0035] The dashed lines 10’ and 10” in the first and second processing chambers of Fig. 5 show that the apparatus 50 can receive the processed substrate from the first processing chamber 51 and that the substrate will be transferred to the second processing chamber 52. Between the first processing chamber 51 and the apparatus 50, additional intermediate chambers may be provided. In a similar way, between the apparatus 50 and the second processing chamber 52, additional intermediate chambers may be provided. Advantageously, the apparatus 50 is located following at least a processing chamber 51 and before a processing chamber 52. Referring to FIG. 1, the apparatus 50 may be located at the end of the line between the last processing chamber 1050 and the optional vacuum swing module 1031. In case the apparatus 50 is located at the end of the line, the optical inspection can be carried out in a vacuum chamber, i.e. a rotation and transport chamber. In this way, the processed substrate is optically inspected under the same pressure conditions as during the deposition/evaporation of the organic material.

[0036] The optical inspection occurs after a processing and is therefore performed on a processed substrate 10. It is not necessary to interrupt the production line, e.g. to process a dummy substrate, and the inspection may be carried out on a real processed substrate (i.e. not on a substrate with a dummy deposition). The parameters affecting the alignment of the mask 20 relative to the substrate 10 during the deposition can be taken into account. In particular, the apparatus 50 for optical inspection according to the present disclosure checks a pixel position accuracy with a real substrate. The optical inspection can particularly be carried out on a stationary processed substrate 10, i.e. the processed substrate 10 is stationary relative to the inspecting device 60. [0037] With the term“processed substrate” may be herein intended a substrate subjected at least to a processing, e.g. the deposition of a layer material (organic or not organic), wherein at least a mask element is coupled to the substrate, the mask element having a specific pattern through which the particles of the material pass to deposit a layer material at desired portions of the substrate.

[0038] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspecting device 60 can be configured for the optical inspection of a processed substrate 10 in an essentially vertical position.

[0039] Advantageously, by passing from the first processing chamber 51 to the apparatus 50, the processed substrate 10 does not undergo any significant orientation change or substrate swinging, i.e. the processed substrate 10 remains in the essentially vertical position. In this way, the optical inspection can be carried out after processing the substrate 10 without any unnecessary delay.

[0040] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspecting device 60 can detect an offset mask value, said offset mask value corresponding to the relative position of the substrate 10 with respect to a mask element 20.

[0041] By detecting the offset mask value on the processed substrate 10 using the inspecting device 60 according to the present disclosure, it is possible to control the alignment of the mask 20 relative to the substrate 10 during the deposition. Should this offset value result in a misalignment exceeding predetermined tolerance values, the apparatus 50 can be configured to feed back this information to the preceding processing chamber (i.e. the first processing chamber 51). The feedback can act on the alignment blocks to compensate the detected offset mask value.

[0042] Said determined tolerance values may be set such that the detected offset mask value can still be considered acceptable for the final product or such that the offset mask value is not acceptable for the final product. In both cases the alignment actuators on the substrate carrier or on the mask carrier present in the preceding processing chamber are actuated to compensate the detected offset. However, whereas in the first case the optical inspected substrate can be transferred to eventually further processing chamber(s) in order to complete the production process, in the second case the optically inspected substrate can be transferred to a chamber where said substrate can be dismissed.

[0043] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspecting device 60 can comprise at least a light source 62 for illuminating the processed substrate 10, one or more image capturing devices 64 for taking one or more images of at least a portion of the substrate 10, and processing device 66 for processing the captured images. This is schematically shown in Fig. 6.

[0044] At least the light source 62 and the image capturing device 64 can be located in front of the processed surface of the substrate 10 according to determined positions to correctly illuminate and to capture the images of the portion of the processed substrate 10 to be investigated. Additionally or alternatively, the incident light and the measured light signal can be guided to and from the substrate by an optical fiber.

[0045] The light source 62 can comprise any one of incandescent, fluorescent, IR, UV lights or LED (white, red, green, blue) light sources. In order to perform different light conditions, a plurality (two or more) of light sources 62 of different nature and located in different positions relative to the processed substrate 10 may be employed. For example, one or more light sources can be a laser.

[0046] The image capturing device 64 can be a photo camera or a video camera able to scan over portions of the processed substrate 10. The inspecting device 60 can comprise a single-camera system, having a single image capturing device 64, or a multiple-camera system, having a plurality of image capturing devices 64. Particularly, the inspecting device 60 according to one embodiment of the present disclosure comprises four image capturing devices 64. According to some embodiments, which can be combined with other embodiments described herein, the inspection device can include a camera, such as a camera for visual light, a UV camera, and/or a IR camera. [0047] The processing device 66 analyzes the images captured by the image capturing device 64 and controls the illumination conditions of the light source 62. Therefore, the processing device 66 may comprise a processing unit, such as a CPU, connected to the light source as well as to the image capturing device 64. Specifically, the processing device 66 may compare the captured images with stored data or another captured image to obtain information data on the quality of the processed substrate 10, e.g. through the offset mask value. The processing device may send back the obtained information data to the first processing chamber 51. The information may act on the alignment blocks. In this regard, the alignment blocks are provided with a dedicated control unit that receives the information data from the apparatus 50. The control unit may directly control the alignment actuators on the substrate carrier and/or the mask carrier for adjusting the position of the mask 20 relative to the substrate 10.

[0048] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspecting device 60 can further comprise at least optics 68 for detecting fluorescence light. In particular, the optics 68 can comprise filter fluorimeters using filters to isolate the fluorescence light or spectrofluorometers using diffraction grating monochromators to isolate the fluorescence light. Due to the fluorescence properties of the organic materials, the processed substrate 10 can be illuminated with fluorescence lights and the images may be captured using a dedicated device, such as a CCD camera module. Consequently, the pixel pattern can be identified more precisely.

[0049] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspecting device 60 can be positioned to inspect the processed substrate 10 being maintained under vacuum conditions. Advantageously, said vacuum conditions are the same as in the first processing chamber 51. Therefore, the pressure conditions on the processed substrate 10 during the optical inspection are similar or identical to the pressure conditions during the deposition of the organic layer on the substrate.

[0050] According to a further embodiment, whereas the substrate 10 is maintained under vacuum conditions, some components of the inspecting device 60, such as for example the light source 62 and the image capturing device 64, may be located in a separate space in normal air pressure conditions or lower vacuum conditions. Advantageously, the maintenance procedures of these components of the inspecting device 60 would be facilitated.

[0051] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspecting device 60 can be an in line inspection system. An in-line inspection system provides the inspection within the processing line, i.e. between two processing actions. As compared to an inspection at the end of the line, a feedback delay time can be reduced. The reduced feedback delay time results in an improved yield of the processing system.

[0052] Consequently, the apparatus 50 may be inserted in a production line for depositing organic films on a substrate. Referring back to FIG. 5, while the processed substrate 10 is optically inspected by the inspecting device 60 of the apparatus 50, a new substrate (e.g. 10’) can be processed or is going to be processed in the first processing chamber 51. At the same time, a previous substrate (e.g. 10”), already processed in the first processing chamber 51 and subsequently inspected by the inspecting device 60 of the apparatus 50 can be further processed or is going to be processed in the second processing chamber 52.

[0053] Advantageously, the results of the optical inspection carried out by the apparatus 50 can be used in real time to adjust, for example, deposition parameters, such as alignment parameters, during the processing in the first processing chamber 51.

[0054] The term“in real time” is intended herein that the optical inspection may be carried out immediately after the processing of the substrate 10, e.g. after the deposition of an organic layer on the substrate 10. Consequently, the feedback on the mask offset value can be sent to the processing chamber, for example to the corresponding alignment actuators, with a reduced delay after the processing. Also, the feedback would refer to the deposition of that specific organic layer. For example, the feedback may be sent to the processing chamber after about 1 minute to 5 minutes from the deposition of the organic layer on the substrate. [0055] FIG. 7 shows a system 70 according to one embodiment of the present disclosure. The system 70 can comprise at least a first processing chamber 71 and a second processing chamber 72 for processing a substrate 10 (not shown in the figure). The system 70 can further comprise a transfer chamber 73 for receiving the processed substrate 10 from the first processing chamber 71 and for transferring the processed and optically inspected substrate 10 to the second processing chamber 72. In particular, the transfer chamber 73 is provided with an inspecting device (not shown in the figure) for performing an optical inspection of the substrate 10 processed in the first processing chamber 71.

[0056] The inspecting device of the system 70 may work as the inspecting device 60 described in FIG. 5 and FIG. 6. In other words, the transfer chamber 73 may comprise the above-mentioned apparatus 50 for optical inspection. Consequently, the features and the advantages of the inspecting device 60 (and apparatus 50) described above apply also for the inspecting device of the system 70.

[0057] For example, the optical inspection can be carried out on a processed (real) substrate 10 in the transfer chamber 73 after the processing. In particular, the inspecting device can be configured for the optical inspection of a processed substrate 10 in an essentially vertical position. The inspecting device can detect an offset mask value, said offset mask value corresponding to the relative position of the substrate 10 with respect to a mask element 20. The inspecting device can comprise at least a light source for illuminating the processed substrate 10, one or more image capturing device for taking one or more images of at least a portion of the processed substrate 10, and a processing device for processing the captured images. In particular, since located in the transfer chamber, the inspecting device is positioned to inspect the processed substrate 10 being maintained under the same vacuum conditions as in the first processing chamber 71.

[0058] As shown in FIG. 7, the inspecting device can be an in-line inspection system positioned in a transfer chamber 73 within a manufacturing system. The transfer chamber 73 may be located between two rotation chambers 78. The rotation chambers 78 may be configured to rotate the substrate 10 for example by 90°, 180°, 270°, or 360°, when the substrate 10 passes from a processing chamber (i.e. 71) to another processing chamber (i.e. 72). The rotation occurs maintaining the substrate 10 in an essentially vertical position. The system 70 may comprise additional processing chambers 74, 76 and additional transfer chambers 75, 77, wherein the processed substrate 10 can be transported from a processing chamber (e.g. 71) to another processing chamber (e.g. 72) passing through the transfer chamber (e.g. 73) and the rotation chambers (e.g. 78). The processing chambers 72, 74 and 76 may be dedicated to deposit on the substrate 10 an organic layer of a specific type. For example, the processing chamber 72 may be configured for the deposition of a blue emission layer (B-EML), the chamber 74 for the deposition of the green emission layer (G- EML) and the chamber 76 for the deposition of the red emission layer (R-EML). As another example, the processing chamber 71 may be configured to deposit an electron transport layer (ETL). The processing chambers’ quality can be based on a correct alignment between a dedicated mask element 20 and the substrate 10 during the deposition or evaporation. Therefore, the inspecting device can be located in the transfer chamber following or directly following each processing chamber in order to check the quality of the layer, i.e. the mask offset values, after each deposition. FIG. 7 shows a system 70 in which the optical inspection is carried out in the transfer chamber 73, following the processing chamber 71 and preceding the processing chamber 72. However, according to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the optical inspection may be performed also in the transfer chamber 75, in the transfer chamber 77 or in any transfer chamber following a processing chamber when the necessity arises to control the patterning quality after deposition.

[0059] Advantageously, the feedback for adjusting a deposition parameter, e.g. the offset mask value, may be sent back to the processing chamber with a reduced delay time. The quality of the processed substrate can for example be checked within 5 minutes from the deposition of the organic layer. The deposition parameter may be eventually adjusted without waiting for a complete processing of the product. Also, the optical inspection may be carried out after the deposition of each layer on the substrate. In this way, it is also possible to control the patterning quality for each single deposited layer. Consequently, the offset mask values for different and separate processing chambers may be identified.

[0060] According to some embodiments, which can be combined with other embodiments described herein, an optical inspection can be conducted after two processing operations and before another (third) processing operation. For example, an optical inspection may evaluate the quality of two previous processing operations. For such an embodiment, the term“in real time” is intended herein that the optical inspection may be carried out immediately after the first and the second processing of the substrate 10. For example, the feedback may be sent to the processing chamber after about 2 minutes to 10 minutes from the deposition of the organic layer on the substrate.

[0061] FIG. 8 shows in a flowchart a method 100 for an in-line optical inspection of a processed substrate 10 according to an embodiment of the present disclosure. The method 100 comprises receiving 102 the processed substrate 10 from a first processing chamber 51, performing 104 an optical inspection on the substrate 10 processed in the first processing chamber 51 according to deposition parameters, obtaining 106 information data on the quality of the processed substrate 10, sending back 108 said information data to the first processing chamber 51, and adapting 110 the deposition parameters for processing a subsequent substrate in the first processing chamber 51. In particular, the in-line optical inspection according to the present disclosure can be carried out between a first processing and a second processing of the substrate 10.

[0062] By performing the optical inspection, the method 100 according to the present disclosure may check the alignment between the deposition layers and the backplane (or substrate) directly on the processed substrate 10. Consequently, an indirect control of the alignment of the mask element 20 relative to the substrate 10 during the deposition in the processing chamber is carried out. Information data are therefore obtained regarding the quality of the processed substrate 10, that is the quality of the deposited layer. In case the alignment check determines that the deposited layer has a quality level below a determined tolerance value, the information data are fed back to the processing chamber where deposition parameters are adjusted for the processing of the subsequent substrate.

[0063] With“deposition parameters” are intended the processing parameters that are involved during the deposition or evaporation of the organic layer on the substrate. For example, the deposition parameters may comprise the relative alignment of the mask element 20 with the substrate 10 that can be modified or adjusted by acting on the alignment actuators configured to change the position of the mask 10 relative to the substrate 10. The alignment actuators may be coupled to the mask carrier 21 and/or to the substrate carrier 11. [0064] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, performing 104 the optical inspection can comprise illuminating 112 the processed substrate 10, capturing 114 images of at least a portion of the processed substrate 10, and processing 116 the images of the processed substrate 10 taken at different lighting conditions.

[0065] By processing 116 the captured images taken at different lighting conditions, it is possible to identify different portions of the processed substrate 10, for example the backplane or the single organic layers deposited thereon. A patterning quality check can be carried out by correlating for example images captured under different lighting conditions. For this purpose, the lighting may be performed using a plurality of light sources 62 spatially located in different positions and using lighting sources of different natures.

[0066] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the inspected portion of the processed substrate 10 can comprise a device pixel and/or a control pixel.

[0067] In this way, it is possible to control the patterning quality at different levels, i.e. at device pixel level by correlating images of identified backplane with images of identified pixel devices and/or at control pixel level, by correlating the control structure on the processed substrate with a test pixel (e.g. fiducial).

[0068] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, obtaining 106 information data can comprise calculating an offset mask value, said offset mask value corresponding to the relative position of the substrate 10 with respect to a mask element 20 located between said substrate 10 and a deposition source 30.

[0069] Consequently, the calculated offset mask value can be used as feedback data for adjusting the alignment of the mask 20 relative to the substrate 10 during the deposition of the organic layer in the processing chamber.

[0070] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, the method 100 can further comprise calculating the average offset mask value of the substrate 10 by averaging the information data from captured images taken by a plurality of image capturing devices 64 and for a plurality of portions of the processed substrate 10.

[0071] In this way, it is possible to obtain more precise information regarding the quality of the deposited layer. In fact, by averaging the data resulting from capturing images of different portions on the processed substrate 10 (e.g. different pixel devices in different positions on the processed substrate) and using different image capturing devices 64 (for example four), a complete check of the alignment between the deposition layer and the backplane can be obtained.

[0072] Using a plurality of image capturing devices 64 may lead to the advantage of collecting images of different portions of the processed substrate 10 at the same time, e.g. with the same point of view. This can be obtained, for example, if the image capturing devices 64 are located at the same distance from the processed substrate 10 with the same field of view. Alternatively, the plurality of image capturing devices 64 may be located at different distances from the substrate 10 with different fields of view in order to capture the processed substrate 10, or portion of the processed substrate 10, from different viewpoints. Similar results may be obtained with a single image capturing device 64 that is movable through for example a mechanical arm on the processed substrate 10. Yet, further a light signal can be guided through an optical fiber or optical fiber array to the image capturing device.

[0073] According to some embodiments of the present disclosure, which can be combined with other embodiments described herein, performing 104 an optical inspection can comprise taking at least an image of the processed substrate 10 under fluorescence illumination.

[0074] For example, the backplane can be identified using normal (white) illumination and the pixel device can be identified using fluorescence illumination. As a consequence, by capturing two images of the same portion of processed substrate 10, but using two different illuminations (e.g. a normal illumination and a fluorescence illumination), it is possible to identify different elements of the processed substrate 10 which can be correlated to each other to obtain information on the patterning quality. [0075] FIG. 9 shows a system 80 for aligning the position of a substrate 10 relative to a mask element 20 coupled to said substrate 10, wherein the substrate 10 and the mask element 20 are in an essentially vertical position.

[0076] The system 80 comprises an inspecting device 82 for optically inspecting the relative position of the mask element 20 with respect to the substrate 10, the mask element 20 being used for processing the substrate 10 in a processing chamber 51 and the inspecting device 82 being located following said processing chamber 51. Furthermore, the system comprises a processing device 84 for calculating a corresponding offset mask value, and an adjusting device 86 for adjusting the position of the mask element 20 relative to the substrate 10 in response to said calculated offset mask value. In particular, the system 80 can be located preceding a subsequent processing chamber (not shown in the figure).

[0077] The adjusting device 86 can be coupled to the alignment actuators 41, 42 of the substrate carrier 11 in order to control and change the position of the substrate 10 relative to the mask 20. Fig. 9 shows that the adjusting device 86 is separated by the alignment actuators 41, 42 of the substrate carrier 11. However, according to an alternative embodiment of the present disclosure, the adjusting device 86 can be integrated in one or both the alignment actuators 41, 42, said actuators 41, 42 being directly controlled by the processing device 84.

[0078] It is noted that the system 80 is located outside the processing chamber 51. In the processing chamber 51, the deposition occurs in the direction of the arrow. The material, e.g. organic material, is for example evaporated and deposited on the substrate 10. The material passes through the specific pattern of the mask 20 at desired portions of the substrate 10 to form for example OLED devices (or parts of OLED devices). The mask 20 is held by a mask carrier 21 and the substrate 10 is held by a substrate carrier 11. The offset mask value is calculated by inspecting the processed substrate 10 after the deposition is carried out in the processing chamber 51 and before the substrate 10 is transferred to a further processing chamber, wherein the processed substrate 10 is always maintained under vacuum conditions. FIG. 9 shows that both during the deposition in the processing chamber 51 and during the optical inspection, the substrate 10 is oriented in the same position, i.e. in an essentially vertical position (direction Y). [0079] The inspecting device 82 of the system 80 may work as the inspecting device 60 described in FIG. 5 and FIG. 6. Consequently, the features and the advantages of the inspecting device 60 (and apparatus 50) described above apply also for the inspecting device 82 of the system 80. In particular, the system 80 of FIG. 9 may be part of a manufacturing system for the deposition of an organic layer such as the system 1000 of FIG. 1 or the system 70 of FIG. 7. In particular, the system 80 for aligning the position of a substrate 10 relative to a mask element 20 coupled to said substrate 10 may be located inside a transfer chamber following or directly following a chamber for processing said substrate 10. In particular, the system 80 may be located between two processing chambers.

[0080] In this way, it is possible to directly act on the alignment actuators of the mask 20 and/or substrate 10 on a real time basis, i.e. with a reduced delay time of e.g. 20 minutes or below or even 5 minutes or below, compared to traditional systems, in order to eventually adjust the deposition parameters for a subsequent substrate processed in the processing chamber 51.

[0081] According to different embodiments of the present disclosure, an apparatus for an optical inspection of a substrate processed in at least a first processing chamber and a second processing chamber can be provided at various positions in a vacuum processing system, i.e. at various positions between a first processing chamber and a second processing chamber.

[0082] FIG. 10A shows a vacuum processing system 1100 according to embodiments of the present disclosure. The vacuum processing system 1100 provides a combination of a cluster arrangement and an in-line arrangement. A plurality of processing chambers 1120 are provided. The processing chambers 1120 can be connected to vacuum rotation chambers 1130. The vacuum rotation chambers 1130 are provided in an in-line arrangement. The vacuum rotation chambers 1130 can rotate substrates to be moved into and out of processing chambers 1120. The combination of a cluster arrangement and an in line arrangement can be considered a hybrid arrangement. A vacuum processing system 1100 having a hybrid arrangement allows for a plurality of processing chambers 1120. The length of the vacuum processing system still does not exceed a certain limit. [0083] According to embodiments of the present disclosure, a cluster chamber or a vacuum cluster chamber is a chamber, e.g. a transfer chamber, configured to have two or more processing chambers connected thereto. Accordingly, the vacuum rotation chambers 1130 are examples of a cluster chamber. Cluster chambers can be provided in an in-line arrangement in the hybrid arrangement.

[0084] A vacuum rotation chamber or a rotation module (also referred to herein as “routing module” or “routing chamber”) may be understood as a vacuum chamber configured for changing the transport direction of the one or more carriers which may be changed by rotating one or more carriers located on tracks in the rotation module. For example, the vacuum rotation chamber may include a rotation device configured for rotating tracks configured for supporting carriers around a rotation axis, e.g. a vertical rotation axis. In some embodiments, the rotation module includes at least two tracks which may be rotated around a rotation axis. A first track, particularly a first substrate carrier track, may be arranged on a first side of the rotation axis, and a second track, particularly a second substrate carrier track, may be arranged on a second side of the rotation axis.

[0085] In some embodiments, the rotation module includes four tracks, particularly two mask carrier tracks and two substrate carrier tracks which may be rotated around the rotation axis.

[0086] When a rotation module rotates by an angle of x°, e.g. 90°, a transport direction of one or more carriers arranged on the tracks may be changed by an angle of x°, e.g. 90°. A rotation of the rotation module by an angle of 180° may correspond to a track switch, i.e. the position of the first substrate carrier track of the rotation module and the position of the second substrate carrier track of the rotation module may be exchanged or swapped and/or the position of the first mask carrier track of the rotation module and the position of the second mask carrier track of the rotation module may be exchanged or swapped.

[0087] Within the present disclosure, reference is made to chambers that are connected to each other. Connected chambers may be directly connected, for example, wherein a flange of one chamber is connected to a flange of an adjacent chamber. Alternatively, chambers may be connected to each other by a connection unit providing for example vacuum seals or other connection elements, or providing slit valves or other elements provided between two adjacent chambers. A connection unit is very short as compared to a length of a large area substrate and can be distinguished from a vacuum chamber. For example, a connection chamber has a length of 20% or less of the length of a substrate. According to embodiments, which can be combined with other embodiments described herein, a first chamber being connected to a second chamber can be understood that the first chamber is adjacent to the second chamber, for example, without an intermediate chamber. As described above, the first chamber can be directly connected to the second chamber or via a connection unit.

[0088] FIG. 10A shows the vacuum processing system 1100 and FIG. 10B illustrates the substrate traffic in the vacuum processing system. The substrate enters the vacuum processing system 1100, for example, at a vacuum swing module 1110. According to further modifications, a load lock chamber may be connected to the vacuum swing module for loading and unloading substrates into the vacuum processing system. The vacuum swing module typically receives the substrate directly or via a load lock chamber from an interface of the device manufacturing factory. Typically, the interface provides the substrate, for example, a large area substrate, in a horizontal orientation. The vacuum swing module moves the substrate from the orientation provided by the factory interface to an essentially vertical orientation. The essentially vertical orientation of the substrate is maintained during processing of the substrate in the vacuum processing system 1100 until the substrate is moved, for example, back to a horizontal orientation. Swinging, moving by an angle, or rotating the substrate is illustrated by arrow 1191 in FIG. 10B.

[0089] According to embodiments of the present disclosure, a vacuum swing module may be a vacuum chamber for movement from a first substrate orientation to a second substrate orientation. For example, the first substrate orientation can be a non-vertical orientation, such as a horizontal orientation, and the second substrate orientation can be a non-horizontal orientation, such as a vertical orientation or an essentially vertical orientation. According to some embodiments, which can be combined with other embodiments described herein, the vacuum swing module can be a substrate repositioning chamber configured to selectively position a substrate therein in a first orientation with respect to a horizontal orientation and a second orientation with respect to a horizontal orientation. [0090] The substrate is moved through a buffer chamber 1112 (see FIG. 10A), for example as indicated by arrow 1192. The substrate is further moved through a cluster chamber, such as a vacuum rotation chamber 1130 into a processing chamber 1120. In some embodiments described with respect to figures 10A and 10B, the substrate is moved into the processing chamber 1120-1. For example, a hole inspection layer (HIL) can be deposited on the substrate in the processing chamber 1120-1.

[0091] In the present disclosure, reference is made to manufacturing of an OLED flat- panel display, particularly for mobile devices. However, similar consideration, examples, embodiments and aspects may also be provided for other substrate processing applications. For the example of an OLED mobile display, a common metal mask (CMM) is provided in the processing chamber 1120-1. The CMM provides an edge exclusion mask for each mobile display. Each mobile display is masked with one opening and areas on the substrate corresponding to areas between displays are mainly covered by the CMM.

[0092] Subsequently, the substrate is moved out of the processing chamber 1120 into the adjacent cluster chamber, for example, vacuum rotation chamber 1130, through a first transfer chamber 1182, through a further cluster chamber, and into the processing chamber 1120-11. This is indicated by arrow 1194 in FIG. 10B. In processing chamber 1120-11, a hole transfer layer (HTL) is deposited on the substrate. Similarly to the hole injection layer, the hole transfer layer is manufactured with a common metal mask having one opening per mobile display. Further, the substrate is moved out of the processing chamber 1120-11 into the adjacent cluster chamber, for example, vacuum rotation chamber 1130, through a second transfer chamber 1184, through a further cluster chamber, and into the processing chamber 1120-III. This is indicated by further arrow 1194 in FIG. 10B.

[0093] A transfer chamber or transit module may be understood as a vacuum module or vacuum chamber that can be inserted between at least two other vacuum modules or vacuum chambers, e.g. between vacuum rotation chambers. Carriers, e.g. mask carriers and/or substrate carriers, can be transported through the transfer chamber in a length direction of the transfer chamber. The length direction of the transfer chamber may correspond to the main transportation direction of the vacuum processing system, i.e. the in-line arrangement of the cluster chambers. [0094] In processing chamber 1120-III, an electron blocking layer (EB) is deposited on the substrate. The electron blocking layer can be deposited with a fine metal mask (FFM). The fine metal mask has a plurality of openings, for example, sized in the micron range. The plurality of fine openings corresponds to a pixel of the mobile display or the color of a pixel of the mobile display. Accordingly, the FFM and the substrate is beneficially highly accurately aligned with respect to each other to have an alignment of the pixels on the display in a micron range.

[0095] The substrate is moved from processing chamber 1120-III to processing chamber 1120-IV, subsequently to processing chamber 1120-V and to processing chamber 1120- VI. For each of the transportation paths, the substrate is moved out of processing chamber into, for example, a vacuum rotation chamber, through a transfer chamber, through a vacuum rotation chamber and into the next processing chamber. For example, an OFED layer for red pixels can be deposited in chamber 1120-IV, an OFED layer for green pixels can be deposited in chamber 1120-V, and an OFED layer for blue pixels can be deposited in chamber 1120-VI. Each of the layers for color pixels are deposited with the fine metal mask. The respective fine metal masks are different such that the pixel dots of different color are adjacent to each other on the substrate to give the appearance of one pixel. As indicated by further arrow 1194 extending from processing chamber 1120-VI to processing chamber 1120- VII, the substrate can be moved out of the processing chamber into a cluster chamber through a transfer chamber through further cluster chamber and into the subsequent processing chamber. In processing chamber 1120- VII, an electron transfer layer (ETF) may be deposited with the common metal mask (CMM).

[0096] The substrate traffic described above for one substrate is similar for a plurality of substrates, which are simultaneously processed in the vacuum processing system 1100. According to some embodiments, which can be combined with other embodiments described herein, a tact time of the system, i.e. a time period, can be 180 seconds or below, e.g. from 60 seconds to 180 seconds. Accordingly, the substrate is processed within this time period, i.e. a first exemplary time period T. In the processing chambers described above and the subsequent processing chambers described below, one substrate is processed within the first time period T, another substrate that has just been processed is moved out of the processing chamber within the first time period T, and a yet further substrate to be processed is moved into the processing chamber within the first time period T. One substrate can be processed in each of the processing chambers while two further substrates participate in substrate traffic with respect to this processing chamber, i.e. one further substrate is unloaded from the respective processing chamber and one substrate is loaded into the respective processing chamber during the first time period T.

[0097] The above described route of an exemplary substrate from processing chamber 1120-1 to processing chamber 1120-VII is provided in a row of processing chambers of the vacuum processing system 1100, for example, the lower row in FIGS. 10A and 10B. The row or lower part of the vacuum processing system is indicated by arrow 1032 in FIG. 10B.

[0098] According to some embodiments, which can be combined with other embodiments described herein, substrates can be routed in one row or one part of the vacuum processing system from one end of the in-line arrangement of cluster chambers to the opposing end of the in-line arrangement of cluster chambers of the vacuum processing system. At the opposing end of the in-line arrangement, for example, the vacuum rotation chamber 1130 at the right hand side in FIG. 10 A, the substrate is transferred to the other row or the other part of the vacuum processing system. This is indicated by arrow 1195 in FIG. 10B. On the other row or in the other part of the vacuum processing system, which is indicated by arrow 1034 in FIG. 10B, the substrate is processed in subsequent processing chambers while moving from the opposing end of the in-line arrangement of cluster chambers to the one end, i.e. the starting end, of the in-line arrangement of cluster chambers.

[0099] In the example shown in FIG. 10A, the exemplary substrate is moved to processing chamber 1120- VIII, and subsequently to processing chamber 1120-IX. For example, a metallization layer, which can exemplarily form a cathode of the OLED device, can be deposited in processing chamber 1120- VIII, for example with a common metal mask as described above. For example, one or more of the following metals may be deposited in some of the deposition modules: Al, Au, Ag, Cu. At least one material may be a transparent conductive oxide material, e.g. ITO. At least one material may be a transparent material. Thereafter a capping layer (CPL) is deposited in processing chamber 1120-IX, for example with the common metal mask. [00100] According to some embodiments, which can be combined with other embodiments described herein, a further processing chamber 1120-X can be provided. For example, this processing chamber can be a substitute processing chamber replacing one of the other processing chambers while the other processing chamber is under maintenance.

[00101] After a final processing, a substrate can be moved via the buffer chamber 1112 to the vacuum swing module 1110, i.e. a substrate repositioning chamber. This is indicated by arrow 1193 in FIG. 10B. In the vacuum swing module, the substrate is moved from the processing orientation, i.e. an essentially vertical orientation, to a substrate orientation corresponding to the interface with the factory, for example, a horizontal orientation.

[00102] FIG. 10A shows transfer chambers, which are, for example, provided between cluster chambers such as making rotation chambers. FIG. 10A shows first transfer chambers 1182 and a second transfer chamber 1184. Reducing the distance between adjacent or subsequent processing chambers as well as reducing the footprint of the vacuum processing system seems to suggest reduction of the lengths of the transfer chambers. It has surprisingly been found that a partial increase of the lengths of the transfer chambers improves the tact time of the vacuum processing system 1100. According to embodiments described herein, a vacuum processing system includes at least a first type of a transfer chamber, i.e. a first transfer chamber 1182, of a first length and the second type of the transfer chamber, i.e. a second transfer chamber 1184, having a second length different from the first length.

[00103] According to an embodiment of the present disclosure, a vacuum processing system for depositing a plurality of layers on a substrate can be provided. The vacuum processing system includes a first transfer chamber having a first length and connected to a vacuum chamber; and a second transfer chamber connected to a vacuum chamber, the second transfer chamber having a second length smaller than the first length.

[00104] For example, according to further embodiments, which can be combined with other embodiments described herein, a vacuum processing system for processing a substrate includes a first processing chamber connected to a first cluster chamber; a first processing station for processing the substrate in the first processing chamber; a second processing chamber connected to a second cluster chamber; a first transfer chamber connected to the first cluster chamber and the second cluster chamber, the first transfer chamber has a first length extending between the first cluster chamber and the second cluster chamber, the first transfer chamber being sized to accommodate the substrate; a second transfer chamber connected to the second cluster chamber, the second transfer chamber having a second length smaller than the first length; a substrate transportation arrangement provided to route the substrate in an orientation deviating from vertical by 15° or less through the first processing chamber, the second processing chamber, the first cluster chamber, the second cluster chamber, the first transfer chamber, and the second transfer chamber.

[00105] The first transfer chamber having the first length allows to accommodate the substrate. A substrate can be parked in the first transfer chamber. Parking of the substrate allows for having a substrate readily available. This can reduce the overall tact time. The second transfer chamber having the second length smaller than the first length reduces the distance between adjacent or subsequent processing chambers. The second transfer chamber having the second length smaller than the first lens additionally or alternatively reduces the footprint of the vacuum processing system.

[00106] Beyond the above, having two types of transfer chambers having different lengths allows for an adaptation of the footprint to the structure of a factory hall, which may typically be a predetermined environment. FIGS. 10A and 10B show pillars 1020. The pillars are a boundary condition provided by the fabrication hall and are defined, for example, by considerations of structural engineering calculations. Having two types of transfer chambers with different lengths further allows for adaptation of the vacuum processing system to a fabrication hall. Extending the length of a transfer chamber allows for having a pillar 1020 between two processing chambers, which are adjacent in one row and allows for providing a parked position.

[00107] Embodiments of the present disclosure surprisingly result in a combination of advantages including the reduction of the footprint, the reduction of the tact time, as well as adaptation to structural conditions in a fabrication hall.

[00108] According to yet further features, modifications, and embodiments of the present disclosure, the footprint of the vacuum processing system, particular of a vacuum processing system providing five or more, or even 10 or more layers in one system can be reduced by having the substrates, particularly large area substrates, in an essentially vertical orientation.

[00109] The embodiments according to the present disclosure have several advantages including the possibility to check in an efficient way the alignment between a mask element, such as a fine metal mask, and a substrate during the deposition of an organic layer by using an automated optical inspection on a processed substrate maintained in an essentially vertical position. Furthermore, the embodiments according to the present disclosure have the advantage of performing the optical inspection of the processed substrate without interrupting the production line and under the same conditions (for example substrate orientation and pressure) present during the deposition of the organic layer. In addition, the embodiments according to the present disclosure have the advantage of sending a feedback to the processing chamber regarding the patterning quality of the deposited layer with a reduced delay time compared to an inspection at the end of the line. Also, the embodiments according to the present disclosure have the advantage that the feedback concerns the deposition of a specific layer in a determined processing chamber. The reduced feedback delay time as well as the possibility to check the patterning quality of specific deposited layers results in an improved yield of the processing system and method. [00110] While the foregoing is directed to embodiments of the disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.