Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
INTEGRATED OPTICAL ALIGNMENT SENSORS
Document Type and Number:
WIPO Patent Application WO/2022/258275
Kind Code:
A1
Abstract:
An on-chip alignment sensor includes an illumination system configured to emit a beam of radiation to irradiate a target on a substrate. The on-chip alignment sensor further includes a first capturing grating configured to receive a first diffracted order beam of radiation diffracted by the target and to produce a first guided mode of radiation. The on-chip alignment sensor further includes a second capturing grating configured to receive a second diffracted order beam of radiation diffracted by the target and to produce a second guided mode of radiation. The on-chip alignment sensor further includes an interference device configured to produce an interfered guided mode of radiation based on the first and second guided mode of radiations or based on the first guided mode of radiation and a reference radiation.

Inventors:
SETIJA IRWAN (NL)
DE GRAAF JASPER (NL)
VAN DEN BOSCH ALAIN (NL)
Application Number:
PCT/EP2022/062504
Publication Date:
December 15, 2022
Filing Date:
May 09, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
International Classes:
G03F9/00; G02B6/34
Domestic Patent References:
WO2020043582A12020-03-05
Foreign References:
US9746608B12017-08-29
US20200003970A12020-01-02
US7511799B22009-03-31
US6961116B22005-11-01
US20090195768A12009-08-06
US6297876B12001-10-02
US8706442B22014-04-22
Other References:
RAYMOND ET AL.: "Multiparameter Grating Metrology Using Optical Scatterometry", J. VAC. SCI. TECH. B, vol. 15, no. 2, 1997, pages 361 - 368, XP000729016, DOI: 10.1116/1.589320
NIU ET AL.: "Specular Spectroscopic Scatterometry in DUV Lithography", SPIE, vol. 3677, 1999, XP000981735, DOI: 10.1117/12.350802
Attorney, Agent or Firm:
ASML NETHERLANDS B.V. (NL)
Download PDF:
Claims:
CLAIMS

1. An on-chip alignment sensor, comprising: an illumination system configured to emit a beam of radiation to irradiate a target on a substrate; a first capturing grating configured to receive a first diffracted order beam of radiation diffracted by the target and to produce a first guided mode of radiation; a second capturing grating configured to receive a second diffracted order beam of radiation diffracted by the target and to produce a second guided mode of radiation; and an interference device configured to produce an interfered guided mode of radiation based on the first and second guided mode of radiations or based on the first guided mode of radiation and a reference radiation.

2. The on-chip alignment sensor of claim 1, wherein the first diffracted order beam of radiation and the second diffracted order beam of radiation have a same diffraction order and a different sign associated with the diffraction order.

3. The on-chip alignment sensor of claim 1, wherein the illumination system comprises an illumination grating comprising a section coupled to a waveguide device and a reciprocal free space beam to waveguide mode converter.

4. The on-chip alignment sensor of claim 3, wherein: the section comprises a taper, and the reciprocal free space beam to waveguide mode converter comprises a focusing grating comprising a plurality of curved light diffracting or scattering structures.

5. The on-chip alignment sensor of claim 4, wherein a period of the plurality of curved light diffracting or scattering structures and a duty cycle of the plurality of curved light diffracting or scattering structures are designed such that the illumination grating emits the beam of radiation as a beam of radiation with predetermined amplitude and phase profile.

6. The on-chip alignment sensor of claim 4, wherein the focusing grating further comprises: a substrate, and a layer of reflecting material configured to reflect a part of radiation propagates toward the substrate.

7. The on-chip alignment sensor of claim 6, wherein the layer of reflecting material comprises a grating.

8. The on-chip alignment sensor of claim 1, wherein the first capturing grating comprises a free space beam to waveguide mode converter.

9. The on-chip alignment sensor of claim 8, wherein the free space beam to waveguide mode converter comprises a focusing grating and a gap coupler.

10. The on-chip alignment sensor of claim 9, wherein: the focusing grating is configured to receive the first diffracted order beam of radiation diffracted by the target and to covert the first diffracted order beam of radiation from a free space mode to a slab mode, and the gap coupler is configured to convert the slab mode to a waveguide mode.

11. The on-chip alignment sensor of claim 10, wherein the focusing grating is configured to receive the first diffracted order beam of radiation diffracted by the target and to capture an amplitude and a phase of the first diffracted order beam of radiation.

12. The on-chip alignment sensor of claim 11, wherein the gap coupler is coupled to the focusing grating and is configured to capture the amplitude and the phase of the slab mode of radiation.

13. The on-chip alignment sensor of claim 9, wherein the focusing grating comprises a plurality of light diffracting or scattering structures configured to couple the free space beam to the waveguide mode.

14. The on-chip alignment sensor of claim 1, wherein the illumination system further comprises: an illumination grating; one or more radiation sources configured to generate a third guided mode of radiation; and a waveguide device configured to guide the third guided mode of radiation from the one or more radiation sources to the illumination grating.

15. The on-chip alignment sensor of claim 1, further comprising: an illumination grating; a waveguide device configured to guide a third guided mode of radiation from an external radiation source to the illumination grating.

16. The on-chip alignment sensor of claim 1, further comprising: one or more detectors configured to receive the interfered guided mode of radiation from the interference device and to generate a measurement signal based on the interfered guided mode of radiation; and a processor configured to analyze the measurement signal to determine a characteristic of the target. 17. The on-chip alignment sensor of claim 1 , further comprising: a waveguide device configured to guide the interfered guided mode of radiation from the interference device to an external detector to generate a measurement signal based on the interfered guided mode of radiation. 18. The on-chip alignment sensor of claim 1, wherein: the illumination system comprises a first reciprocal free space beam to waveguide mode converter to emit the beam of radiation toward the target, and the first capturing grating comprises a second free space beam to waveguide mode converter to capture the first diffracted order beam of radiation.

Description:
INTEGRATED OPTICAL ALIGNMENT SENSORS

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority of U.S. Provisional Patent Application No. 63/197,829, which was filed on June 7, 2021, and which is incorporated herein in its entirety by reference.

FIELD

[0002] The present disclosure relates to alignment sensors, for example, integrated optical alignment sensors (on-chip alignment sensors, for example, sensors designed using integrated optics) used in conjunction with lithographic processes.

BACKGROUND

[0003] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, can be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., comprising part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the target portions parallel or anti-parallel to this scanning direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.

[0004] During lithographic operation, different processing steps may require different layers to be sequentially formed on the substrate. Accordingly, it can be necessary to position the substrate relative to prior patterns formed thereon with a high degree of accuracy. Generally, alignment marks are placed on the substrate to be aligned and are located with reference to a second object. A lithographic apparatus may use an alignment apparatus for detecting positions of the alignment marks and for aligning the substrate using the alignment marks to ensure accurate exposure from a mask. Misalignment between the alignment marks at two different layers is measured as overlay error.

[0005] In order to monitor the lithographic process, parameters of the patterned substrate are measured. Parameters may include, for example, the overlay error between successive layers formed in or on the patterned substrate and critical linewidth of developed photosensitive resist. This measurement can be performed on a product substrate and/or on a dedicated metrology target. There are various techniques for making measurements of the microscopic structures formed in lithographic processes, including the use of scanning electron microscopes and various specialized tools. A fast and non-invasive form of a specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered or reflected beam are measured. By comparing the properties of the beam before and after it has been reflected or scattered by the substrate, the properties of the substrate can be determined. This can be done, for example, by comparing the reflected beam with data stored in a library of known measurements associated with known substrate properties. Spectroscopic scatterometers direct a broadband radiation beam onto the substrate and measure the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range. By contrast, angularly resolved scatterometers use a monochromatic radiation beam and measure the intensity of the scattered radiation as a function of angle.

[0006] Such optical scatterometers can be used to measure parameters, such as critical dimensions of developed photosensitive resist or overlay error (OV) between two layers formed in or on the patterned substrate. Properties of the substrate can be determined by comparing the properties of an illumination beam before and after the beam has been reflected or scattered by the substrate.

[0007] Production speed and throughput is of great importance in lithographic fabrication of ICs and electronic devices. It is desirable for metrology systems used in fabrication to acquire measurements quickly for increasing wafer throughput. To increase measurement speed, the amount of radiation detected by the metrology system can be increased (e.g., use a brighter source) to shorten measurement time intervals while maintaining high accuracy.

SUMMARY

[0008] Accordingly, it is desirable to improve metrology apparatuses such as alignment sensors for supporting smaller and more densely packed metrology targets (e.g., alignment marks) and for supporting shorter measurement times in conjunction with lithographic processes.

[0009] In some embodiments, an on-chip alignment sensor includes an illumination system configured to emit a beam of radiation to irradiate a target on a substrate. The on-chip alignment sensor further includes a first capturing grating configured to receive a first diffracted order beam of radiation diffracted by the target and to produce a first guided mode of radiation. The on-chip alignment sensor further includes a second capturing grating configured to receive a second diffracted order beam of radiation diffracted by the target and to produce a second guided mode of radiation. The on-chip alignment sensor further includes an interference device configured to produce an interfered guided mode of radiation based on the first and second guided mode of radiations or based on the first guided mode of radiation and a reference mode of radiation.

[0010] In some embodiments, the first diffracted order beam of radiation and the second diffracted order beam of radiation have a same diffraction order and a different sign associated with the diffraction order.

[0011] In some embodiments, the illumination system includes an illumination grating including a section coupled to a waveguide device and a reciprocal free space beam to waveguide mode converter. In some embodiments, the first section includes a taper and the reciprocal free space beam to waveguide mode converter includes a focusing grating including a plurality of curved light diffracting or scattering structures. [0012] In some embodiments, a period of the plurality of curved light diffracting or scattering structures and a duty cycle of the plurality of curved light diffracting or scattering structures are designed such that the illumination grating emits the beam of radiation as a beam of radiation with predetermined amplitude and phase profile.

[0013] In some embodiments, focusing grating further includes a substrate and a layer of reflecting material configured to reflect a part of radiation that propagates toward the substrate. In some embodiments, the layer of reflecting material includes a grating.

[0014] In some embodiments, the first capturing grating includes a free space beam to waveguide mode converter. The free space beam to waveguide mode converter can include a focusing grating and a gap coupler.

[0015] In some embodiments, the first capturing grating can be configured to receive the first diffracted order beam of radiation diffracted by the target and covert the first diffracted order beam of radiation from a free space mode to a slab mode. The gap coupler can be configured to convert the the slab mode to a waveguide mode.

[0016] In some embodiments, the focusing grating is configured to receive the first diffracted order beam of radiation diffracted by the target and to capture an amplitude and a phase of the first diffracted order beam of radiation. The gap coupler can be connected to the focusing grating and can be configured to capture the amplitude and the phase of the slab mode of radiation.

[0017] In some embodiments, the focusing grating includes a plurality of a plurality of light diffracting or scattering structures configured to couple the free space beam to the waveguide mode.

[0018] In some embodiments, the illumination system further includes an illumination grating, one or more radiation sources configured to generate a third guided mode of radiation, and a waveguide device configured to guide the third guided mode of radiation from the one or more radiation sources to the illumination grating. [0019] In some embodiments, the on-chip alignment sensor further includes an illumination grating and a waveguide device configured to guide a third guided mode of radiation from an external radiation source to the illumination grating.

[0020] In some embodiments, the on-chip alignment sensor further includes one or more detectors configured to receive the interfered guided mode of radiation from the interference device and to generate a measurement signal based on the interfered guided mode of radiation. The on-chip alignment sensor further includes a processor configured to analyze the measurement signal to determine a characteristic of the target.

[0021] In some embodiments, the on-chip alignment sensor further includes a waveguide device configured to guide the interfered guided mode of radiation from the interference device to an external detector to generate a measurement signal based on the interfered guided mode of radiation.

[0022] In some embodiments, the illumination system includes a first reciprocal free space beam to waveguide mode converter to emit the beam of radiation toward the target and the first capturing grating includes a second free space beam to waveguide mode converter to capture the first diffracted order beam of radiation

[0023] In some embodiments, an on-chip alignment sensor includes one or more radiation sources configured to generate first radiation. The on-chip alignment sensor further includes an illumination grating configured to receive the first radiation and emit a beam of radiation, where the beam of radiation is configured to irradiate a target on a substrate. The on-chip alignment sensor further includes a first capturing grating configured to receive a first diffracted order beam of radiation diffracted by the target and to produce a first guided mode of radiation and a second capturing grating configured to receive a second diffracted order beam of radiation diffracted by the target and to produce a second guided mode of radiation. The on- chip alignment sensor further includes an interference device configured to produce an interfered guided mode of radiation based on the first and second guided mode of radiations or based on the first guided mode of radiation and a reference mode of radiation. The on-chip alignment sensor further includes one or more detectors configured to receive the interfered guided mode of radiation from the interference device and to generate a measurement signal based on the interfered guided mode of radiation to be analyzed for determining a characteristic of the target.

[0024] In some aspect, a metrology system includes a plurality of radiation sources and an on-chip alignment sensor. The on-chip alignment sensor includes an illumination grating configured to receive a plurality of guided modes of radiation from the plurality of radiation sources and emit a plurality of beams of radiation to irradiate a target on a substrate, wherein the plurality of beams of radiation have a plurality of wavelengths. The chip alignment sensor further includes a plurality of pairs of capturing gratings. Each pair of the plurality of pairs of capturing gratings are configured to receive diffracted order beams of radiation diffracted by the target and corresponding to a respective one of the plurality of wavelengths. Each pair of the plurality of pairs of capturing gratings are configured to produce guided mode of radiations corresponding to the respective one of the plurality of wavelengths. The chip alignment sensor further includes a plurality of interference devices, each of the plurality of interference devices configured to produce an interfered guided mode of radiation for the respective one of the plurality of wavelengths. The metrology system further includes a detector configured to receive the interfered guided mode of radiations from the plurality of interference devices and to generate a measurement signal. The metrology system further includes a processor configured to analyze the measurement signal to determine a characteristic of the target.

[0025] In some embodiments, the metrology system further includes a second on-chip alignment sensor configured to generate a second interfered guided mode of radiation based on illuminating a second target on the substrate, wherein the processor is further configured to analyze a second measurement signal generated based on the second interfered guided mode of radiation to determine a characteristic of the second target.

[0026] Further features of the present disclosure, as well as the structure and operation of various embodiments, are described in detail below with reference to the accompanying drawings. It is noted that the present disclosure is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.

BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES

[0027] The accompanying drawings, which are incorporated herein and form part of the specification, illustrate the present disclosure and, together with the description, further serve to explain the principles of the present disclosure and to enable a person skilled in the relevant art(s) to make and use embodiments described herein.

[0028] FIG. 1A shows a schematic of a reflective lithographic apparatus, according to some embodiments.

[0029] FIG. IB shows a schematic of a transmissive lithographic apparatus, according to some embodiments.

[0030] FIG. 2 shows a more detailed schematic of the reflective lithographic apparatus, according to some embodiments.

[0031] FIG. 3 shows a schematic of a lithographic cell, according to some embodiments.

[0032] FIGS. 4A and 4B show schematics of inspection apparatuses, according to some embodiments. [0033] FIGS. 5A and 5B show schematics of a metrology systems, according to some embodiments.

[0034] FIGS. 6 A and 6B show a schematic of a metrology system that can be implemented as a part of lithographic apparatus 100 or 100’, according to some embodiments.

[0035] FIG. 7 illustrates one exemplary schematic top view of arrangement of illumination gratings and capturing gratings on an on-chip alignment sensor that use multiple wavelengths and orders, according to some embodiments of this disclosure.

[0036] FIG. 8A illustrates another exemplary schematic top view of arrangement of illumination gratings and capturing gratings on an on-chip alignment sensor where the wavelengths illuminate a target simultaneously or substantially simultaneously, according to some embodiments of this disclosure.

[0037] FIG. 8B illustrates an exemplary schematic side view for the arrangement of FIG. 8A, according to some embodiments of this disclosure.

[0038] FIGS. 9 A and 9B illustrate an exemplary schematic of an illumination grating for use on the on-chip alignment sensor, according to some embodiments of this disclosure.

[0039] FIG. 10 illustrates an exemplary schematic of a capturing grating for use on the on-chip alignment sensor, according to some embodiments of this disclosure.

[0040] FIG. 11A illustrates an exemplary schematic of a one dimensional (ID) focusing grating

1100 for use in the capturing grating, according to some embodiments of this disclosure.

[0041] FIGS. 11B and 11C illustrate an exemplary schematic of a gap coupler for use in the capturing grating, according to some embodiments of this disclosure.

[0042] The features of the present disclosure will become more apparent from the detailed description set forth below when taken in conjunction with the drawings, in which like reference characters identify corresponding elements throughout. In the drawings, like reference numbers generally indicate identical, functionally similar, and/or structurally similar elements. Additionally, generally, the left-most digit(s) of a reference number identifies the drawing in which the reference number first appears. Unless otherwise indicated, the drawings provided throughout the disclosure should not be interpreted as to-scale drawings.

DETAILED DESCRIPTION

[0043] This specification discloses one or more embodiments that incorporate the features of the present disclosure. The disclosed embodiment(s) are provided as examples. The scope of the present disclosure is not limited to the disclosed embodiment(s). Claimed features are defined by the claims appended hereto. [0044] The embodiment(s) described, and references in the specification to “one embodiment,”

“an embodiment,” “an example embodiment,” etc., indicate that the embodiment(s) described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is understood that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

[0045] Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “on,” “upper” or the like, can be used herein for ease of description to describe one element or feature’s relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus can be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.

[0046] The term “about” as used herein indicates the value of a given quantity that can vary based on a particular technology. Based on the particular technology, the term “about” can indicate a value of a given quantity that varies within, for example, 10-30% of the value (e.g., ±10%, 20%, or ±30% of the value).

[0047] Embodiments of the disclosure can be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure may also be implemented as instructions stored on a machine -readable medium, which can be read and executed by one or more processors. A machine- readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine -readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, and/or instructions can be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc. [0048] Before describing such embodiments in more detail, however, it is instructive to present an example environment in which embodiments of the present disclosure can be implemented.

[0049] Example Lithographic Systems

[0050] FIGS. 1A and IB show schematic illustrations of a lithographic apparatus 100 and lithographic apparatus 100’, respectively, in which embodiments of the present disclosure can be implemented. Lithographic apparatus 100 and lithographic apparatus 100’ each include the following: an illumination system (illuminator) IL configured to condition a radiation beam B (for example, deep ultra violet or extreme ultra violet radiation); a support structure (for example, a mask table) MT configured to support a patterning device (for example, a mask, a reticle, or a dynamic patterning device) MA and connected to a first positioner PM configured to accurately position the patterning device MA; and, a substrate table (for example, a wafer table) WT configured to hold a substrate (for example, a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate W. Lithographic apparatus 100 and 100’ also have a projection system PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion (for example, comprising one or more dies) C of the substrate W. In lithographic apparatus 100, the patterning device MA and the projection system PS are reflective. In lithographic apparatus 100’, the patterning device MA and the projection system PS are transmissive.

[0051] The illumination system IL can include various types of optical components, such as refractive, reflective, catadioptric, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof, for directing, shaping, or controlling the radiation beam B. [0052] The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device MA with respect to a reference frame, the design of at least one of the lithographic apparatus 100 and 100’ , and other conditions, such as whether or not the patterning device MA is held in a vacuum environment. The support structure MT can use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device MA. The support structure MT can be a frame or a table, for example, which can be fixed or movable, as required. By using sensors, the support structure MT can ensure that the patterning device MA is at a desired position, for example, with respect to the projection system PS.

[0053] The term “patterning device” MA should be broadly interpreted as referring to any device that can be used to impart a radiation beam B with a pattern in its cross-section, such as to create a pattern in the target portion C of the substrate W. The pattern imparted to the radiation beam B can correspond to a particular functional layer in a device being created in the target portion C to form an integrated circuit. [0054] The terms “inspection apparatus,” “metrology apparatus,” or the like can be used herein to refer to, e.g., a device or system used for measuring a property of a structure (e.g., overlay error, critical dimension parameters) or used in a lithographic apparatus to inspect an alignment of a wafer (e.g., alignment apparatus).

[0055] The patterning device MA can be transmissive (as in lithographic apparatus 100’ of FIG.

IB) or reflective (as in lithographic apparatus 100 of FIG. 1A). Examples of patterning devices MA include reticles, masks, programmable mirror arrays, or programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase shift, or attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in the radiation beam B, which is reflected by a matrix of small mirrors.

[0056] The term “projection system” PS can encompass any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors, such as the use of an immersion liquid on the substrate W or the use of a vacuum. A vacuum environment can be used for EUV or electron beam radiation since other gases can absorb too much radiation or electrons. A vacuum environment can therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.

[0057] Lithographic apparatus 100 and/or lithographic apparatus 100’ can be of a type having two

(dual stage) or more substrate tables WT (and/or two or more mask tables). In such “multiple stage” machines, the additional substrate tables WT can be used in parallel, or preparatory steps can be carried out on one or more tables while one or more other substrate tables WT are being used for exposure. In some situations, the additional table may not be a substrate table WT.

[0058] The lithographic apparatus can also be of a type wherein at least a portion of the substrate can be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid can also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.

[0059] Referring to FIGS. 1 A and IB, the illuminator IL receives a radiation beam from a radiation source SO. The source SO and the lithographic apparatus 100, 100’ can be separate physical entities, for example, when the source SO is an excimer laser. In such cases, the source SO is not considered to form part of the lithographic apparatus 100 or 100’, and the radiation beam B passes from the source SO to the illuminator IL with the aid of a beam delivery system BD (in FIG. IB) including, for example, suitable directing mirrors and/or a beam expander. In other cases, the source SO can be an integral part of the lithographic apparatus 100, 100’, for example, when the source SO is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD, if required, can be referred to as a radiation system. [0060] The illuminator IL can include an adjuster AD (in FIG. IB) for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as “s-outer” and “s-inner,” respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL can comprise various other components (in FIG. IB), such as an integrator IN and a condenser CO. The illuminator IL can be used to condition the radiation beam B to have a desired uniformity and intensity distribution in its cross section.

[0061] Referring to FIG. 1A, the radiation beam B is incident on the patterning device (for example, mask) MA, which is held on the support structure (for example, mask table) MT, and is patterned by the patterning device MA. In lithographic apparatus 100, the radiation beam B is reflected from the patterning device (for example, mask) MA. After being reflected from the patterning device (for example, mask) MA, the radiation beam B passes through the projection system PS, which focuses the radiation beam B onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (for example, an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately (for example, so as to position different target portions C in the path of the radiation beam B). Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the patterning device (for example, mask) MA with respect to the path of the radiation beam B. Patterning device (for example, mask) MA and substrate W can be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.

[0062] Referring to FIG. IB, the radiation beam B is incident on the patterning device (for example, mask MA), which is held on the support structure (for example, mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. The projection system has a pupil conjugate PPU to an illumination system pupil IPU. Portions of radiation emanate from the intensity distribution at the illumination system pupil IPU and traverse a mask pattern without being affected by diffraction at the mask pattern and create an image of the intensity distribution at the illumination system pupil IPU.

[0063] The projection system PS projects an image of the mask pattern MP, where the image is formed by diffracted beams produced from the mark pattern MP by radiation from the intensity distribution, onto a photoresist layer coated on the substrate W. For example, the mask pattern MP can include an array of lines and spaces. A diffraction of radiation at the array and different from zeroth order diffraction generates diverted diffracted beams with a change of direction in a direction perpendicular to the lines. Undiffracted beams (i.e., so-called zeroth order diffracted beams) traverse the pattern without any change in propagation direction. The zeroth order diffracted beams traverse an upper lens or upper lens group of the projection system PS, upstream of the pupil conjugate PPU of the projection system PS, to reach the pupil conjugate PPU. The portion of the intensity distribution in the plane of the pupil conjugate PPU and associated with the zeroth order diffracted beams is an image of the intensity distribution in the illumination system pupil IPU of the illumination system IL. The aperture device PD, for example, is disposed at or substantially at a plane that includes the pupil conjugate PPU of the projection system PS.

[0064] The projection system PS is arranged to capture, by means of a lens or lens group L, not only the zeroth order diffracted beams, but also first-order or first- and higher-order diffracted beams (not shown). In some embodiments, dipole illumination for imaging line patterns extending in a direction perpendicular to a line can be used to utilize the resolution enhancement effect of dipole illumination. For example, first-order diffracted beams interfere with corresponding zeroth-order diffracted beams at the level of the wafer W to create an image of the line pattern MP at highest possible resolution and process window (i.e., usable depth of focus in combination with tolerable exposure dose deviations). In some embodiments, astigmatism aberration can be reduced by providing radiation poles (not shown) in opposite quadrants of the illumination system pupil IPU. Further, in some embodiments, astigmatism aberration can be reduced by blocking the zeroth order beams in the pupil conjugate PPU of the projection system associated with radiation poles in opposite quadrants. This is described in more detail in US 7,511,799 B2, issued Mar. 31, 2009, which is incorporated by reference herein in its entirety.

[0065] With the aid of the second positioner PW and position sensor IF (for example, an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately (for example, so as to position different target portions C in the path of the radiation beam B). Similarly, the first positioner PM and another position sensor (not shown in FIG. IB) can be used to accurately position the mask MA with respect to the path of the radiation beam B (for example, after mechanical retrieval from a mask library or during a scan).

[0066] In general, movement of the mask table MT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT can be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner), the mask table MT can be connected to a short-stroke actuator only or can be fixed. Mask MA and substrate W can be aligned using mask alignment marks Ml, M2, and substrate alignment marks PI, P2. Although the substrate alignment marks (as illustrated) occupy dedicated target portions, they can be located in spaces between target portions (known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks can be located between the dies.

[0067] Mask table MT and patterning device MA can be in a vacuum chamber V, where an in vacuum robot IVR can be used to move patterning devices such as a mask in and out of vacuum chamber. Alternatively, when mask table MT and patterning device MA are outside of the vacuum chamber, an out- of-vacuum robot can be used for various transportation operations, similar to the in-vacuum robot IVR. Both the in-vacuum and out-of-vacuum robots need to be calibrated for a smooth transfer of any payload (e.g., mask) to a fixed kinematic mount of a transfer station.

[0068] The lithographic apparatus 100 and 100’ can be used in at least one of the following modes:

[0069] 1. In step mode, the support structure (for example, mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam B is projected onto a target portion C at one time (i.e., a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.

[0070] 2. In scan mode, the support structure (for example, mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam B is projected onto a target portion C (i.e., a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (for example, mask table) MT can be determined by the (de-)magnification and image reversal characteristics of the projection system PS.

[0071] 3. In another mode, the support structure (for example, mask table) MT is kept substantially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam B is projected onto a target portion C. A pulsed radiation source SO can be employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes a programmable patterning device, such as a programmable mirror array.

[0072] Combinations and/or variations on the described modes of use or entirely different modes of use can also be employed.

[0073] In a further embodiment, lithographic apparatus 100 includes an extreme ultraviolet (EUV) source, which is configured to generate a beam of EUV radiation for EUV lithography. In general, the EUV source is configured in a radiation system, and a corresponding illumination system is configured to condition the EUV radiation beam of the EUV source.

[0074] FIG. 2 shows the lithographic apparatus 100 in more detail, including the source collector apparatus SO, the illumination system IL, and the projection system PS. The source collector apparatus SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector apparatus SO. An EUV radiation emitting plasma 210 can be formed by a discharge produced plasma source. EUV radiation can be produced by a gas or vapor, for example Xe gas, Li vapor, or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 210 is created by, for example, an electrical discharge causing at least a partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor, or any other suitable gas or vapor can be required for efficient generation of the radiation. In some embodiments, a plasma of excited tin (Sn) is provided to produce EUV radiation.

[0075] The radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap), which is positioned in or behind an opening in source chamber 211. The contaminant trap 230 can include a channel structure. Contamination trap 230 can also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure.

[0076] The collector chamber 212 can include a radiation collector CO, which can be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF. The virtual source point IF is commonly referred to as the intermediate focus, and the source collector apparatus is arranged such that the intermediate focus IF is located at or near an opening 219 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210. Grating spectral filter 240 is used in particular for suppressing infra-red (IR) radiation.

[0077] Subsequently the radiation traverses the illumination system IL, which can include a faceted field mirror device 222 and a faceted pupil mirror device 224 arranged to provide a desired angular distribution of the radiation beam 221, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 221 at the patterning device MA, held by the support structure MT, a patterned beam 226 is formed and the patterned beam 226 is imaged by the projection system PS via reflective elements 228, 229 onto a substrate W held by the wafer stage or substrate table WT.

[0078] More elements than shown can generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 240 can optionally be present, depending upon the type of lithographic apparatus. Further, there can be more mirrors present than those shown in the FIG. 2, for example there can be one to six additional reflective elements present in the projection system PS than shown in FIG. 2.

[0079] Collector optic CO, as illustrated in FIG. 2, is depicted as a nested collector with grazing incidence reflectors 253, 254, and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254, and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source. [0080] Exemplary Lithographic Cell

[0081] FIG. 3 shows a lithographic cell 300, also sometimes referred to a lithocell or cluster, according to some embodiments. Lithographic apparatus 100 or 100’ can form part of lithographic cell 300. Lithographic cell 300 can also include one or more apparatuses to perform pre- and post-exposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH, and bake plates BK. A substrate handler, or robot, RO picks up substrates from input/output ports I/Ol, 1/02, moves them between the different process apparatuses and delivers them to the loading bay LB of the lithographic apparatus 100 or 100’. These devices, which are often collectively referred to as the track, are under the control of a track control unit TCU, which is itself controlled by a supervisory control system SCS, which also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatuses can be operated to maximize throughput and processing efficiency.

[0082] Exemplary Metrology System

[0083] In order to control the lithographic process to place device features accurately on the substrate, alignment marks are generally provided on the substrate, and the lithographic apparatus includes one or more for accurate positioning of marks on a substrate. These alignment apparatuses are effectively position measuring apparatuses. Different types of marks and different types of alignment apparatuses and/or systems are known from different times and different manufacturers. A type of system widely used in current lithographic apparatus is based on a self-referencing interferometer as described in U.S. Patent No. 6,961,116 (den Boef et al.). Generally marks are measured separately to obtain X- and Y-positions. A combined X- and Y-measurement can be performed using the techniques described in U.S. Publication No. 2009/195768 A (Bijnen et al.), however. The full contents of both of these disclosures are incorporated herein by reference.

[0084] FIG. 4A shows a schematic of a cross-sectional view of metrology system 400 that can be implemented as a part of lithographic apparatus 100 or 100’, according to some embodiments. In some embodiments, metrology system 400 can be configured to align a substrate (e.g., substrate W) with respect to a patterning device (e.g., patterning device MA). Metrology system 400 can be further configured to detect positions of alignment marks on the substrate and to align the substrate with respect to the patterning device or other components of lithographic apparatus 100 or 100’ using the detected positions of the alignment marks. Such alignment of the substrate can ensure accurate exposure of one or more patterns on the substrate.

[0085] In some embodiments, metrology system 400 can include an illumination system 412, a beam splitter 414, an interferometer 426, a detector 428, a beam analyzer 430, and an overlay calculation processor 432. Illumination system 412 can be configured to provide an electromagnetic narrow band radiation beam 413 having one or more passbands. In an example, the one or more passbands can be within a spectrum of wavelengths between about 500 nm to about 900 nm. In another example, the one or more passbands can be discrete narrow passbands within a spectrum of wavelengths between about 500 nm to about 900 nm. Illumination system 412 can be further configured to provide one or more passbands having substantially constant center wavelength (CWL) values over a long period of time (e.g., over a lifetime of illumination system 412). Such configuration of illumination system 412 can help to prevent the shift of the actual CWL values from the desired CWL values, as discussed above, in current alignment systems. And, as a result, the use of constant CWL values can improve long-term stability and accuracy of alignment systems (e.g., metrology system 400) compared to the current alignment apparatuses.

[0086] In some embodiments, beam splitter 414 can be configured to receive radiation beam 413 and split radiation beam 413 into at least two radiation sub-beams. For example, radiation beam 413 can be split into radiation sub-beams 415 and 417, as shown in FIG. 4A. Beam splitter 414 can be further configured to direct radiation sub-beam 415 onto a substrate 420 placed on a stage 422. In one example, the stage 422 is movable along direction 424. Radiation sub-beam 415 can be configured to illuminate an alignment mark or a target 418 located on substrate 420. Alignment mark or target 418 can be coated with a radiation sensitive film. In some embodiments, alignment mark or target 418 can have one hundred and eighty degrees (i.e., 180°) symmetry. That is, when alignment mark or target 418 is rotated 180° about an axis of symmetry perpendicular to a plane of alignment mark or target 418, rotated alignment mark or target 418 can be substantially identical to an unrotated alignment mark or target 418. The target 418 on substrate 420 can be (a) a resist layer grating comprising bars that are formed of solid resist lines, or (b) a product layer grating, or (c) a composite grating stack in an overlay target structure comprising a resist grating overlaid or interleaved on a product layer grating. The bars can alternatively be etched into the substrate. This pattern is sensitive to chromatic aberrations in the lithographic projection apparatus, particularly the projection system PL, and illumination symmetry and the presence of such aberrations will manifest themselves in a variation in the printed grating. One in-line method used in device manufacturing for measurements of line width, pitch, and critical dimension makes use of a technique known as “scatterometry”. Methods of scatterometry are described in Raymond et al., “Multiparameter Grating Metrology Using Optical Scatterometry”, J. Vac. Sci. Tech. B, Vol. 15, no. 2, pp. 361-368 (1997) and Niu et al., “Specular Spectroscopic Scatterometry in DUV Lithography”, SPIE, Vol. 3677 (1999), which are both incorporated by reference herein in their entireties. In scatterometry, light is reflected by periodic structures in the target, and the resulting reflection spectrum at a given angle is detected. The structure giving rise to the reflection spectrum is reconstructed, e.g. using Rigorous Coupled-Wave Analysis (RCWA) or by comparison to a library of patterns derived by simulation. Accordingly, the scatterometry data of the printed gratings is used to reconstruct the gratings. The parameters of the grating, such as line widths and shapes, can be input to the reconstruction process, performed by processing unit PU, from knowledge of the printing step and/or other scatterometry processes.

[0087] In some embodiments, beam splitter 414 can be further configured to receive diffraction radiation beam 419 and split diffraction radiation beam 419 into at least two radiation sub-beams, according to an embodiment. Diffraction radiation beam 419 can be split into diffraction radiation sub-beams 429 and 439, as shown in FIG. 4A.

[0088] It should be noted that even though beam splitter 414 is shown to direct radiation sub-beam

415 towards alignment mark or target 418 and to direct diffracted radiation sub-beam 429 towards interferometer 426, the disclosure is not so limiting. It would be apparent to a person skilled in the relevant art that other optical arrangements can be used to obtain the similar result of illuminating alignment mark or target 418 on substrate 420 and detecting an image of alignment mark or target 418.

[0089] As illustrated in FIG. 4A, interferometer 426 can be configured to receive radiation sub beam 417 and diffracted radiation sub-beam 429 through beam splitter 414. In an example embodiment, diffracted radiation sub-beam 429 can be at least a portion of radiation sub-beam 415 that can be reflected from alignment mark or target 418. In an example of this embodiment, interferometer 426 comprises any appropriate set of optical-elements, for example, a combination of prisms that can be configured to form two images of alignment mark or target 418 based on the received diffracted radiation sub-beam 429. It should be appreciated that a good quality image need not be formed, but that the features of alignment mark 418 should be resolved. Interferometer 426 can be further configured to rotate one of the two images with respect to the other of the two images 180° and recombine the rotated and unrotated images interferometrically.

[0090] In some embodiments, detector 428 can be configured to receive the recombined image via interferometer signal 427 and detect interference as a result of the recombined image when alignment axis 421 of metrology system 400 passes through a center of symmetry (not shown) of alignment mark or target 418. Such interference can be due to alignment mark or target 418 being 180° symmetrical, and the recombined image interfering constructively or destructively, according to an example embodiment. Based on the detected interference, detector 428 can be further configured to determine a position of the center of symmetry of alignment mark or target 418 and consequently, detect a position of substrate 420. According to an example, alignment axis 421 can be aligned with an optical beam perpendicular to substrate 420 and passing through a center of image rotation interferometer 426. Detector 428 can be further configured to estimate the positions of alignment mark or target 418 by implementing sensor characteristics and interacting with wafer mark process variations.

[0091] In a further embodiment, detector 428 determines the position of the center of symmetry of alignment mark or target 418 by performing one or more of the following measurements: 1. measuring position variations for various wavelengths (position shift between colors);

2. measuring position variations for various orders (position shift between diffraction orders); and

3. measuring position variations for various polarizations (position shift between polarizations).

[0092] This data can for example be obtained with any type of alignment sensor, for example a

SMASH (SMart Alignment Sensor Hybrid) sensor, as described in U.S. Patent No. 6,961,116 that employs a self-referencing interferometer with a single detector and four different wavelengths, and extracts the alignment signal in software, or Athena (Advanced Technology using High order ENhancement of Alignment), as described in U.S. Patent No. 6,297,876, which directs each of seven diffraction orders to a dedicated detector, which are both incorporated by reference herein in their entireties.

[0093] In some embodiments, beam analyzer 430 can be configured to receive and determine an optical state of diffracted radiation sub-beam 439. The optical state can be a measure of beam wavelength, polarization, or beam profile. Beam analyzer 430 can be further configured to determine a position of stage 422 and correlate the position of stage 422 with the position of the center of symmetry of alignment mark or target 418. As such, the position of alignment mark or target 418 and, consequently, the position of substrate 420 can be accurately known with reference to stage 422. Alternatively, beam analyzer 430 can be configured to determine a position of metrology system 400 or any other reference element such that the center of symmetry of alignment mark or target 418 can be known with reference to metrology system 400 or any other reference element. Beam analyzer 430 can be a point or an imaging polarimeter with some form of wavelength-band selectivity. In some embodiments, beam analyzer 430 can be directly integrated into metrology system 400, or connected via fiber optics of several types: polarization preserving single mode, multimode, or imaging, according to other embodiments.

[0094] In some embodiments, beam analyzer 430 can be further configured to determine the overlay data between two patterns on substrate 420. One of these patterns can be a reference pattern on a reference layer. The other pattern can be an exposed pattern on an exposed layer. The reference layer can be an etched layer already present on substrate 420. The reference layer can be generated by a reference pattern exposed on the substrate by lithographic apparatus 100 and/or 100’. The exposed layer can be a resist layer exposed adjacent to the reference layer. The exposed layer can be generated by an exposure pattern exposed on substrate 420 by lithographic apparatus 100 or 100’. The exposed pattern on substrate 420 can correspond to a movement of substrate 420 by stage 422. In some embodiments, the measured overlay data can also indicate an offset between the reference pattern and the exposure pattern. The measured overlay data can be used as calibration data to calibrate the exposure pattern exposed by lithographic apparatus 100 or 100’, such that after the calibration, the offset between the exposed layer and the reference layer can be minimized. [0095] In some embodiments, beam analyzer 430 can be further configured to determine a model of the product stack profile of substrate 420, and can be configured to measure overlay, critical dimension, and focus of target 418 in a single measurement. The product stack profile contains information on the stacked product such as alignment mark, target 418, or substrate 420, and can include mark process variation-induced optical signature metrology that is a function of illumination variation. The product stack profile can also include product grating profile, mark stack profile, and mark asymmetry information. An example of beam analyzer 430 is Yieldstar™, manufactured by ASML, Veldhoven, The Netherlands, as described in U.S. Patent No. 8,706,442, which is incorporated by reference herein in its entirety. Beam analyzer 430 can be further configured to process information related to a particular property of an exposed pattern in that layer. For example, beam analyzer 430 can process an overlay parameter (an indication of the positioning accuracy of the layer with respect to a previous layer on the substrate or the positioning accuracy of the first layer with respective to marks on the substrate), a focus parameter, and/or a critical dimension parameter (e.g., line width and its variations) of the depicted image in the layer. Other parameters are image parameters relating to the quality of the depicted image of the exposed pattern.

[0096] In some embodiments, an array of detectors (not shown) can be connected to beam analyzer

430, and allows the possibility of accurate stack profile detection as discussed below. For example, detector 428 can be an array of detectors. For the detector array, a number of options are possible: a bundle of multimode fibers, discrete pin detectors per channel, or CCD or CMOS (linear) arrays. The use of a bundle of multimode fibers enables any dissipating elements to be remotely located for stability reasons. Discrete PIN detectors offer a large dynamic range. In some embodiments, each PIN detector can have a separate pre-amp. The number of elements is therefore limited. CCD linear arrays offer many elements that can be read-out at high speed and are especially of interest if phase-stepping detection is used.

[0097] In some embodiments, a second beam analyzer 430’ can be configured to receive and determine an optical state of diffracted radiation sub-beam 429, as shown in FIG. 4B. The optical state can be a measure of beam wavelength, polarization, or beam profile. Second beam analyzer 430’ can be identical to beam analyzer 430. Alternatively, second beam analyzer 430’ can be configured to perform at least all the functions of beam analyzer 430, such as determining a position of stage 422 and correlating the position of stage 422 with the position of the center of symmetry of alignment mark or target 418. As such, the position of alignment mark or target 418 and, consequently, the position of substrate 420, can be accurately known with reference to stage 422. Second beam analyzer 430’ can also be configured to determine a position of metrology system 400, or any other reference element, such that the center of symmetry of alignment mark or target 418 can be known with reference to metrology system 400, or any other reference element. Second beam analyzer 430’ can be further configured to determine the overlay data between two patterns and a model of the product stack profile of substrate 420. Second beam analyzer 430’ can also be configured to measure overlay, critical dimension, and focus of target 418 in a single measurement.

[0098] In some embodiments, second beam analyzer 430’ can be directly integrated into metrology system 400, or it can be connected via fiber optics of several types: polarization preserving single mode, multimode, or imaging, according to other embodiments. Alternatively, second beam analyzer 430’ and beam analyzer 430 can be combined to form a single analyzer (not shown) configured to receive and determine the optical states of both diffracted radiation sub-beams 429 and 439.

[0099] In some embodiments, processor 432 receives information from detector 428 and beam analyzer 430. For example, processor 432 can be an overlay calculation processor. The information can comprise a model of the product stack profile constructed by beam analyzer 430. Alternatively, processor 432 can construct a model of the product mark profile using the received information about the product mark. In either case, processor 432 constructs a model of the stacked product and overlay mark profile using or incorporating a model of the product mark profile. The stack model is then used to determine the overlay offset and minimizes the spectral effect on the overlay offset measurement. Processor 432 can create a basic correction algorithm based on the information received from detector 428 and beam analyzer 430, including but not limited to the optical state of the illumination beam, the alignment signals, associated position estimates, and the optical state in the pupil, image, and additional planes. The pupil plane is the plane in which the radial position of radiation defines the angle of incidence and the angular position defines the azimuth angle of the radiation. Processor 432 can utilize the basic correction algorithm to characterize the metrology system 400 with reference to wafer marks and/or alignment marks 418.

[0100] In some embodiments, processor 432 can be further configured to determine printed pattern position offset error with respect to the sensor estimate for each mark based on the information received from detector 428 and beam analyzer 430. The information includes but is not limited to the product stack profile, measurements of overlay, critical dimension, and focus of each alignment marks or target 418 on substrate 420. Processor 432 can utilize a clustering algorithm to group the marks into sets of similar constant offset error, and create an alignment error offset correction table based on the information. The clustering algorithm can be based on overlay measurement, the position estimates, and additional optical stack process information associated with each set of offset errors. The overlay is calculated for a number of different marks, for example, overlay targets having a positive and a negative bias around a programmed overlay offset. The target that measures the smallest overlay is taken as reference (as it is measured with the best accuracy). From this measured small overlay, and the known programmed overlay of its corresponding target, the overlay error can be deduced. Table 1 illustrates how this can be performed. The smallest measured overlay in the example shown is -1 nm. However this is in relation to a target with a programmed overlay of -30 nm. The process may have introduced an overlay error of 29 nm.

The smallest value can be taken to be the reference point and, relative to this, the offset can be calculated between measured overlay and that expected due to the programmed overlay. This offset determines the overlay error for each mark or the sets of marks with similar offsets. Therefore, in the Table 1 example, the smallest measured overlay was -1 nm, at the target position with programmed overlay of 30 nm. The difference between the expected and measured overlay at the other targets is compared to this reference. A table such as Table 1 can also be obtained from marks and target 418 under different illumination settings, the illumination setting, which results in the smallest overlay error, and its corresponding calibration factor, can be determined and selected. Following this, processor 432 can group marks into sets of similar overlay error. The criteria for grouping marks can be adjusted based on different process controls, for example, different error tolerances for different processes.

[0101] In some embodiments, processor 432 can confirm that all or most members of the group have similar offset errors, and apply an individual offset correction from the clustering algorithm to each mark, based on its additional optical stack metrology. Processor 432 can determine corrections for each mark and feed the corrections back to lithographic apparatus 100 or 100’ for correcting errors in the overlay, for example, by feeding corrections into the metrology system 400.

[0102] Exemplary Apparatuses for On-Chip Alignment Sensor

[0103] As ICs continue to shrink, demand is increasing for lithographic tools capable of processing smaller and more densely packed metrology targets (e.g., alignment marks). A single wafer can include numerous targets for measurements (e.g., alignment, overlay, or the like). In turn, the large number of targets on the wafer can introduce delays in production due to the additional measurements, reducing production throughput. Therefore, it is desirable for metrology systems to measure targets faster for increasing wafer throughput.

[0104] A solution can be to reduce the time spent measuring each target. However, such an implementation can be challenging. For example, in an attempt to quickly move from target to target, a detection time (e.g., photon collection time or integration time) of a metrology system can be reduced. But in this scenario, the measurements can suffer signal-to-noise issues that degrade the reliability of the measurements. It is analogous to setting a very high shutter speed on a camera used in photography (e.g., a few milliseconds of exposure), resulting in the capture of a poorly resolved image due to the lack of sufficient illumination.

[0105] FIG. 5A shows a schematic of a metrology system 500 that can be implemented as a part of lithographic apparatus 100 or 100’, according to some embodiments. Additionally, or alternatively, metrology system 500 that can be implemented as a dedicated metrology system. For example, the integrated optical alignment sensor of this disclosure can be implemented as part of lithographic apparatus 100 or 100’ or can be implemented as a dedicated metrology system. In some embodiments, metrology system 500 can be configured to align a substrate (e.g., substrate W) with respect to a patterning device (e.g., patterning device MA). Metrology system 500 can be further configured to detect positions of alignment marks on the substrate and to align the substrate with respect to the patterning device or other components of lithographic apparatus 100 or 100’ using the detected positions of the alignment marks. Such alignment of the substrate can ensure accurate exposure of one or more patterns on the substrate. According to some embodiments, metrology system 500 can be part of metrology system 400 of FIG. 4A and/or FIG. 4B.

[0106] According to some embodiments, metrology system 500 can be (or include) an on-chip alignment sensor designed using integrated optics. Metrology system 500 can include illumination system 501 configured to generate beam of radiation 503 for illuminating target 505. In some embodiments, and as discussed in more detail below, illumination system 501 can include one or more radiation sources and one or more illumination gratings. Alternatively, illumination system 501 can include one or more illumination gratings coupled to one or more external radiation sources.

[0107] In some embodiments, target 505 can include, but is not limited to, an alignment mark on a substrate. After illuminating target 505 using beam of radiation 503, diffraction beam of radiations 507, 509a, and 509b are diffracted from target 505. Diffracted beam of radiation 507 can include a 0 diffraction order beam of radiation. Diffracted beam of radiation 509a can include a +1 diffraction order beam of radiation. Diffracted beam of radiation 509b can include a -1 diffraction order beam of radiation. Although FIG. 5A illustrates 0 and ±1 diffraction orders, the embodiments of this disclosure are not limited to these diffraction orders and other diffraction orders (e.g., ±2, ±3, ±4, ±5, etc.) can also be used.

[0108] According to some embodiments, diffracted beam of radiation 507 can be blocked by illumination system 501. However, diffracted beam of radiation 509a is received by capturing system 51 la and diffracted beam of radiation 509b is received by capturing system 511b. According to some embodiments, and as discussed in more detail below, capturing systems 511a and 511b can include one or more capturing gratings.

[0109] In some embodiments, capturing system 511a can be coupled to waveguide device 513a and capturing system 511b can be coupled to waveguide device 513b. Capturing systems 511a and 511b can generate guided modes of radiation from the received diffracted radiation, according to some embodiments. Waveguide devices 513a and 513b can propagate the guided modes of radiation from capturing systems 511a and 511b to interference device 515. In some examples, waveguide devices 513a and 513b can include a single-mode fiber. In some examples, waveguide devices 513a and 513b can include a multimode fiber. The term “waveguide device” or the like can be used herein to refer to a device that can propagate radiation by directing the radiation along a guide and/or medium. An example of a waveguide device is an optical fiber. Another example of a waveguide device is a microwave cavity. Yet another example of a waveguide device is a strip of light-transmissive material affixed on a substrate (e.g., as can be found in photonic circuits).

[0110] In an embodiment, interference device 515 can include an interferometer. For example, interference device 515 can include any appropriate set of optical-elements to interfere (e.g., combine) the received diffracted beams of radiation (e.g., 509a and 509b) to measure a phase difference between the received diffracted beams of radiation. In some examples, the phase difference can be a measure of the position of the alignment mark on the substrate. In some examples, interference device 515 can include a wide waveguide device configured to interfere (e.g., combine) the received diffracted beams of radiation (e.g., 509a and 509b). In some examples, interference device 515 can include directional couplers, Mach_Zehnder interferometers, multi-mode interferometer (MMI), or the like. The embodiments of this disclosure are not limited to these examples and interference device 515 can include any set of optical- elements for interfering/combining the diffracted beams of radiation received from capturing systems 511a and 511b.

[0111] According to some embodiments, the combined (e.g., interfered) guided modes of radiation from interference device 515 is sent to detectors 517a and 517b. Detectors 517a and 517b can be configured to generate a measurement signal(s) based on the combined guided modes of radiation (e.g., a linear combination of the guided modes of radiation.) In some examples, detectors 517a and 517b can detect an interference as a result of the combined guided modes of radiation (e.g., interfered guided mode of radiations.) In some examples, based on the detected interference, detectors 517a and 517b can be configured to determine a position of the center of symmetry of alignment mark or target 505 and consequently, detect a position of a substrate.

[0112] In some embodiments, the generated measurement signal(s) (generated by detectors 517a and 517b) can be sent to a processor (not shown - e.g., processor 432 of FIGS. 4A and 4B) for further analysis. The processor can analyze the measurement signal(s) to determine a characteristic of target 505. For example, the processor can be an overlay calculation processor. Additionally, or alternatively, the processor can create a basic correction algorithm based on the information received from detectors 517a and 517b, including but not limited to the optical state of the illumination beam, the alignment signals, associated position estimates, and the optical state in the pupil, image, and additional planes. The embodiments of this disclosure are not limited to these examples and the processor can determine other characteristic(s) of target 505 based on the measurement signal(s) generated by detectors 517a and 517b. [0113] According to some embodiments, illumination system 501 and grating capture system 511 can be located on the same chip (on-chip alignment sensor.) In some examples, illumination system 501 can include one or more radiation sources that are external to on-chip alignment sensor and can include one or more illumination gratings that are on the on-chip alignment sensor. In some examples, waveguide devices 513, interference device 515, and detector 517 are on the same chip (on-chip alignment sensor) as illumination system 501 and grating capture system 511. In these examples, waveguide devices 513, interference device 515, and detector 517 are on the same plane as illumination system 501 and grating capture system 511. Alternatively, one or more of waveguide devices 513, interference device 515, and detector 517 can be external to the on-chip alignment sensor. Similarly, the processor can be on the on-chip alignment sensor or be external to the on-chip alignment sensor.

[0114] As discussed in more detail below, illumination system 501 can generate a plurality of beams of radiation with different wavelengths. Additionally, or alternatively, capturing system 511 can include a plurality of pairs of capturing gratings, where each pair of capturing gratings corresponds to a wavelength. In a non-limiting example, the wavelengths for the illumination system 501 and capturing system 511 can include, but are not limited to, 532 nm, 633 nm, 780 nm, and 850 nm. In another non limiting example, the wavelengths can include, but are not limited to, wavelengths between about 1540 nm and about 1580 nm. The embodiments of this disclosure are not limited to these examples and can include other wavelength values. In some examples, beam of radiation 503 can include a beam of radiation with predetermined amplitude and phase profile. In a non-limiting example, beam of radiation 503 can include a Gaussian beam of radiation with a Gaussian spot size of about 40 pm at a distance 519 of about 10 mm. In some examples, distance 519 is the distance (e.g., in Z axis) from the on-chip alignment sensor from target 505. The embodiments of this disclosure are not limited to these examples and can include different spot sizes and distances.

[0115] FIG. 5B shows a schematic of another metrology system 530 that can be implemented as a part of lithographic apparatus 100 or 100’ , according to some embodiments. Additionally, or alternatively, metrology system 530 that can be implemented as a dedicated metrology system. For example, the integrated optical alignment sensor of this disclosure can be implemented as part of lithographic apparatus 100 or 100’ or can be implemented as a dedicated metrology system. FIG. 5B illustrates one exemplary top view of the integrated optical alignment sensor of this disclosure.

[0116] Metrology system 530 is similar to metrology system 500 of FIG. 5A. According to some embodiments, a difference between metrology system 530 and metrology system 500 of FIG. 5A can be in that metrology system 530 can be configured to measure the amplitude and/or phase of each diffraction order by interference with a reference radiation. Metrology system 530 include can include capturing systems 541a and 541b similar to capturing systems 511a and 511b of FIG. 5A. Metrology system 530 can also include illumination system 531. In some examples, illumination system 531 can receive a guided mode of radiation through waveguide devices 551 and 553a. Illumination system 531 can convert the guided mode of radiation to one or more beams of radiation to irradiate a target (e.g., target 505 of FIG. 5A.) The diffracted beams of radiation (e.g., 509a and 509b of FIG. 5A) are received by capturing systems 541a and 541b.

[0117] In one example, a guided mode of radiation converted from the captured diffracted beam of radiation by capturing system 541a is guided through waveguide device 543a to interference device 545. Also, the reference guided mode of radiation is guided to interference device 545 using waveguide devices 551 and 553b. In this example, the guided mode of radiation converted from the captured diffracted beam of radiation by capturing system 541a and the reference guided mode of radiation can be combined (e.g., interfered) to generate a combined (e.g., interfered) guided mode of radiation. The guided mode of radiation from interference device 545 is sent to detectors 547a and 547b. Detectors 547a and 547b can be configured to generate a measurement signal(s) based on the combined guided mode of radiations (e.g., a linear combination of the guided mode of radiations.) In some examples, detectors 547a and 547b can detect an interference as a result of the combined guided mode of radiations (e.g., interfered guided mode of radiations.) In some examples, based on the detected interference, detectors 547a and 547b can be configured to determine a position of the center of symmetry of alignment mark or target 505 and consequently, detect a position of a substrate.

[0118] Although some examples of this disclosure are discussed with respect to one on-chip alignment sensor, the embodiments of this disclosure are not limited to these examples. For example, a metrology system of this disclosure can include a plurality of the disclosed on-chip alignment sensors configured to perform alignment in parallel on a plurality of alignment marks. Each on-chip alignment sensor of the plurality of the on-chip alignment sensors can perform an alignment process on a corresponding alignment mark. The alignment processes can be performed in parallel. One or more processors can be configured to assist with the alignment processes (e.g., determine one or more characteristics of the alignment marks in parallel.)

[0119] FIG. 6 A illustrates one exemplary schematic system circuit of on-chip alignment sensor

630, according to some embodiments. In some examples, on-chip alignment sensor 630 can include one or more radiation sources 631, one or more illumination gratings 633, one or more pairs of capturing grating 635a and 635b, interference device 637, and one or more detectors 639. [0120] According to some embodiments, one or more radiation sources 631 and one or more illumination gratings 633 can be part of illumination system 501 of FIG. 5. According to some embodiments, one or more pairs of capturing grating 635a and 635b can be part of capturing system 511a and 511b of FIG. 5A (and/or capturing system 541a and 541b of FIG. 5B.)

[0121] In some examples, one or more radiation sources 631 can be on the same chip (e.g., on- chip alignment sensor 630) as one or more illumination gratings 633 and one or more pairs of capturing grating 635a and 635b. Additionally, or alternatively, on-chip alignment sensor 630 can be coupled to one or more external radiation sources through 632. In some examples, the one or more external radiation sources are not on the same chip (e.g., on-chip alignment sensor 630) as one or more illumination gratings 633 and one or more pairs of capturing grating 635a and 635b. In this example, one or more external radiation sources can be coupled to on-chip alignment sensor 630 through 632 and using, for example, one or more waveguide devices 641.

[0122] FIG. 6A illustrates that radiation source 631 includes four radiation sources. In a non limiting example, these four radiation sources can generate four beams of radiation in about 532 nm, 633 nm, 780 nm, and 850 nm wavelength. In another non-limiting example, these four radiation sources can generate four beams of radiation with wavelengths between about 1540 nm and about 1580 nm. One example of radiation source 631 having four radiation source 63 la-63 Id is illustrated in FIG. 6B. However, the embodiments of this disclosure are not limited to these examples, and radiation source 631 can include any other number of radiation sources and/or any other wavelengths. In a non-limiting example, one or more radiation sources 631 can include laser sources and/or infrared (IR) sources.

[0123] One or more radiation sources 631 can generate one or more beams of radiation that are guided to one or more illumination gratings 633 using, for example, waveguide device 645. Although one illumination grating is illustrated in FIG. 6A, on-chip alignment sensor 630 can include any number of illumination gratings. Illumination grating 633 is configured to receive the guided modes of radiation from radiation sources 631 (or the external radiation source through 632) and generate a beam of radiation for illuminating a target (e.g., target 505 of FIG. 5 A.) According to some examples, one or more radiation sources 631 and one or more illumination gratings 633 are on the same chip (on-chip alignment sensor 630) and on the same plane (e.g., the X-Y plane.) Illumination grating 633 is configured to generate the beam of radiation, which is emitted toward the target (e.g., target 505 of FIG. 5 A) along, for example, the Z axis. [0124] In one embodiment, the diffracted beams of radiation from the target (e.g., target 505 of

FIG. 5A) are received at one or more pairs of capturing grating 635a and 635b. According to some embodiments, on-chip alignment sensor 630 can include one pair of capturing gratings 635a and 635b for each diffraction order of the diffracted beams of radiation. For example, if on-chip alignment sensor 630 is configured to use ±1 diffraction orders, on-chip alignment sensor 630 can include capturing grating 635a for +1 diffraction order and capturing grating 635b for -1 diffraction order. In another example, if on-chip alignment sensor 630 is configured to use ±1 and ±3 diffraction orders, on-chip alignment sensor 630 can include a first pair of capturing gratings for ±1 diffraction orders and a second pair of capturing gratings for ±3 diffraction orders. And so on.

[0125] According to some examples, one or more pairs of capturing gratings 635 and one or more illumination gratings 633 are on the same chip (on-chip alignment sensor 630) and on the same plane (e.g., an X-Y plane.) Capturing gratings 635 can be configured to receive the diffracted beams of radiation from the target (e.g., target 505 of FIG. 5A.) According to some embodiments, capturing gratings 635 and illumination grating 633 are on the same plane (e.g., an X-Y plane) that is separated from the X-Y plane of the target (e.g., target 505 of FIG. 5 A) along the Z axis.

[0126] As discussed in more detail below, each one of capturing gratings 635a and 635b can convert the received diffracted beam of radiation to a guided mode of radiation (which is in a waveguide mode.) The guided modes of radiation can be guided through, for example, waveguide devices 647a (for “+” diffraction order) and 647b (for diffraction order) to interference device 637. Interference device 637 can be configured to combine (e.g., interfere) the guided mode of radiations.

[0127] According to some embodiments, interference device 637 is on the same chip (on-chip alignment sensor 630) and on the same plane (e.g., X-Y plane) as illumination grating 633 and capturing gratings 635. FIG. 6B illustrates one exemplary schematic of interference device 637 that receives the guided mode of radiations (generated from diffracted beams of radiation) through waveguide devices 647a and 647b.

[0128] In some embodiments, on-chip alignment sensor 630 can include one or more detectors

639 and/or can be coupled to one or more detectors 640. In some examples, one or more detectors 639 can be on the same chip (e.g., on-chip alignment sensor 630) as one or more illumination gratings 633 and one or more pairs of capturing grating 635a and 635b. In this example, one or more detectors 639 can be coupled to interference device 637 using, for example, one or more waveguide devices 649. Additionally, or alternatively, on-chip alignment sensor 630 can be coupled to one or more detectors 640, which are not on the same chip (e.g., on-chip alignment sensor 630) as one or more illumination gratings 633 and one or more pairs of capturing gratings 635a and 635b. In this example, one or more detectors 640 can be coupled to on-chip alignment sensor 630 using, for example, one or more waveguide devices 641.

[0129] According to some embodiments, the number of illumination gratings 633 and/or the positions of illumination gratings 633 can depend on one or more the number of radiation sources, the wavelength of the beams used to irradiate the target, the diffraction order(s) captured, or the like. Additionally, or alternatively, the number of capturing gratings 635 and/or the positions of capturing gratings 635 can depend on one or more the number of radiation sources, the wavelength of the beams used to irradiate the target, the diffraction order(s) captured, or the like.

[0130] As discussed in more detail below, FIGS. 9 A and 9B illustrate one exemplary design for the illumination grating. FIGS. 10 and 11A-11C illustrate one exemplary design for the capturing grating. However, the embodiments of this disclosure are not limited to these examples, and other designs can be used for the illumination gratings and the capturing gratings. For example, a design of the illumination grating can be used in a capturing grating and a design of the capturing grating can be used for the illumination grating. In a non-limiting example, FIGS. 9A and 9B can be used as a capturing grating and FIGS. 10 and 11 A-l 1C can be used as an illumination grating.

[0131] Although FIGS. 6A and 6B are discussed with respect to interfering guided mode of radiations generated from diffracted beams of radiation (e.g., interfering a diffraction order with a “+” diffraction order), FIGS. 6 A and 6B can also interfere a guided mode of radiation generated from a diffracted beam of radiation (e.g., a diffraction order) with a reference radiation (e.g., a reference guided mode of radiation) as discussed in, for example, FIG. 5B.

[0132] FIG. 7 illustrates one exemplary schematic top view of arrangement 700 of illumination gratings and capturing gratings on an on-chip alignment sensor that use multiple wavelengths and orders, according to some embodiments of this disclosure. FIG. 7 illustrates four illumination gratings 701a-701d and 4*7 pairs of capturing gratings 703, 705, 707, and 709, according to some embodiments. In this example, the four illumination gratings 701a-701d can irradiate a target on a substrate (e.g., target 505 of FIG. 5A) using beams of radiation with wavelengths including 532 nm, 633 nm, 780 nm, and 850 nm. In another non-limiting example, four illumination gratings 701a-701d can irradiate the target using beams of radiation with wavelengths between about 1540 nm and about 1580 nm. FIG. 7 illustrates an exemplary arrangement where the beams of radiation for irradiating the target are perpendicular (or substantially perpendicular) to the target.

[0133] Capturing gratings 703, 705, 707, and 709 can capture diffracted beams of radiation from the target. Capturing gratings 703, 705, 707, and 709 can capture diffracted beams of radiation with diffraction orders ±1, ±2, ±3, ±4, ±5, ±6, and ±7. In this example, illumination gratings 701 and capturing gratings 703, 705, 707, and 709 are on the same plane (e.g., an X-Y plane.) According to some embodiments, illumination gratings 701 and capturing gratings 703, 705, 707, and 709 are on the same plane (e.g., an X- Y plane) that is separated from the X-Y plane of target along the Z axis.

[0134] According to a non-limiting example, illumination grating 701a can be configured to receive a beam of radiation or a guided mode of radiation from one or more radiation sources and emit a beam of radiation to irradiate the target. In this example, the guided mode of radiation and the beam of radiation can have a wavelength of 850 nm. Capturing gratings 709a-709n can be configured to receive the diffracted beams of radiation that are diffracted from the target. The diffracted beams of radiation captured by capturing gratings 709a-709n can also have the same wavelength as beam of radiation emitted by illumination grating 701a (e.g., wavelength of 850 nm.) Capturing grating 709a and 709b can be configured to receive the diffracted beams of radiation with diffraction order of ±1. Capturing grating 709c and 709d can be configured to receive the diffracted beams of radiation with diffraction order of ±2. Capturing grating 709e and 709f can be configured to receive the diffracted beams of radiation with diffraction order of ±3. Capturing grating 709g and 709h can be configured to receive the diffracted beams of radiation with diffraction order of ±4. Capturing grating 709i and 709j can be configured to receive the diffracted beams of radiation with diffraction order of ±5. Capturing grating 709k and 7091 can be configured to receive the diffracted beams of radiation with diffraction order of ±6. And, capturing grating 709m and 709n can be configured to receive the diffracted beams of radiation with diffraction order of ±7.

[0135] In this non-limiting example, illumination grating 701b can be configured to receive a beam of radiation or a guided mode of radiation from one or more radiation sources and emit a beam of radiation to irradiate the target. In this example, the a guided mode of radiation and thebeams of radiation can have a wavelength of 780 nm. Capturing gratings 707 can be configured to receive the diffracted beams of radiation that are diffracted from the target. The diffracted beams of radiation captured by capturing gratings 707 can also have the same wavelength as the beam of radiation emitted by illumination grating 701b (e.g., wavelength of 780 nm.) Capturing gratings 707 can be configured to capture the diffracted beams of radiation with diffraction orders ±1, ±2, ±3, ±4, ±5, ±6, and ±7 as discussed with respect to capturing gratings 709a-709n.

[0136] In this non-limiting example, illumination grating 701c can be configured to receive a beam of radiation a guided mode of radiation from one or more radiation sources and emit a beam of radiation to irradiate the target. In this example, the a guided mode of radiation and the beams of radiation can have a wavelength of 633 nm. Capturing gratings 705 can be configured to receive the diffracted beams of radiation that are diffracted from the target. The diffracted beams of radiation captured by capturing gratings 705 can also have the same wavelength as the beam of radiation emitted by illumination grating 701c (e.g., wavelength of 633 nm.) Capturing gratings 705 can be configured to capture the diffracted beams of radiation with diffraction orders ±1, ±2, ±3, ±4, ±5, ±6, and ±7 as discussed with respect to capturing gratings 709a-709n.

[0137] In this non-limiting example, illumination grating 701d can be configured to receive a beam of radiation or a guided mode of radiation from one or more radiation sources and emit a beam of radiation to irradiate the target. In this example, the a guided mode of radiation and thebeams of radiation can have a wavelength of 532 nm. Capturing gratings 703 can be configured to receive the diffracted beams of radiation that are diffracted from the target. The diffracted beams of radiation captured by capturing gratings 703 can also have the same wavelength as the beam of radiation emitted by illumination grating 701d (e.g., wavelength of 532 nm.) Capturing gratings 703 can be configured to capture the diffracted beams of radiation with diffraction orders ±1, ±2, ±3, ±4, ±5, ±6, and ±7 as discussed with respect to capturing gratings 709a-709n.

[0138] It is noted that the embodiments of this disclosure are not limited to these examples, and the on-chip alignment sensor can include any number of illumination gratings, any number of capturing gratings, any wavelength values, and/or any other arrangements.

[0139] Although not shown, arrangement 700 of the on-chip alignment sensor can include a plurality of interference devices (e.g., a plurality of interference devices 515 (of FIG. 5A), a plurality of interference devices 545 (of FIG. 5B), and/or a plurality of interference devices 637 (of FIG. 6)), according to some embodiments. Each one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation for a specific wavelength, according to some embodiments. For example, a first one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 703. A second one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 705. A third one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 707. And, a fourth one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 709.

[0140] The on-chip alignment sensors of this disclosure can include any arrangements and/or dimensions for illumination gratings and capturing gratings. According to some embodiments, the number of illumination gratings, the positions of illumination gratings, the number of capturing gratings, the positions of capturing gratings, can depend on one or more the number of radiation sources, the wavelength of the beams used to irradiate the target, the diffraction order(s) captured, or the like.

[0141] FIG. 8A illustrates another exemplary schematic top view of arrangement 800 of illumination gratings and capturing gratings on an on-chip alignment sensor where the wavelengths illuminate a target simultaneously or substantially simultaneously, according to some embodiments of this disclosure. FIG. 8A illustrates 4 illumination gratings 801a-801d and 4*7 pairs of capturing gratings 803, 805, 807, and 809, according to some embodiments. In this example, the four illumination gratings 801a- 801d can irradiate a target on a substrate (e.g., target 505 of FIG. 5A) using beams of radiation with wavelengths including 532 nm, 633 nm, 780 nm, and 850 nm. In another non-limiting example, four illumination gratings 801a-801d can irradiate the target using beams of radiation with wavelengths between about 1540 nm and about 1580 nm. FIG. 8 illustrates an exemplary arrangement where the beams of radiation for irradiating the target have different corresponding angles with respect to the target. In some examples, the beams of radiation from arrangement 800 for irradiating the target are not perpendicular to the target. According to some embodiments, FIG. 8 illustrates an exemplary arrangement where the beams of radiation irradiate the same or substantially the same spot on the target.

[0142] Capturing gratings 803, 805, 807, and 809 can capture diffracted beams of radiation from the target. Capturing gratings 803, 805, 807, and 809 can capture diffracted beams of radiation with diffraction orders ±1, ±2, ±3, ±4, ±5, ±6, and ±7. In this example, illumination gratings 801 and capturing gratings 803, 805, 807, and 809 are on the same plane (e.g., an X-Y plane.) According to some embodiments, illumination gratings 801 and capturing gratings 803, 805, 807, and 809 are on the same plane (e.g., an X- Y plane) that is separated from the X-Y plane of target along the Z axis.

[0143] Compared to schematic arrangement 700 of FIG. 7, in schematic arrangement 800, illumination gratings 801a-801d are shifted in, for example, the Y axis. According to some embodiments, capturing gratings 803, 805, 807, and 809 are also shifted in, for example, the Y axis. In this example, capturing gratings 809 correspond to illumination grating 801a, capturing gratings 807 correspond to illumination grating 801b, capturing gratings 805 correspond to illumination grating 801c, and capturing gratings 803 correspond to illumination grating 801d. According to some embodiments, for each wavelength, the illumination grating can illuminate the target and the capturing gratings can capture the diffracted beams of radiation simultaneously or substantially simultaneously. Additionally, or alternatively, for a plurality of wavelengths, the illumination gratings can illuminate the target and the plurality of pairs of capturing gratings can capture the diffracted beams of radiation simultaneously or substantially simultaneously.

[0144] FIG. 8B illustrates an exemplary schematic side view for arrangement 800 of FIG. 8A, according to some embodiments of this disclosure. FIG. 8B illustrates illumination grating 801a-801d that emit beams of radiation toward target 825. The diffracted beams of radiation are diffracted from target 825 toward capturing gratings (not shown in FIG. 8B.) As illustrated in FIG. 8B, and as one example, illumination grating 801a can emit beam of radiation 851. In this example, diffracted beam of radiation 853 can be captured by one of the capturing gratings (e.g., one of capturing gratings 809 of FIG. 8A) that can be on the same axis (e.g., X axis) as illumination grating 801b. Similarly, illumination grating 801b can emit beam of radiation 855. In this example, diffracted beam of radiation 857 can be captured by one of the capturing gratings (e.g., one of capturing gratings 807 of FIG. 8 A) that can be on the same axis (e.g., X axis) as illumination grating 801a.

[0145] In a non-limiting example, arrangement 800 can have similar dimensions and/or distances as discussed above with respect to arrangement 700 of FIG. 7. However, the embodiments of this disclosure are not limited to these examples, and the on-chip alignment sensor can include any number of illumination gratings, any number of capturing gratings, any wavelength values, and/or any other arrangements. [0146] Although not shown, arrangement 800 of the on-chip alignment sensor can include a plurality of interference devices (e.g., a plurality of interference devices 515 (of FIG. 5A), a plurality of interference devices 535 (of FIG. 5B), and/or a plurality of interference device 637 (of FIG. 6)), according to some embodiments. Each one of the plurality of interference devices can be used to interfere (e.g., combine) guided mode of radiations for a specific wavelength, according to some embodiments. For example, a first one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 803. A second one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 805. A third one of the plurality of interference devices can be used to interfere (e.g., combine) guided modes of radiation from capturing gratings 807. And, a fourth one of the plurality of interference devices can be used to interfere (e.g., combine) guided mode of radiations from capturing gratings 809.

[0147] FIGS. 9 A and 9B illustrate an exemplary schematic of an illumination grating 900 for use on the on-chip alignment sensor, according to some embodiments of this disclosure. According to some embodiments, illumination grating 900 can be used in illumination system 501 of FIG. 5A and/or illumination system 531 of FIG. 5B. According to some embodiments, illumination grating 900 can be (or be used as) illumination grating 633 of FIG. 6A, illumination gratings 701a-701d of FIG. 7, and/or illumination gratings 801a-801d of FIGS. 8A and 8B. However, it is noted that the design of FIGS. 9A and 9B can also be used for a capturing grating.

[0148] As illustrated in FIG. 9A, illumination grating 900 can include a first section 901 and a second section 903, according to some embodiments. First section 901 of illumination grating 900 can be configured to be coupled to a waveguide device (not shown) at, for example, input 905. Illumination grating 900 can receive guided mode of radiation(s) from one or more radiation sources through the waveguide device (not shown) at, for example, input 905. According to some examples, an in-couple grating (e.g., a waveguide coupler - not shown) can be located between the waveguide device coupled to illumination grating 900 and the one or more radiation sources. According to some embodiments, first section 901 can include a taper configured to expand the received guided mode of radiation(s).

[0149] Second section 903 of illumination grating 900 can include a reciprocal free space beam to waveguide mode converter. For example, the reciprocal free space beam to waveguide mode converter of second section 903 can include a focusing grating. According to some embodiments, the focusing grating can include a plurality of light diffracting and/or scattering structures 907. In some examples, light diffracting and/or scattering structures 907 can include, but are not limited to, grating bars, lines, pillar, or the like. In one embodiment, light diffracting and/or scattering structures 907 can include a plurality of curved structures (e.g., grating bars.) In some examples, the curved structures can be elliptical structures (e.g., elliptical grating bars.) [0150] In a non-limiting example, a contour of an individual structure (e.g., an individual grating line) of light diffracting and/or scattering structures 907 can be determined using the following equation: [0151] T JX 2 + y 2 n e ff = ](x w — x) 2 + y 2 + h 2 + q .

[0152] Here, x and y define the shape of the structure (e.g., the grating line) in the plane of the on- chip alignment sensor (the integrated sensor on chip), given a certain grating line number (q), the wavelength (l) of the guided mode of radiation in free space, height of focus (h) perpendicular to the plane of the sensor, a distance along the x-axis from the start of the grating to the projection of the focus (x„), and the overall effective index (n eff ) of the guided mode of radiation locally.

[0153] According to some examples, illumination grating 900 is configured to receive a guided mode of radiation (e.g., guided mode of radiation 911 of FIG. 9B) in a waveguide mode. A waveguide mode can be a propagating optical field confined by a composition of different materials in different shapes. A waveguide mode can be single-mode, but can also be multimode. A waveguide mode can be single polarization, single wavelength, but can also include a superposition of polarizations and can be of multiple wavelengths. In some examples, guided mode of radiation 911 can be generated from a beam of radiation from one or more radiation source that is guided through a waveguide device.

[0154] Illumination grating 900 is configured to convert the guided mode of radiation in the waveguide mode (e.g., guided mode of radiation 911 of FIG. 9B) to a beam of radiation in a free space mode (e.g., beam of radiation 913 of FIG. 9B). A free space mode can be a propagating optical field that is not confined by any material or structure. It can be of any polarization, wavelength, size, amplitude profile, phase profile, or a combination of so.

[0155] Illumination grating 900 then emits the beam of radiation in the free space mode (e.g., beam of radiation 913 of FIG. 9B) toward a target on a substrate. In some examples, the beam of radiation in the free space mode (e.g., beam of radiation 913 of FIG. 9B) is a beam of radiation with predetermined amplitude and phase profile. In a non-limiting example, the beam of radiation in the free space mode (e.g., beam of radiation 913 of FIG. 9B) is a Gaussian beam of radiation. A Gaussian beam of radiation is a beam of radiation with a Gaussian amplitude profile.

[0156] According to some embodiments, the outcouple strength of the beam of radiation in the free space mode (e.g., beam of radiation 913 of FIG. 9B) can be tuned by, for example changing the fill factor (ff) of light diffracting and/or scattering structures 907. Referring to FIG. 11 A, fill factor (ff) can be the ratio of length 1107 to length 1105. In the case of a desired Gaussian shaped amplitude profile of the beam of radiation in the free space mode (e.g., beam of radiation 913 of FIG. 9B), the following equation can be used: [0158] Here, G(x) is Gaussian amplitude profile and (a(x)) is the outcouple strength. The above equation provides a desired outcouple strength (a(x)) for, in this example, a normalized Gaussian distribution.

[0159] According to some embodiments, a period of the plurality of light diffracting and/or scattering structures 907 and/or a duty cycle of the plurality of light diffracting and/or scattering structures 907 are designed such that illumination grating 900 emits the beam of radiation with predetermined amplitude and phase profile (e.g., a Gaussian beam of radiation) toward the target. For example, by designing the period of the plurality of light diffracting and/or scattering structures 907 and/or the duty cycle of the plurality of light diffracting and/or scattering structures 907, an amplitude profile and/or a phase profile of beam of radiation 913 can be controlled. In some examples, the period of the plurality of light diffracting and/or scattering structures 907 can be defined as a distance between two consecutive structures. In some examples, the duty cycle of the plurality of light diffracting and/or scattering structures 907 can be defined as a ratio of how much of focusing grating 903 is filled with light diffracting and/or scattering structures 907.

[0160] Additionally, or alternatively, by designing the period of the plurality of light diffracting and/or scattering structures 907 and/or the duty cycle of the plurality of light diffracting and/or scattering structures 907, an angle by which beam of radiation 913 is emitted from illumination grating 900 can be controlled. In some examples, the angle by which beam of radiation 913 is emitted from illumination grating 900 can also depend on the wavelength of beam of radiation 911 (and beam of radiation 913.)

[0161] In some examples, illumination grating 900 can be produced on a substrate 915 as illustrated in FIG. 9B. In a non-limiting example, substrate 915 can be a silicon substrate. According to some embodiments, a layer of reflecting material 917 (e.g., a mirror) can be located between illumination grating 900 and substrate 915. Layer of reflecting material 917 can be configured to reflect any part of radiation that moves toward substrate 915 from illumination grating 900. Layer of reflecting material 917 can increase efficiency illumination grating 900. In addition to, or in alternative to, reflecting material, layer 917 can include a grating configured to reflect, diffract, and/or scatter any part of radiation that moves toward substrate 915 from illumination grating 900.

[0162] According to a non-limiting example, illumination grating 900 on an on-chip alignment sensor can be configured to generate and emit a Gaussian beam of radiation with a spot size of about 40 pm on a target which is about 10 mm away from the on-chip alignment sensor.

[0163] FIG. 10 illustrates an exemplary schematic of a capturing grating 1000 for use on the on- chip alignment sensor, according to some embodiments of this disclosure. According to some embodiments, capturing grating 1000 can be used in capturing systems 511a and 51 lb of FIG. 5 A and/or capturing systems 541a and 541b of FIG. 5B. According to some embodiments, capturing grating 1000 can be (or be used as) capturing gratings 635a and 635b of FIG. 6A, capturing gratings 703, 705, 707, and 709 of FIG. 7, and/or capturing gratings 803, 805, 807, and 809 of FIGS. 8A and 8B. However, it is noted that the design of FIG. 10 (and FIGS. 11 A-l 1C) can also be used for an illumination grating.

[0164] As discussed above, capturing grating 1000 can be configured to capture diffracted beam of radiation that is diffracted from the target on the substrate. In some examples, the diffracted beam of radiation can be a free space beam of radiation with predetermined amplitude and phase profile (e.g., a free space Gaussian beam of radiation.) According to some embodiments, capturing grating 1000 can include a free space beam to waveguide mode converter. For example, capturing grating 1000 can include focusing grating 1001 and gap coupler 1003. According to some embodiments, the diffracted beam of radiation (e.g., the free space beam of radiation) is captured by focusing grating 1001. Focusing grating 1001 can be a free space to slab converter, according to some embodiments. Focusing grating 1001 can be configured to convert the free space beam of radiation to a slab mode of radiation. A slab mode can be an extension of a waveguide mode. The same (or substantially the same) principles of a waveguide mode can apply to a slab mode. In some examples, the waveguide can also be a slab mode Focusing grating 1001 can be configured to capture an amplitude and a phase of the received diffracted beam of radiation. Focusing grating 1001 can be configured to focus the captured diffracted beam of radiation in Y direction in FIG. 10, according to some embodiments.

[0165] In some examples, focusing grating 1001 can include a plurality of light diffracting and/or scattering structures (e.g., grating bars, lines, pillars, or the like) configured to match a field associated with the captured diffracted beam of radiation and/or couple a free space field to a slab mode (and/or a waveguide mode.) However, the embodiments of this disclosure are not limited to these examples, and capturing grating 1001 can include other gratings configured to convert the free space beam of radiation to the slab mode beam of radiation. In a non-limiting example, focusing grating 1001 can include a square grating. [0166] The slab mode of radiation, which is converted from the captured diffracted beam of radiation by focusing grating 1001, propagates through gap coupler 1003. According to some embodiments, gap coupler 1003 can include, but is not limited to, a section 1005 (e.g., a triangle section) and a gap 1007. Gap coupler 1003 can be a slab mode to waveguide mode converter, according to some embodiments. For example, gap coupler 1003 can convert the slab mode of radiation (received from focusing grating 1001) to a guided mode of radiation (e.g., in waveguide mode.) Gap coupler 1003 is coupled to focusing grating 1001 and can be configured to capture the amplitude and phase of the slab mode of radiation. Gap coupler 1003 can be configured to focus the slab mode of radiation in X direction in FIG. 10, according to some embodiments. [0167] The guided mode of radiation (e.g., in waveguide mode) generated by gap coupler 1003, can be sent to, for example, interference device 515 (of FIG. 5 A), interference device 545 (of FIG. 5B), and/or interference device 637 (of FIG. 6) as discussed above.

[0168] Although some embodiments of this disclosure are discussed with exemplary designs for the illumination grating and some embodiments are discussed with exemplary designs for the capturing grating, these designs can be used interchangeably. In other words, illumination grating’s designs can be used for a capturing grating and capturing grating’s designs can be used for an illumination grating.

[0169] In some embodiments, gap coupler 1003 can be a slab coupler. Additionally, or alternatively, other couplers such as, but not limited to, prism couplers, regular tapers, or the like can be used as the slab mode to waveguide mode converters.

[0170] FIG. 11A illustrates an exemplary schematic of a one dimensional (ID) focusing grating

1100 for use in the capturing grating, according to some embodiments of this disclosure. According to some embodiments, focusing grating 1100 can be (or be used as) focusing grating 1001 of capturing grating 1000 of FIG. 10. However, the embodiments of this disclosure are not limited to this focusing grating.

[0171] According to some embodiments, focusing grating 1100 can include light diffracting and/or scattering structures 1101 (e.g., grating bars/lines) on substrate 1103. In a non-limiting example, light diffracting and/or scattering structures 1101 can be made of silicon dioxide (S1O2) and substrate 103 can be made of indium phosphide (InP). However, the embodiments of this disclosure are not limited to these examples, and other material can be used for making light diffracting and/or scattering structures 1101 and/or substrate 1103. According to some embodiments, light diffracting and/or scattering structures 1101 can have element specific period and duty cycle. For example, the i* element of light diffracting and/or scattering structures 1101 can have a period (L;) 1105 and a duty cycle (ff;*A;) 1107. Here, ff; is the fill factor for the i* element of light diffracting and/or scattering structures 1101. In some examples, the period and duty cycle changes from element to element on light diffracting and/or scattering structures 1101. [0172] FIG. 11A illustrates an example where slab mode of radiation 1109 propagates through substrate 1103 and is converted to free space mode beam of radiation 1111 with residual radiation 1113. This example is provided for illustration of the principles of the operation of focusing grating 1100. However, in practice, free space beam of radiation is captured by the focusing grating of the capturing grating of this disclosure and is converted to the slab mode of radiation. The slab mode of radiation is then converted to the guided mode of radiation using the gap coupler as discussed above.

[0173] In some examples, by controlling period 1105 and duty cycle 1107, the amplitude and the phase of the slab mode of radiation generated by focusing grating 1100 can be controlled. According to some embodiments, the phase of beam of radiation 1111 can be controlled using period 1105 of focusing grating 1100. For example, the following equation can be used to control the phase of beam of radiation 1111 (e.g., the angle of beam of radiation 1111 with respect to the surface of focusing grating 1100):

[0174] A = mX ° . neff _sm 0i

[0175] Here, l 0 is the wavelength of beam of radiation 1111 (and/or beam of radiation 1109). A, is the local period of one element of light diffracting and/or scattering structure 1101 1101. In this example, n eff is the effective refractive index of the waveguide mode. And 0, is the angle of beam of radiation 1111 with respect to the surface of focusing grating 1100.

[0176] According to some embodiments, the amplitude of beam of radiation 1111 can be controlled using duty cycle 1107. For example, the following equation can be used to control the amplitude of beam of radiation 1111:

[0177] P(x) = P 0 e ~2a( - f x .

[0178] Here, emitted intensity is described by decay factor (ff). P(x) is the position-dependent power in a waveguide device. Po is an initial value of the position-dependent power. Since the emitted power can scale with the local waveguide mode power, an exponential decay can be achieved. In some examples, using a for equation (1), a Gaussian emission profile in free space can be achieved. Given the Gaussian emission profile, the local filling factor [ [ a n is determined using, for example:

[0180] Here, a h is the maximum local decay factor present along the length of light diffracting and/or scattering structures 1101. ff a n i s the local filling factor (duty cycle) corresponding to this maximum local decay factor .

[0181] As noted above, although the example of FIG. 11 A is discussed with respect to slab mode of radiation 1109 converted to free space beam of radiation 1111, in practice, the embodiments of this disclosure are diffracted to the free space beam of radiation being captured by the focusing grating of the capturing grating and being converted to the slab mode of radiation. The same, or similar, principles of operations of FIG. 11A applies to converting the free space beam of radiation to the slab mode beam of radiation.

[0182] FIG. 1 IB illustrates an exemplary schematic of a gap coupler 1133 for use in the capturing grating, according to some embodiments of this disclosure. According to some embodiments, gap coupler 1133 can be (or be used as) gap coupler 1003 of capturing grating 1000 of FIG. 10. However, the embodiments of this disclosure are not limited to this gap coupler. [0183] Gap coupler 1133 can include section 1135 (e.g., a triangle section) having a first effective refractive index (e.g., ¾ ) and a waveguide section 1136 having a first effective refractive index (e.g., ¾ ). Gap coupler 1133 can include gap 1137 between section 1135 and waveguide section 1136.

[0184] As discussed above, gap coupler 1133 can be a slab mode to waveguide mode converter, according to some embodiments. For example, gap coupler 1133 can convert the slab mode of radiation to a guided mode of radiation (e.g., in the waveguide mode.)

[0185] Gap coupler 1133 can be configured to adjust the amplitude and the phase of the slab mode of radiation in a direction perpendicular to the direction of propagation of the slab mode of radiation. In one example, gap coupler 1133 enables engineering of amplitude and phase of the slab mode of radiation by adjusting a gap size of gap 1137 and/or a waveguide width of waveguide section 1136 in the y’ direction 1139.

[0186] In some examples, the angle 9 slab 1161 can be determined based on the effective refractive index (e.g., ¾ ) of section 1135 and the effective refractive index (e.g., ¾ ) of waveguide section 1136. For example:

[0187] 9 slab = cos 1 gj).

[0188] FIG. llC illustrates an exemplary schematic of a gap coupler 1153 for use in the capturing grating, according to some embodiments of this disclosure. According to some embodiments, gap coupler 1153 can be (or be used as) gap coupler 1003 of capturing grating 1000 of FIG. 10. However, the embodiments of this disclosure are not limited to this gap coupler. In some examples, gap coupler 1153 includes section 1155, waveguide section 1156, and gap 1157. Gap coupler 1153 is configured to generate guided mode of radiation 1158 (e.g., in the waveguide mode), which can propagate to, for example, interference device 637 of FIG. 6A.

[0189] The embodiments may further be described using the following clauses:

1. An on-chip alignment sensor, comprising: an illumination system configured to emit a beam of radiation to irradiate a target on a substrate; a first capturing grating configured to receive a first diffracted order beam of radiation diffracted by the target and to produce a first guided mode of radiation; a second capturing grating configured to receive a second diffracted order beam of radiation diffracted by the target and to produce a second guided mode of radiation; and an interference device configured to produce an interfered guided mode of radiation based on the first and second guided mode of radiations or based on the first guided mode of radiation and a reference radiation. 2. The on-chip alignment sensor of clause 1, wherein the first diffracted order beam of radiation and the second diffracted order beam of radiation have a same diffraction order and a different sign associated with the diffraction order.

3. The on-chip alignment sensor of clause 1, wherein the illumination system comprises an illumination grating comprising a section coupled to a waveguide device and a reciprocal free space beam to waveguide mode converter.

4. The on-chip alignment sensor of clause 3, wherein: the section comprises a taper, and the reciprocal free space beam to waveguide mode converter comprises a focusing grating comprising a plurality of curved light diffracting or scattering structures.

5. The on-chip alignment sensor of clause 4, wherein a period of the plurality of curved light diffracting or scattering structures and a duty cycle of the plurality of curved light diffracting or scattering structures are designed such that the illumination grating emits the beam of radiation as a beam of radiation with predetermined amplitude and phase profile.

6. The on-chip alignment sensor of clause 4, wherein the focusing grating further comprises: a substrate, and a layer of reflecting material configured to reflect a part of radiation propagates toward the substrate.

7. The on-chip alignment sensor of clause 6, wherein the layer of reflecting material comprises a grating.

8. The on-chip alignment sensor of clause 1 , wherein the first capturing grating comprises a free space beam to waveguide mode converter.

9. The on-chip alignment sensor of clause 8, wherein the free space beam to waveguide mode converter comprises a focusing grating and a gap coupler.

10. The on-chip alignment sensor of clause 9, wherein: the focusing grating is configured to receive the first diffracted order beam of radiation diffracted by the target and to covert the first diffracted order beam of radiation from a free space mode to a slab mode, and the gap coupler is configured to convert the slab mode to a waveguide mode.

11. The on-chip alignment sensor of clause 10, wherein the focusing grating is configured to receive the first diffracted order beam of radiation diffracted by the target and to capture an amplitude and a phase of the first diffracted order beam of radiation.

12. The on-chip alignment sensor of clause 11, wherein the gap coupler is coupled to the focusing grating and is configured to capture the amplitude and the phase of the slab mode of radiation. 13. The on-chip alignment sensor of clause 9, wherein the focusing grating comprises a plurality of light diffracting or scattering structures configured to couple the free space beam to the waveguide mode.

14. The on-chip alignment sensor of clause 1, wherein the illumination system further comprises: an illumination grating; one or more radiation sources configured to generate a third guided mode of radiation; and a waveguide device configured to guide the third guided mode of radiation from the one or more radiation sources to the illumination grating.

15. The on-chip alignment sensor of clause 1, further comprising: an illumination grating; a waveguide device configured to guide a third guided mode of radiation from an external radiation source to the illumination grating.

16. The on-chip alignment sensor of clause 1, further comprising: one or more detectors configured to receive the interfered guided mode of radiation from the interference device and to generate a measurement signal based on the interfered guided mode of radiation; and a processor configured to analyze the measurement signal to determine a characteristic of the target.

17. The on-chip alignment sensor of clause 1, further comprising: a waveguide device configured to guide the interfered guided mode of radiation from the interference device to an external detector to generate a measurement signal based on the interfered guided mode of radiation.

18. The on-chip alignment sensor of clause 1, wherein: the illumination system comprises a first reciprocal free space beam to waveguide mode converter to emit the beam of radiation toward the target, and the first capturing grating comprises a second free space beam to waveguide mode converter to capture the first diffracted order beam of radiation.

19. An on-chip alignment sensor, comprising: one or more radiation sources configured to generate first radiation; an illumination grating configured to receive the first radiation and emit a beam of radiation, the beam of radiation configured to irradiate a target on a substrate; a first capturing grating configured to receive a first diffracted order beam of radiation diffracted by the target and to produce a first guided mode of radiation; a second capturing grating configured to receive a second diffracted order beam of radiation diffracted by the target and to produce a second guided mode of radiation; an interference device configured to produce an interfered guided mode of radiation based on the first and second guided mode of radiations or based on the first guided mode of radiation and a reference radiation; and one or more detectors configured to receive the interfered guided mode of radiation from the interference device and to generate a measurement signal based on the interfered guided mode of radiation to be analyzed for determining a characteristic of the target.

20. A metrology system, comprising: a plurality of radiation sources; an on-chip alignment sensor, comprising: an illumination grating configured to receive a plurality of guided mode of radiations from the plurality of radiation sources and emit a plurality of beams of radiation to irradiate a target on a substrate, wherein the plurality of beams of radiation have a plurality of wavelengths; a plurality of pairs of capturing gratings, wherein each pair of the plurality of pairs of capturing gratings are configured to receive diffracted order beams of radiation diffracted by the target and corresponding to a respective one of the plurality of wavelengths, and wherein each pair of the plurality of pairs of capturing gratings are configured to produce guided mode of radiations corresponding to the respective one of the plurality of wavelengths; and a plurality of interference devices, each of the plurality of interference devices configured to produce an interfered guided mode of radiation for the respective one of the plurality of wavelengths; a detector configured to receive the interfered guided mode of radiations from the plurality of interference devices and to generate a measurement signal; and a processor configured to analyze the measurement signal to determine a characteristic of the target.

21. The metrology system of clause 20, further comprising: a second on-chip alignment sensor configured to generate a second interfered guided mode of radiation based on illuminating a second target on the substrate, wherein the processor is further configured to analyze a second measurement signal generated based on the second interfered guided mode of radiation to determine a characteristic of the second target.

[0190] Although specific reference can be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, LCDs, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein can be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein can be processed, before or after exposure, in for example a track unit (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology unit and/or an inspection unit. Where applicable, the disclosure herein can be applied to such and other substrate processing tools. Further, the substrate can be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

[0191] Although specific reference may have been made above to the use of embodiments of the present disclosure in the context of optical lithography, it will be appreciated that the present disclosure can be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device can be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.

[0192] It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present disclosure is to be interpreted by those skilled in relevant art(s) in light of the teachings herein.

[0193] The terms “radiation,” “beam,” “light,” “illumination,” or the like as used herein may encompass all types of electromagnetic radiation, for example, ultraviolet (UV) radiation (for example, having a wavelength l of 365, 248, 193, 157 or 126 nm), extreme ultraviolet (EUV or soft X-ray) radiation (for example, having a wavelength in the range of 5-20 nm such as, for example, 13.5 nm), or hard X-ray working at less than 5 nm, as well as particle beams, such as ion beams or electron beams. Generally, radiation having wavelengths between about 400 to about 700 nm is considered visible radiation; radiation having wavelengths between about 780-3000 nm (or larger) is considered IR radiation. UV refers to radiation with wavelengths of approximately 100-400 nm. Within lithography, the term “UV” also applies to the wavelengths that can be produced by a mercury discharge lamp: G-line 436 nm; H-line 405 nm; and/or, I-line 365 nm. Vacuum UV, or VUV (i.e., UV absorbed by gas), refers to radiation having a wavelength of approximately 100-200 nm. Deep UV (DUV) generally refers to radiation having wavelengths ranging from 126 nm to 428 nm, and in some embodiments, an excimer laser can generate DUV radiation used within a lithographic apparatus. It should be appreciated that radiation having a wavelength in the range of, for example, 5-20 nm relates to radiation with a certain wavelength band, of which at least part is in the range of 5-20 nm. [0194] The term “substrate” as used herein describes a material onto which material layers are added. In some embodiments, the substrate itself can be patterned and materials added on top of it may also be patterned, or may remain without patterning.

[0195] Although specific reference can be made in this text to the use of the apparatus and/or system according to the present disclosure in the manufacture of ICs, it should be explicitly understood that such an apparatus and/or system has many other possible applications. For example, it can be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, LCD panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “reticle,” “wafer,” or “die” in this text should be considered as being replaced by the more general terms “mask,” “substrate,” and “target portion,” respectively.

[0196] While specific embodiments of the disclosure have been described above, it will be appreciated that embodiments of the present disclosure may be practiced otherwise than as described. The descriptions are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the disclosure as described without departing from the scope of the claims set out below.

[0197] It is to be appreciated that the Detailed Description section, and not the Summary and

Abstract sections, is intended to be used to interpret the claims. The Summary and Abstract sections may set forth one or more but not all exemplary embodiments of the present disclosure as contemplated by the inventor(s), and thus, are not intended to limit the present disclosure and the appended claims in any way. [0198] The present disclosure has been described above with the aid of functional building blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed.

[0199] The foregoing description of the specific embodiments will so fully reveal the general nature of the present disclosure that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present disclosure. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein.

[0200] The breadth and scope of the protected subject matter should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.